3d tsv interconnect program an overview - sematech 6... · need a common materials and equipment...

15
Accelerating the next technology revolution Copyright ©2010 SEMATECH, Inc. SEMATECH, and the SEMATECH logo are registered servicemarks of SEMATECH, Inc. International SEMATECH Manufacturing Initiative, ISMI, Advanced Materials Research Center and AMRC are servicemarks of SEMATECH, Inc. All other servicemarks and trademarks are the property of their respective owners. 3D TSV Interconnect Program - An Overview Sitaram Arkalgud Director 3D Interconnect SEMATECH Symposium Taiwan September 7, 2010

Upload: dinhthuan

Post on 10-Mar-2018

223 views

Category:

Documents


2 download

TRANSCRIPT

Page 1: 3D TSV Interconnect Program An Overview - SEMATECH 6... · Need a common materials and equipment path to serve a ... Modeling & simulation. 3D TSV program ... Workshop – July 13,

Accelerating the next technology revolution

Copyright ©2010 SEMATECH, Inc. SEMATECH, and the SEMATECH logo are registered servicemarks of SEMATECH, Inc. International SEMATECH Manufacturing Initiative, ISMI, Advanced Materials Research Center and AMRC are servicemarks of SEMATECH, Inc. All other servicemarks and trademarks are the property of their respective owners.

3D TSV Interconnect Program -

An Overview

Sitaram ArkalgudDirector3D Interconnect

SEMATECH Symposium TaiwanSeptember 7, 2010

Page 2: 3D TSV Interconnect Program An Overview - SEMATECH 6... · Need a common materials and equipment path to serve a ... Modeling & simulation. 3D TSV program ... Workshop – July 13,

2

3D TSV -

An industry game changer

Enables increased non-

scaling system productivity•

Enables increased functionality through heterogeneous integration for emerging system-on-chip applications

Via last technology (post stack TSV formation) requires wafer –

wafer

bonding–

Requires high yield and matching die footprint and wafer sizes•

Via mid technology (post CMOS, pre bond TSV formation) permits die –

wafer bonding–

Allows pre-screening of dies for yield, dissimilar die and wafer sizes–

Allows heterogenous

integration with high functionality

Source: Samsung Source: Intel Source: Qualcomm

Driver:

DensityTechnology:

Via lastDriver:

Performance, powerTechnology:

F2F Cu-Cu, Via lastDriver:

Power efficient performance, functionalityTechnology:

Via mid

Page 3: 3D TSV Interconnect Program An Overview - SEMATECH 6... · Need a common materials and equipment path to serve a ... Modeling & simulation. 3D TSV program ... Workshop – July 13,

3

Major industry challenges in 3D

Weak materials and tool infrastructure–

Little/no convergence on technology options and process flows–

Key technical challenges need to be addressed•

E.g.: Is Cu bonding the right solution? CoO, reliability, …. –

Tools have extremely low productivity•

E.g.: D2W bonding at 1 die bond/min, W2W bonding at 1 pair/2-3 hours

Gaps in the supply chain–

Who does what operations? Fab vs

Outsourced Assembly & Test–

Integrations spanning fab and assembly become a requirement

Lack of convergence delays industry success•

Need a common materials and equipment path to serve a broad industry base

Page 4: 3D TSV Interconnect Program An Overview - SEMATECH 6... · Need a common materials and equipment path to serve a ... Modeling & simulation. 3D TSV program ... Workshop – July 13,

4

SEMATECH’s

3D activities

Drive convergence of the materials/equipment solutions–

Technology roadmaps and standards, including architecture, design, test and application perspectives

Work with other parties, including Member Companies, fabless

community, SRC etc., to drive convergence

Industry consensus building through workshops and forums

Ensure that the UPD and module integration programs are relevant–

Drive critical equipment evaluations (supplier landscape)–

Develop critical equipment (tool hardening)–

Materials, unit process and characterization development–

Structural module builds–

Leverage CNSE and FEP to broaden scope of current integration program•

Device interaction•

Thermo-mechanical modeling

Assist Member Company implementation–

Equipment access–

Structural module builds

Page 5: 3D TSV Interconnect Program An Overview - SEMATECH 6... · Need a common materials and equipment path to serve a ... Modeling & simulation. 3D TSV program ... Workshop – July 13,

5

3D TSV collaborations

3D TSV program•

Materials development•

Equipment development•

Unit process development•

Integration•

Reliability•

Ecosystem development

Page 6: 3D TSV Interconnect Program An Overview - SEMATECH 6... · Need a common materials and equipment path to serve a ... Modeling & simulation. 3D TSV program ... Workshop – July 13,

6

3D TSV collaborations

Supplier•

Equipment development•

Materials development•

Metrology•

Process development

3D TSV program•

Materials development•

Equipment development•

Unit process development•

Integration•

Reliability•

Ecosystem development

Page 7: 3D TSV Interconnect Program An Overview - SEMATECH 6... · Need a common materials and equipment path to serve a ... Modeling & simulation. 3D TSV program ... Workshop – July 13,

7

3D TSV collaborations

Supplier•

Equipment development•

Materials development•

Metrology•

Process development

Front End program and Member Companies

Device interaction•

Modeling & simulation

3D TSV program•

Materials development•

Equipment development•

Unit process development•

Integration•

Reliability•

Ecosystem development

Page 8: 3D TSV Interconnect Program An Overview - SEMATECH 6... · Need a common materials and equipment path to serve a ... Modeling & simulation. 3D TSV program ... Workshop – July 13,

8

3D TSV collaborations

University•

Advanced metrology•

Thermo-mechanical modeling

Materials development•

Reliability

Supplier•

Equipment development•

Materials development•

Metrology•

Process development

Front End program and Member Companies

Device interaction•

Modeling & simulation

3D TSV program•

Materials development•

Equipment development•

Unit process development•

Integration•

Reliability•

Ecosystem development

Slice Plot: Von Mises

> 172.3MPaTanneal

= 350oCTSV boundary

Blue areas in TSV are below yield stress (172.3MPa)

Page 9: 3D TSV Interconnect Program An Overview - SEMATECH 6... · Need a common materials and equipment path to serve a ... Modeling & simulation. 3D TSV program ... Workshop – July 13,

9

Worldwide 3D TSV members

Page 10: 3D TSV Interconnect Program An Overview - SEMATECH 6... · Need a common materials and equipment path to serve a ... Modeling & simulation. 3D TSV program ... Workshop – July 13,

10

Focus area for SEMATECH program•

The part of the 3D space we are targeting:

“Via-middle”–

TSV’s formed after FEOL and before BEOL•

TSV before 3D stacking•

Wafer thinning before 3D stacking•

Back-to-face bonding•

Die to wafer bonding–

Option: die are aligned and pre-bonded, followed by a wafer-level bond

“Global level”

of the interconnect hierarchy•

Minimum TSV diameter: 4-8 µm (through 2012), 2-4 µm (2012-2015)•

20-50 µm TSV depth•

3D System On Chip (3D-SOC)•

3D Stacked Integrated Circuit (3D-SIC)TSV

Page 11: 3D TSV Interconnect Program An Overview - SEMATECH 6... · Need a common materials and equipment path to serve a ... Modeling & simulation. 3D TSV program ... Workshop – July 13,

11

Scope of TSV development

STI

Deep n-well(Denuded Zone)

P-well

GNDGND

STI

ttsv_isoDTSV

TSV

Materials:Liner, barrier, seedPlating chemistryBond materials

Temporary, tackPermanent

Equipment DevelopmentUnit Process Development

TSV ModuleBond ModuleThin and handleBackside processing

MetrologyInfraredAcousticx-ray techniquesStandard techniques

IntegrationPassive TSV daisy chainsTSV DtW

daisy chainsDevice interactions

65nm and 30 nm planar/non-planarKeep out area

Thermo-mechanical modeling/simulationElectrical modeling/simulation Early reliability

Page 12: 3D TSV Interconnect Program An Overview - SEMATECH 6... · Need a common materials and equipment path to serve a ... Modeling & simulation. 3D TSV program ... Workshop – July 13,

12

3D equipment capability

Bond–

Wafer Align/Bond

EVG 540–

Next generation wafer bonder

EVG Gemini–

Die Align/bond (manual)

SET Kadett–

Die align/bond (automated)

SET FC300•

3D Metrology–

Scanning Acoustic Microscope

Sonix–

Thickness Monitor (capacitance)

MTII–

IR Microscope

Olympus–

TSV Depth and Profile

Lasertec

TSV300IR–

All Surface Inspection

Rudolph AXi935–

Access to AFM, SEM, TEM, HRP, etc via ISMI & CNSE •

TSV RIE

TEL Telius

SP UD•

Multicell

Cu Plater

NEXX Stratus•

Wafer backgrind

Okamoto•

Spin/bake (materials characterization)

Brewer Cee

Module•

Wet hood for cleans and chemical thinning•

Access to state of the art BEOL tooling for standard CMOS processing and metrology at CNSE

Page 13: 3D TSV Interconnect Program An Overview - SEMATECH 6... · Need a common materials and equipment path to serve a ... Modeling & simulation. 3D TSV program ... Workshop – July 13,

13

SEMATECH 3D ecosystem development•

SEMATECH Workshops on Stress Management For 3D ICs Using Through

Silicon Vias

March 16, 2010 (Albany NY)–

July 13, 2010 (SEMICON –

West; San Francisco, CA); in collaboration with Fraunhofer

IZFP–

October, 2010 (SEMICON –

Europa; Dresden, Germany); in collaboration with Fraunhofer

IZFP•

SEMI/SEMATECH 3D Interconnect Challenges and Need for Standards Workshop

July 13, 2010 (SEMICON –

West; San Francisco, CA)•

SEMATECH Workshop on 3D Interconnect Metrology–

July 14, 2010 (SEMICON –

West; San Francisco, CA)•

Readout to SIA/TSC–

July 15, 2010 (San Jose, CA)•

Joint SEMATECH/SEMI IC Technology Forum–

September 9, 2010 (SEMICON –

Taiwan; Taipei)

Page 14: 3D TSV Interconnect Program An Overview - SEMATECH 6... · Need a common materials and equipment path to serve a ... Modeling & simulation. 3D TSV program ... Workshop – July 13,

14

Summary

3D can be the new engine to keep the industry on the productivity curve–

High performance and high functionality–

Lower power consumption and footprint

Technical feasibility alone does not enable high volume manufacturing–

Proven material, process and equipment capability is essential•

Roadmaps to drive consensus and coalesce critical resources–

Supply chain readiness is essential•

Industry-wide standards required on equipment and products

3D is not a single technology element–

Productivity benefits can be realized at several levels–

Cost impact needs to be assessed from a system perspective–

Industry-wide coordination necessary across front end, assembly, design, and test

SEMATECH is accelerating progress in the development of

cost-effective and manufacturable

3D TSV solutions

Page 15: 3D TSV Interconnect Program An Overview - SEMATECH 6... · Need a common materials and equipment path to serve a ... Modeling & simulation. 3D TSV program ... Workshop – July 13,

15

Accelerating the next technology revolution

Research Development Manufacturing