neon ion beam lithography (nibl)

22
Downloaded from http:// http://www.rle.mit.edu/qnn/publications.htm to be published in Nano Letters DOI: 10.1021/nl202447n 1 This document is the unedited Author's version of a Submitted Work that was subsequently accepted for publication in Nano Letters, copyright © American Chemical Society after peer review. To access the final edited and published work see http://pubs.acs.org/doi/abs/10.1021/nl202447n.

Upload: independent

Post on 10-Dec-2023

0 views

Category:

Documents


0 download

TRANSCRIPT

Downloaded from http:// http://www.rle.mit.edu/qnn/publications.htm to be published in Nano Letters

DOI: 10.1021/nl202447n

1

This document is the unedited Author's version of a Submitted Work that was subsequently accepted for publication in Nano Letters, copyright © American

Chemical Society after peer review. To access the final edited and published work see

http://pubs.acs.org/doi/abs/10.1021/nl202447n.

Downloaded from http:// http://www.rle.mit.edu/qnn/publications.htm to be published in Nano Letters

DOI: 10.1021/nl202447n

2

Neon Ion Beam Lithography (NIBL)

Donald Winston1#

, Vitor R. Manfrinato1#

, Samuel M. Nicaise1#

, Lin Lee Cheong1#

, Huigao

Duan1,a

, David Ferranti2, Jeff Marshman

2, Shawn McVey

2, Lewis Stern

2, John Notte

2, Karl K.

Berggren1*

1Massachusetts Institute of Technology, Cambridge, MA 02139

2Carl Zeiss NTS, LLC, Peabody, MA 01960

*[email protected]

#These authors contributed equally to this work.

Existing techniques for electron- and ion-beam lithography, routinely employed for nanoscale

device fabrication and mask/mold prototyping, do not simultaneously achieve efficient (low

fluence) exposure and high resolution. We report lithography using neon ions with fluence

< 1 ion/nm2, ~1000× more efficient than using 30 keV electrons, and resolution down to 7 nm

half-pitch. This combination of resolution and exposure efficiency is expected to impact a wide

array of fields that are dependent on beam-based lithography.

Keywords: ion beam lithography, electron beam lithography, hydrogen silsesquioxane, helium

ion microscope, point spread function, gas field ionization source.

a Current address: Institute of Materials Research and Engineering, Agency for Science,

Technology and Research, 3 Research Link, 117602 Singapore.

Downloaded from http:// http://www.rle.mit.edu/qnn/publications.htm to be published in Nano Letters

DOI: 10.1021/nl202447n

3

Electron- and ion-beam lithography is limited by resolution and exposure efficiency. Electron-

beam lithography (EBL) enables fabrication of structures down to 9-nm pitch1, but further

dimensional reduction is limited by spot size and resist-interaction volume2. Exposure efficiency,

which is inversely proportional to the fluence of incident particles needed to define a feature, is

limited by stopping power, namely the energy dissipation per unit distance traveled in a

material3. To increase resolution and exposure efficiency, and to clarify the impact of spot size,

resist-interaction volume, and stopping power on these metrics, we must investigate new sources

for scanning-charged-particle-beam lithography that in particular allow for reduced spot size,

reduced resist-interaction volume, and increased stopping power.

Some of the authors previously investigated a commercially-available gas field ionization

source (GFIS) of helium ions for lithography4. Despite a predicted reduction in resist-interaction

volume with He+ and the sub-nm spot size of the GFIS

5, this work did not demonstrate the

resolution of helium-ion-beam lithography to be superior to EBL. Recently, an experimental

GFIS system has been modified for operation with neon6. Neon is of higher mass than helium

and thus should lead to a smaller resist-interaction volume for lithography7. In addition, the

higher-mass neon ion has a larger stopping power for a given landing energy, which should lead

to higher efficiency in resist exposure8. This system has been evaluated for nano-machining

6, but

not for resist-based lithography.

This letter investigates whether the neon GFIS can be used for high-resolution lithography at

high exposure efficiency. The minimum resolvable pitch of developed resist structures; the onset

dose density required for exposure; and the radial distribution of dose density, or point spread

function (PSF), are presented. PSFs of He+, Ga

+, and electrons are also presented for comparison

Downloaded from http:// http://www.rle.mit.edu/qnn/publications.htm to be published in Nano Letters

DOI: 10.1021/nl202447n

4

of onset dose and radial distribution of dose, and modulation transfer functions (MTFs) are

calculated to compare resolution limits.

Figure 1 shows sub-10-nm-half-pitch gratings obtained using a linear dose < 10 ions/nm (see

Supporting Information for details of sample preparation, fabrication, and metrology). The high

resolution is enabled not only by the small resist-interaction volume but also crucially by the

high brightness (B > 4×109 A/cm

2/sr = 250 ions/nm

2/s/sr) of the GFIS, which enables an ion

column design that delivers sufficient current for lithography even when focusing to sub-nm spot

size5. The brightness of the GFIS is 10× that of the thermal-field-emission electron source

9 and

1000× that of the gallium liquid-metal-ion source10

. The low dose is enabled by the high

stopping power of 20 keV Ne+ in HSQ (230 eV/nm), over 3× that of 30 keV He

+ and over 50×

that of 5 keV electrons, calculated using SRIM8 and a modified Bethe equation

3. The gratings

exhibit good linewidth uniformity; for example, rms linewidth variation in Figure 1(a) is 2 nm,

which is surprisingly small given statistical fluctuations in delivered dose, i.e. shot noise. See

Supporting Information for further discussion of this issue11-15

.

Downloaded from http:// http://www.rle.mit.edu/qnn/publications.htm to be published in Nano Letters

DOI: 10.1021/nl202447n

5

Figure 1. Scanning-electron micrographs of developed gratings written into 16-nm-thick

hydrogen silsesquioxane (HSQ) on Si using 20 keV Ne+. (a) 19-nm-pitch gratings written using a

linear dose density of 7 ions/nm. (b) 14-nm-pitch gratings written using a linear dose density of

5 ions/nm. These images exhibit resolution insufficient to show residue between developed

features, but adequate to emphasize the dose contrast achieved.

The contrast of developed structures, and thus pattern-transferable resolution, can be limited by

resist residue between features. This pattern density limitation, common to all exposure species,

may be caused by charge screening of mass transport during resist development in solution1. Due

to the limited resolution of SEM, Figure 1 cannot show residue between developed features.

Using TEM, however, Figure 2 shows that the contrast of developed structures does depend on

pattern density – whereas Figure 2(a) shows grainy residue between developed HSQ lines,

Figure 2(b) shows, for a grating of larger pitch, less residue and improved uniformity between

the lines.

Downloaded from http:// http://www.rle.mit.edu/qnn/publications.htm to be published in Nano Letters

DOI: 10.1021/nl202447n

6

Figure 2. Transmission electron micrographs of developed gratings written into 16-nm-thick

HSQ on a 50-nm-thick Si3N4 membrane using 20 keV Ne+. (a) 19-nm-pitch gratings written

using a linear dose of 22 ions/nm. (b) 28-nm-pitch gratings written using a linear dose of

19 ions/nm. In (a), the unpatterned region of the lower right corner is lighter than the regions

between lines; this thickness contrast indicates the presence of resist residues. Such residues are

not apparent in (b). Thus, the contrast of developed structures depends on pattern density.

The sensitivity of HSQ to 20 keV neon ions, i.e. the efficiency of ion exposure in this material,

was significantly higher than its sensitivity to helium ions or electrons at similar landing

energies. Figure 3 plots HSQ thickness remaining versus areal dose density for 20 keV Ne+ and

shows an onset areal dose density of ~10 C/cm2, i.e. less than 1 ion/nm

2. The corresponding

onset areal dose density for 30 keV helium ions is ~2× higher16

; for 30 keV electrons, it is ~50×

higher17

. However, the referenced helium and electron contrast curves were measured using

different resist thicknesses and/or development processes, which skew comparisons of

sensitivity1. A more direct comparison of linear doses for dense structures in ≤30-nm-thick HSQ

reveals that e.g. the linear dose in Figure 1(b), 8 pC/cm, is ~10× lower than the linear dose for

Downloaded from http:// http://www.rle.mit.edu/qnn/publications.htm to be published in Nano Letters

DOI: 10.1021/nl202447n

7

30 keV helium ions4 and ~1000× lower than the linear dose for 30 keV electrons

18. We note that

practical efficiency must consider the beam current on available systems; in this respect, the

present advantage of neon relative to 30 keV helium ions and 30 keV electrons is retained, but is

more modest (see Supporting Information, in particular Table S1)18-21

. Because the GFIS exhibits

higher brightness than the thermal-field-emission electron source and the gallium liquid-metal-

ion source, there is room for the practical efficiency of neon ion lithography to increase.

Figure 3. A contrast curve for exposure by 20 keV Ne+ of 16-nm-thick HSQ on Si. A dose array

of 1 μm × 1 μm pads were exposed and, after development, heights of pads were measured using

AFM. The onset areal dose density was found to be < 1 ion/nm2.

To measure the PSF, a dose array of point exposures of 16-nm-thick HSQ on Si using 20 keV

Ne+ were developed, and feature sizes were measured using SEM

22. Figure 4(a) plots reciprocal

dose versus dot radius (circles), which is proportional to the PSF. Two other methods, inversion

of SEM-obtained line-spread data23

and TEM-obtained point-spread data on thin substrates, were

used to verify the SEM-obtained PSF, and the results of these other methods support confidence

in the SEM PSF (see Supporting Information). As indicated by Equation (S1), a fit to this plot is

Downloaded from http:// http://www.rle.mit.edu/qnn/publications.htm to be published in Nano Letters

DOI: 10.1021/nl202447n

8

proportional to the PSF with the normalization factor equal to the areal sensitivity. Figure 4(b)

plots a normalized version of the SEM data in Figure 4(a) and presents a fitting function that

yields a fitted areal sensitivity of D0 = 6.8 C/cm2, within a factor of two of that indicated by the

contrast curve of Figure 3. The fitting function exhibits a halving of the maximum delivered dose

density by r = 7.4 nm and an asymptotic power-law drop-off of 1/r4.

Figure 4. The point spread function (PSF) of 20 keV Ne+ in 16-nm-thick HSQ on Si. (a)

Reciprocal dose versus dot radius, which is proportional to the PSF, for point exposures on a

bulk Si substrate using SEM metrology (circles) and on a 50-nm-thick Si3N4 membrane substrate

using TEM metrology (triangles). Also plotted is an inversion of line-spread data such that it is

an equivalent PSF (dashed line; see text for details). (b) Normalization of the SEM data in (a) to

obtain the PSF, and a fitting function that implies resist sensitivity consistent with that shown in

Figure 3 (dashed line; see text for details).

To compare 20 keV neon ions with other charged-particle species for scanning-beam

lithography, both in terms of resist sensitivity and spatial resolution, PSFs for 30 keV gallium

Downloaded from http:// http://www.rle.mit.edu/qnn/publications.htm to be published in Nano Letters

DOI: 10.1021/nl202447n

9

ions, 30 keV helium ions, and 30 keV electrons were measured and are plotted in Figure 5(a).

Firstly, the data suggest that the onset dose for 20 keV Ne+ and 30 keV Ga

+ are similar. This

result is surprising because the total (electronic + nuclear) stopping powers of 20 keV Ne+ and

30 keV Ga+ in HSQ are respectively 230 eV/nm and 830 eV/nm according to SRIM

8

calculations, suggesting their onset doses should be quite different. One interpretation of this

result is that energy dissipation due to electronic stopping is more significant than that due to

nuclear stopping for resist exposure, i.e. that the role of secondary electrons is significant. SRIM

calculations support this explanation, predicting a mere 14% difference in electronic stopping

power versus a 343% difference in nuclear stopping power between 20 keV Ne+ and 30 keV Ga

+

in HSQ. Secondly, whereas the Ne+ and Ga

+ data suggest a uniform and steep power-law drop-

off of delivered dose density with radial distance, the He+ and electron data suggest a transition

at r > 10 nm to a more gradual drop-off. The steep asymptotic drop-off and large amplitude of

the Ne+ fit relative to the other fits suggest exposure with high resist sensitivity and spatial

resolution.

Downloaded from http:// http://www.rle.mit.edu/qnn/publications.htm to be published in Nano Letters

DOI: 10.1021/nl202447n

10

Figure 5. Comparing exposure species. (a) Data and fitting functions for reciprocal dose versus

dot radius, which is proportional to the PSF, using SEM metrology. Point exposures are of

20 keV Ne+ in 16 nm HSQ, 30 keV Ga

+ in 16 nm HSQ, 30 keV He

+ in 12 nm HSQ and 30 keV

e- in 15 nm HSQ, all on Si. (b) Modulation transfer functions for the four species, each calculated

by numerically convolving the corresponding fit in (a) with 150 nm × 150 nm gratings of various

pitches (see Supporting Information for details).

One drawback of PSF comparison is difficulty in predicting achievable pattern fidelity as a

function of pattern density. A set of modulation transfer functions (MTFs)24

of different exposure

species allows for a visual comparison of dose contrast, which is a measure of pattern fidelity,

versus pattern density (see Figure S1). Figure 5(b) shows MTFs calculated using the PSFs of

Figure 5(a). MTF calculations do not account for reductions in contrast due to resist residues at

high pattern density (see Figure 2 and its discussion in the text). Furthermore, the spatial

bandwidths of MTFs were limited by use of SEM metrology for PSF measurements18, 25

.

Nevertheless, one can use a set of MTFs to estimate, for a given minimum dose contrast required

Downloaded from http:// http://www.rle.mit.edu/qnn/publications.htm to be published in Nano Letters

DOI: 10.1021/nl202447n

11

for a process, the maximum spatial frequency attainable by each beam species. Interestingly,

20 keV Ne+ exhibits higher dose contrast than the other evaluated species down to 25 nm half-

pitch. Furthermore, the dose contrast with 20 keV Ne+ remains larger than with 30 keV e

- down

to 12.5 nm half-pitch.

In conclusion, we have demonstrated neon ion beam lithography (NIBL) with resolution

comparable to state-of-the-art EBL (7 nm half-pitch and linewidth) and exposure efficiency

~1000× greater than EBL at comparable landing energies (~10 C/cm2 for areal dose and

8 pC/cm for linear dose). This combination of high resolution and exposure efficiency is

expected to impact a wide array of fields that are dependent on beam-based lithography,

including chemical sensing26

, magnetic storage27

, and fabrication of semiconductor devices28-30

.

In particular, this technique may enable single-ion dose control31

and thus patterning at high

resolution and sensitivity without shot noise.

We acknowledge Jim Daley and the MIT Nanostructures Laboratory, Mark Mondol and the

MIT RLE Scanning Electron Beam Lithography facility, Andras Vladár and NIST Gaithersburg,

and the NRI INDEX program of the Semiconductor Research Corporation for support. V. R. M.

acknowledges support from the Center for Excitonics, an Energy Frontier Research Center

funded by the U.S. Department of Energy, Office of Science, Office of Basic Energy Sciences

under Award Number DE-SC0001088. S. N. acknowledges fellowship support from DuPont.

L. L. C. acknowledges support from the Air Force Office of Scientific Research. This work made

use of the MRSEC Shared Experimental Facilities at MIT, supported by the National Science

Foundation under award number DMR-08-19762.

Downloaded from http:// http://www.rle.mit.edu/qnn/publications.htm to be published in Nano Letters

DOI: 10.1021/nl202447n

12

Supporting Information Available: lengthy experimental procedures for sample preparation,

feature measurement, and analysis. This material is available free of charge via the Internet at

http://pubs.acs.org.

Table of Contents Graphic:

1. Yang, J. K. W.; Cord, B.; Duan, H.; Berggren, K. K.; Klingfus, J.; Nam, S.-W.; Kim, K.-

B.; Rooks, M. J. J. Vac. Sci. Technol. B 2009, 27, (6), 2622-2627.

2. Broers, A. N. IBM Journal of Research and Development 1988, 32, (4), 502-513.

3. Joy, D. C.; Luo, S. Scanning 1989, 11, (4), 176-80.

4. Winston, D.; Cord, B. M.; Ming, B.; Bell, D. C.; DiNatale, W. F.; Stern, L. A.; Vladar, A.

E.; Postek, M. T.; Mondol, M. K.; Yang, J. K. W.; Berggren, K. K. J. Vac. Sci. Technol. B 2009,

27, (6), 2702-2706.

5. Ward, B. W.; Notte, J. A.; Economou, N. P. J. Vac. Sci. and Technol. B 2006, 24, (6),

2871-4.

6. Tan, S.; Livengood, R.; Shima, D.; Notte, J.; McVey, S. J. Vac. Sci. and Technol. B 2010,

28, C6F15-C6F21.

7. Cohen-Tanugi, D.; Yao, N. Journal of Applied Physics 2008, 104, (6), 063504.

8. Ziegler, J. F.; Biersack, J. P.; Ziegler, M. D., SRIM–The stopping and range of ions in

matter. SRIM Co.: Chester, MD, 2008.

9. Gesley, M. Journal of Applied Physics 1989, 65, (3), 914-926.

10. Orloff, J., Handbook of charged particle optics. CRC Press: Boca Raton, 2009; Vol. 2, p

665.

11. Reif, F., Fundamentals of statistical and thermal physics. McGraw Hill: New York,

1965.

12. Smith, H. I. J. Vac. Sci. Technol. B 1986, 4, 148-53.

13. Matsui, S.; Mori, K.; Saigo, K.; Shiokawa, T.; Toyoda, K.; Namba, S. J. Vac. Sci. and

Technol. B 1986, 4, (Copyright 1987, IEE), 845-9.

Downloaded from http:// http://www.rle.mit.edu/qnn/publications.htm to be published in Nano Letters

DOI: 10.1021/nl202447n

13

14. Kubena, R. L.; Ward, J. W.; Stratton, F. P.; Joyce, R. J.; Atkinson, G. M. J. Vac. Sci.

Technol. B 1991, 9, (6), 3079-83.

15. Melngailis, J. Nucl. Instrum. Methods Phys. Res. B 1993, B80-81, (Journal Article),

1271-80.

16. Sidorkin, V.; van Veldhoven, E.; van der Drift, E.; Alkemade, P.; Salemink, H.; Maas, D.

J. Vac. Sci. Technol. B 2009, 27, (4), L18-L20.

17. Yang, J. K. W.; Berggren, K. K. J. Vac. Sci. Technol. B 2007, 25, (6), 2025-9.

18. Duan, H.; Manfrinato, V. R.; Yang, J. K. W.; Winston, D.; Cord, B. M.; Berggren, K. K.

J. Vac. Sci. Technol. B 2010, 28, C6H11-C6H17.

19. Grigorescu, A. E.; van der Krogt, M. C.; Hagen, C. W.; Kruit, P. J. Vac. Sci. and

Technol. B 2007, 25, (6), 1998-2003.

20. Sidorkin, V. A. Resist and Exposure Processes for Sub-10-nm Electron and Ion Beam

Lithography. Ph.D. thesis, Delft University of Technology, Delft, 2010.

21. Wells, O., Scanning Electron Microscopy. McGraw Hill Book Co.: 1974.

22. Rishton, S. A.; Kern, D. P. J. Vac. Sci. Technol. B 1987, 5, 135-41.

23. Hawryluk, R. J.; Smith, H. I.; Soares, A.; Hawryluk, A. M. Journal of Applied Physics

1975, 46, (6), 2528-2537.

24. Owen, G. J. Vac. Sci. and Technol. B 1990, 8, (6), 1889-1892.

25. Cazaux, J. Nanotechnology 2004, 15, (9), 1195.

26. Martin, C. R.; Siwy, Z. S. Science 2007, 317, (5836), 331-332.

27. Terris, B. D.; Thomson, T. Journal of Physics D: Applied Physics 2005, 38, (12), R199.

28. Poelma, J. E.; Hawker, C. J. Nat Nano 2010, 5, (4), 243-244.

29. Shinada, T.; Koyama, H.; Hinoshita, C.; Imamura, K.; Ohdomari, I. Jpn. J. Appl. Phys.

2002, 41, L287-L290.

30. Schenkel, T.; Persaud, A.; Park, S. J.; Nilsson, J.; Bokor, J.; Liddle, J. A.; Keller, R.;

Schneider, D. H.; Cheng, D. W.; Humphries, D. E. J. Appl. Phys. 2003, 94, (11), 7017-7024.

31. Fischer, B. E.; Heiß, M.; Cholewa, M. Nucl. Instrum. Methods B 2003, 210, 285-291.

Downloaded from http:// http://www.rle.mit.edu/qnn/publications.htm to be published in Nano Letters

DOI: 10.1021/nl202447n

14

Supporting Information: Neon Ion Beam Lithography (NIBL)

D. Winston1#, V. R. Manfrinato1#, S. M. Nicaise1#, L. L. Cheong1#, H. Duan1,b, D. Ferranti2, J. Marshman2, S. McVey2, L. Stern2, J. Notte2, K. K. Berggren1*

1Massachusetts Institute of Technology, Cambridge, MA 02139

2Carl Zeiss NTS, LLC, Peabody, MA 01960 #These authors contributed equally to this work.

*[email protected]

Sample Processing and Exposure Conditions

First, 16-nm-thick hydrogen silsesquioxane (HSQ) resist was spin-coated on both bulk Si and 50-

nm-thick Si3N4 membranes and the resist thickness was measured by ellipsometry. Samples were

then exposed using a Zeiss Orion helium ion microscope modified to deliver Ne+ at 20 keV

landing energy with a 10 m molybdenum beam-limiting aperture and a pattern generator (Fibics

NPVE or internal Zeiss software). 20 keV was chosen as the landing energy rather than e.g. 30

keV because the so-called best imaging voltage (BIV) for the Zeiss Orion source technology was

typically lower for neon than for helium. The ion current as measured by the beam blanker was

0.3 pA. Finally, each sample was developed in a solution of 1 wt % NaOH plus 4 wt % NaCl in

deionized water at room temperature for 4 min and blown dry with nitrogen1.

Sample processing for exposure by other beam species was as described above, and

exposure conditions were as follows: electron exposures used a Raith 150 system with a Zeiss

Gemini column at ~180 pA beam current; Ga+ exposures used a Zeiss Auriga system with a

b Current address: Institute of Materials Research and Engineering, Agency for Science,

Technology and Research, 3 Research Link, 117602 Singapore.

Downloaded from http:// http://www.rle.mit.edu/qnn/publications.htm to be published in Nano Letters

DOI: 10.1021/nl202447n

15

Cobra column at 0.6 pA beam current; and He+ exposures used a Zeiss Orion Plus system at

0.3 pA beam current.

Feature Measurement

To estimate the minimum resolvable pitch between written features, a dose array of grating

structures at pitches between 8 nm and 30 nm was exposed. Pattern fidelity was determined by

inspecting contrast modulation in images of developed structures taken both via scanning

electron microscope (SEM) and transmission electron microscope (TEM). The SEM metrology

was done in a Zeiss SEM at 10 keV, 30µm aperture, and 6 mm working distance. The TEM

metrology was done in a JEOL JEM 2010F microscope at 200 keV. Although no pattern transfer

was attempted, either via an etch or lift-off process, imaging contrast between exposed HSQ and

the Si or Si3N4 substrate was sufficient for inspection; furthermore, etch contrast between HSQ

on Si has been demonstrated previously2.

To determine resist sensitivity and onset dose, a contrast curve was obtained by exposing

a dose array of 1 m × 1 m pads and, after development, measuring each pad’s height using an

atomic force microscope (Digital Instruments D3000). The separation between pads was 3 μm,

which was much greater than the full width at half maximum of the measured PSF. The

minimum dose-to-print for isolated point and line exposures was also obtained via dose arrays.

Dose arrays of dots, lines, and pads were exposed to gauge how the dose-to-print varied

with Ne+ landing energy and choice of resist: (1) at landing energies between 15 keV and 35 keV

in HSQ; and (2) in thin films of both polymethyl methacrylate (PMMA) and ZEP520A resists on

Si. However, the developed structures using the non-HSQ resists were poorly visible using SEM,

Downloaded from http:// http://www.rle.mit.edu/qnn/publications.htm to be published in Nano Letters

DOI: 10.1021/nl202447n

16

even after coating with a thin film of osmium to enhance contrast; we thus present and discuss

only our results for HSQ. Additionally, the sensitivity of HSQ varied by less than 30% with Ne+

landing energy between 15 and 35 keV. Such process latitude is advantageous because the

extraction voltage that corresponds to minimum spot size for the GFIS can change when the field

emitter is periodically reconstructed.

Feature Characterization

To measure the point-spread function (PSF) in the resist, a sequence of isolated dots at increasing

dose were exposed, and developed feature sizes were measured using SEM3. The normalized

radial distribution of energy dissipation in thin resist is3

2

0 [fC/nm ]( ) ,

( ) [fC]

Df r

Q r (S1)

where D0 is the resist sensitivity and Q(r) is the point dose corresponding to a developed feature

with radius r.

To supplement this measurement of PSF, the PSF was also measured via: (1) TEM

imaging of point exposures of HSQ on a Si3N4 membrane substrate and (2) SEM imaging of

isolated line exposures of HSQ on bulk Si. For the line exposures, Abel inversion4 was used to

transform the measured line-spread function F(y) into an equivalent PSF f(r) via the relation

2 2

1( ) .

r

dF dyf r

dy y r

(S2)

Firstly, a line-spread function (LSF) was obtained via SEM metrology of isolated line exposures.

The line-spread data was fit as 1

2.5( ) 1 4.5F y y

, with a knee at 4.5 nm and an

Downloaded from http:// http://www.rle.mit.edu/qnn/publications.htm to be published in Nano Letters

DOI: 10.1021/nl202447n

17

asymptotic drop-off of 1/y2.5

. Abel inversion using Equation (S2) obtained an equivalent PSF that

is plotted in Figure 4(a) and that agrees with the point-exposure SEM PSF to within an order of

magnitude over the entirety of the experimental dose range. Secondly, data about the head (i.e.

short range) of the PSF was obtained via TEM metrology of isolated point exposures by using a

50-nm-thick Si3N4 membrane as the substrate. The TEM results are also plotted in Figure 4(a)

and also agree with the point-exposure SEM PSF to within an order of magnitude. The observed

mismatch in the latter case was due to different sensitivity by using Si3N4 membrane as the

substrate and beam focusing challenges in this sample.

After fitting a parametric function to the PSF, the modulation transfer function (MTF)

was calculated to determine the minimum pitch obtainable for a given dose contrast.

Specifically, each parametric PSF was numerically convolved with 150 nm × 150 nm grating

structures of 1-nm step size and varying pitch, and then a plot of dose contrast versus spatial

frequency, i.e. reciprocal pitch, was generated. Figure S1 illustrates this process schematically.

Figure S1: Use of the point-spread function (PSF) to estimate the modulation transfer function (MTF). The PSF is

convolved with a grating structure of pitch p to determine the corresponding dose contrast K(1/p). The plot of dose

contrast versus spatial frequency is the MTF.

The fitting function plotted in Figure 4(b) is

Downloaded from http:// http://www.rle.mit.edu/qnn/publications.htm to be published in Nano Letters

DOI: 10.1021/nl202447n

18

3

2

4

3.7 10( ) nm

17.4

f rr

(S3)

and the fitting functions plotted in Figure 5(a) are:

2

4.0

54 nmNe : ( )

[nm]1

7.4 nm

f rr

, (S4)

4.1

26Ga : ( )

112

f rr

, (S5)

3.5 1.5

3.6 1.5He : ( )

1 14.1 0.70

f rr r

, and (S6)

4

3 2.5

0.1 6 10e : ( )

1 14 30

f rr r

. (S7)

Practical Efficiency

We define exposure efficiency as the inverse of the particle fluence required to expose a feature.

However, a practical measure of efficiency must consider the rate of exposure of features over

time. We have de-emphasized practical efficiency in this letter because our interest herein is the

ultimate potential of neon ion lithography. The challenge associated with achieving a higher

beam current than the 0.3 pA used for this work is a challenge of engineering and is not

prohibited by physics; beam currents in excess of 100 pA have been demonstrated using the gas

field ionization source5. However, a direct comparison of practical efficiencies of lithography

using beams of neon ions, electrons, helium ions, and gallium ions is useful and is summarized

by Table S1.

Downloaded from http:// http://www.rle.mit.edu/qnn/publications.htm to be published in Nano Letters

DOI: 10.1021/nl202447n

19

particle current [pA] Dmin [pC/cm] Ep [µm/sec] reference 20 keV Ne+ 0.3 8 400 this letter

30 keV e- 180 8000 200 6

100 keV e- 200 8850 200 7

30 keV He+ 1 60 200 8

30 keV Ga+ 0.6 10 600 this letter

Table S1: Comparison of practical efficiencies reported for lithography of thin (< 20 nm thick) HSQ on Si at

highest resolution for neon ions, electrons, helium ions, and gallium ions. Dmin is the minimum linear dose density

required for exposure and Ep is the practical efficiency, defined as length exposed per unit time for highest-

resolution features. Some values of Dmin above were calculated from reported values of areal dose density and beam

step size for single-pixel line exposures. The value of Dmin for 30 keV Ga+ was estimated from Figure 5(a) as being

slightly higher than the value for 20 keV Ne+.

Table S1 is restricted in scope to practical efficiencies for lithography of thin HSQ on Si

at highest reported resolution. Although one can operate these charged-particle-beam systems at

higher beam current, there is a tradeoff between beam current and spot size because of

conservation of brightness B in an optical column. What follows is a simplified derivation based

on the work of Wells9. For source current density J; small beam convergence angle such that

2J B B , where is the solid angle subtended by the emitted particles; and spot size d,

the beam current I at the sample may be expressed as

2 22

2 4

d dI J B

(S8)

and thus

2

4 1Id

B . (S9)

Focusing in charged-particle systems is limited by chromatic and spherical aberration, which

both increase with , rather than by diffraction. Given this cap on, the maximum current that

can be delivered to a spot of size d, ignoring space charge and statistical Coulomb effects, is

limited by source brightness. Because the gas field ionization source exhibits higher brightness

Downloaded from http:// http://www.rle.mit.edu/qnn/publications.htm to be published in Nano Letters

DOI: 10.1021/nl202447n

20

than the thermal-field-emitter electron source and the gallium liquid-metal-ion source, there is

room for the practical efficiency of neon ion lithography to increase.

Shot Noise

Delivery of the minimum number of particles N necessary to expose a pixel has a statistical

uncertainty N10

. Thus, for a desired linewidth control w, i.e. control of the uncertainty in

average linewidth and ripple along the edge of a line, a minimum average number of charged

particles mN should be delivered to each pixel and a corresponding minimum resist sensitivity S

should be chosen11

. Surprisingly, ~ 10mN has been reported both analytically11

and

experimentally12-14

for ion beam lithography, whereas ~1000mN is typical for electron beam

lithography and would be expected from the uncertainty in particle fluence.

To determine rms linewidth roughness

2

1rms ,

N

i

i

w w

wN

(S10)

where w is the average linewidth and iw is the linewidth at a given position, we (1) pre-

processed an SEM image of parallel lines and (2) fed that image to a custom script that extracted

the width of each pixel-wide segment of each line in the image and calculated rmsw . The salient

elements of pre-processing were (a) median filtering for edge-preserving noise reduction, (b)

adaptive thresholding to identify line edges, and (c) morphological opening to remove isolated

foreground pixels not connected to lines. The pre-processing (using ImageJ) enabled the code for

Downloaded from http:// http://www.rle.mit.edu/qnn/publications.htm to be published in Nano Letters

DOI: 10.1021/nl202447n

21

our script to be concise (fewer than fifty lines in MATLAB). The pre-processing procedure and

script are available from the authors upon request.

We have a few hypotheses for why lines written using neon ion lithography at low

fluence (e.g. in Figure 1) are continuous and with qualitatively small linewidth uncertainty w.

First, the high stopping power of neon relative to e.g. electrons at a given landing energy implies

that more energy is imparted per ion in the resist, and this energy is smeared over a radial

distance from the point of ion incidence well in excess of the beam step size (1 nm), as

evidenced by the measured PSF (Figure 4). Thus, energy overlap among perhaps several

adjacent pixel exposures mitigates the effect of statistical fluctuations in ion delivery. Second, it

may be the case that a region of under-exposed HSQ between fully-exposed regions will not

develop away in solution; rather, the under-exposed region may be anchored by the exposed

region during development. These hypotheses were posited as well by Kubena and others to

explain the surprisingly continuous lines obtained in Ga+ lithography using 15 ions delivered on

average per 15 nm × 15 nm pixel13

. Finally, using the analysis of Smith11

and assuming a 2 nm

FWHM beam, the minimum resist sensitivity required for w ~ 1 nm is 18 C/cm2, which is

the sensitivity shown in Figure 3. Thus, although we do not claim to have overcome shot

noise limitations, there is evidence that our observations are consistent with prior work.

Developing a technique for deterministic delivery of single neon ions to resist would help

elucidate this strange characteristic of ion beam lithography.

1. Yang, J. K. W.; Berggren, K. K. J. Vac. Sci. Technol. B 2007, 25, (6), 2025-9. 2. Morecroft, D.; Yang, J. K. W.; Schuster, S.; Berggren, K. K.; Xia, Q.; Wu, W.; Williams, R. S. J. Vac. Sci. Technol. B 2009, 27, 2837-2840. 3. Rishton, S. A.; Kern, D. P. J. Vac. Sci. Technol. B 1987, 5, 135-41. 4. Hawryluk, R. J.; Smith, H. I.; Soares, A.; Hawryluk, A. M. Journal of Applied Physics 1975, 46, (6), 2528-2537.

Downloaded from http:// http://www.rle.mit.edu/qnn/publications.htm to be published in Nano Letters

DOI: 10.1021/nl202447n

22

5. Ward, B. W.; Notte, J. A.; Economou, N. P. J. Vac. Sci. and Technol. B 2006, 24, (6), 2871-4. 6. Duan, H.; Manfrinato, V. R.; Yang, J. K. W.; Winston, D.; Cord, B. M.; Berggren, K. K. J. Vac. Sci. Technol. B 2010, 28, C6H11-C6H17. 7. Grigorescu, A. E.; van der Krogt, M. C.; Hagen, C. W.; Kruit, P. J. Vac. Sci. and Technol. B 2007, 25, (6), 1998-2003. 8. Sidorkin, V. A. Resist and Exposure Processes for Sub-10-nm Electron and Ion Beam Lithography. Ph.D. thesis, Delft University of Technology, Delft, 2010. 9. Wells, O., Scanning Electron Microscopy. McGraw Hill Book Co.: 1974. 10. Reif, F., Fundamentals of statistical and thermal physics. McGraw Hill: New York, 1965. 11. Smith, H. I. J. Vac. Sci. Technol. B 1986, 4, 148-53. 12. Matsui, S.; Mori, K.; Saigo, K.; Shiokawa, T.; Toyoda, K.; Namba, S. J. Vac. Sci. and Technol. B 1986, 4, (Copyright 1987, IEE), 845-9. 13. Kubena, R. L.; Ward, J. W.; Stratton, F. P.; Joyce, R. J.; Atkinson, G. M. J. Vac. Sci. Technol. B 1991, 9, (6), 3079-83. 14. Melngailis, J. Nucl. Instrum. Methods Phys. Res. B 1993, B80-81, (Journal Article), 1271-80.