vivado design suite - xilinx...vivado design suite 2018.3 リリース ノート 5 ug973 (v2018.3)...

73
Vivado Design Suite ユーザー ガイド リリース ノート、 インストール、 およびライセンス UG973 (v2018.3) 2018 12 14 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に よっては英語版の更新に対応していないものがあります。日本語版は参考用としてご使用の上、最新情報につきまし ては、必ず最新英語版をご参照ください。

Upload: others

Post on 04-Jul-2020

31 views

Category:

Documents


0 download

TRANSCRIPT

Vivado Design Suite ユーザー ガイド

リ リース ノート、 インス トール、およびライセンス

UG973 (v2018.3) 2018 年 12 月 14 日

この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料によっては英語版の更新に対応していないものがあります。日本語版は参考用としてご使用の上、最新情報につきましては、必ず最新英語版をご参照ください。

Vivado Design Suite 2018.3 リ リース ノート 2UG973 (v2018.3) 2018 年 12 月 14 日 japan.xilinx.com

改訂履歴

次の表に、 この文書の改訂履歴を示します。

セクシ ョ ン 改訂内容

2018 年 12 月 14 日 バージョ ン 2018.3

「IP (Intellectual Property)」 不要な情報を削除。

2018 年 12 月 5 日 バージョ ン 2018.3

全体的な変更 章構成を変更。

「新機能」 2018.3 リ リースの新機能の詳細を追加。

2018 年 7 月 23 日 バージョ ン 2018.2

「アーキテクチャ サポート 」 新しいアーキテクチャ サポート を追加。

2018 年 6 月 6 日 バージョ ン 2018.2

「新機能」 2018.2 リ リースの新機能の詳細を追加。

「ダウンロードの検証」 新規セクシ ョ ン。

2018 年 4 月 12 日 バージョ ン 2018.1

「IP (Intellectual Property)」 IP のアップデート情報を追加。

2018 年 4 月 4 日 バージョ ン 2018.1

「新機能」 2018.1 リ リースの新機能の詳細を追加。

「互換性のあるサードパーティ ツール」 サードパーティ ツールの最新バージ ョ ン番号を追加。

「必要なライブラ リの確認」 新規セクシ ョ ン。

目次

改訂履歴 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2

第 1 章: リ リース ノート 2018.3新機能 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5

重要な情報 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12

既知の問題 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13

第 2 章: アーキテクチャ サポートオペレーティング システム . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14

サポート されるデバイス . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 15

互換性のあるサードパーティ ツール . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17

システム要件 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18

第 3 章: ツールのインストールVivado Design Suite ツールのダウンロード . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 20

ダウンロードの検証 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 21

Vivado Design Suite ツールのインス トール . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 29

ケーブル ド ラ イバーのインス トール . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 34

Windows ド ラ イバーのインス トール . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 34

ケーブル ド ラ イバーのアンインス トール . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 34

Linux ド ラ イバーのインス トール . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 35

Linux ド ラ イバーのアンインス トール . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 35

その他のツールおよびデバイスの追加 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 36

ネッ ト ワーク インス トール . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 37

バッチ モード インス トール フロー . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 39

アップデートの取得 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 42

Vivado Design Suite ツールのアンインス トール . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 43

必要なライブラ リの確認 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 44

第 4 章: ライセンスの取得ラ イセンス概要 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 45

証明書ベース ライセンスの生成/インス トール . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 47

ユーザー マシンでのライセンスの管理 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 53

ザイ リ ンクス製品ライセンス サイ トの使用 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 54

第 5 章: WebTalkWebTalk への参加. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 66

WebTalk インス トールのプリ ファレンス設定 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 67

WebTalk ユーザー プリ ファレンスの設定 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 68

WebTalk インス トールおよびユーザー プリ ファレンスの確認 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 69

収集されるデータの種類 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 70

Vivado Design Suite 2018.3 リ リース ノート 3UG973 (v2018.3) 2018 年 12 月 14 日 japan.xilinx.com

データの送信 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 70

付録 A: その他のリソースおよび法的通知ザイ リ ンクス リ ソース . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 71

ソ リ ューシ ョ ン センター . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 71

Documentation Navigator およびデザイン ハブ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 71

ラ イセンスおよびエンド ユーザー ラ イセンス契約 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 72

登録ゲス ト リ ソース . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 72

参考資料 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 72

ト レーニング リ ソース . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 73

お読みください: 重要な法的通知 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 73

Vivado Design Suite 2018.3 リ リース ノート 4UG973 (v2018.3) 2018 年 12 月 14 日 japan.xilinx.com

Vivado Design Suite 2018.3 リ リース ノート 5UG973 (v2018.3) 2018 年 12 月 14 日 japan.xilinx.com

第 1 章

リ リース ノート 2018.3

新機能

Vivado® 2018.3 では、 新しいプロダクシ ョ ン デバイスのサポートが追加されています。 また、 全体的な作業効率を

向上し、 製品を市場に短期間で出せるよ うにするための使いやすさの改善点もあ り ます。

この リ リースでは、 次のデバイスおよび機能もアップデート されています。

デバイス サポート

この リ リースの Vivado には、 デバイスに関して次の変更点があ り ます。

• Virtex UltraScale+™ 58G デバイスを新し くサポート : XCVU27P、 XCVU29P

• Virtex UltraScale+ HBM デバイスを新し くサポート : XCVU31P、 XCVU33P、 XCVU35P、 XCVU37P

次のデバイスをプロダクシ ョ ンでサポート :

• 防衛グレード Zynq UltraScale+ RFSoC:

° XQZU21DR (-1M)

° XQZU28DR (-1M、 -1、 -1LV、 -1L、 -2)

• 防衛グレード Zynq UltraScale+ MPSoC:

° XQZU3EG (-1M、 -1、 -1LV、 -1L、 -2)

° XQZU9EG (-1M、 -1、 -1LV、 -1L、 -2)

Vivado ツール

System Generator for DSP

• 新規スーパー サンプリ ング レート (SSR) ブロ ッ ク ライブラ リ : 使いやすく、 よ り高い抽象性を提供する新しい 25 個のブロ ッ クを追加、 Zynq UltraScale+ RFSoC を含むザイ リ ンクス デバイス用のスーパー サンプリ ング レート (SSR) デザインの作成においてデザイン イテレーシ ョ ンを高速に実行可能。 スーパー サンプリ ング レート デザインおよびザイ リ ンクス SSR ブロ ッ ク ライブラ リの詳細は、 『Vivado Design Suite ユーザー ガイ ド : System Generator を使用したモデル ベースの DSP デザイン』 (UG897) を参照してください。

• スーパー サンプリ ング レート デザイン用の新規リ ファレンス デザイン:System Generator for DSP でザイ リ ンクス SSR ブロ ッ クセッ ト を使用して SSR デザインを作成する方法を学ぶためのデザイン例。 SSR ブロ ッ クを使用したデジタル ダウン コンバーター (DDC)、 Vector FFT ブロ ッ クを使用した IFFT などが含まれます。

• サポート される MATLAB バージ ョ ン: R2017a、 R2017b、 および R2018a。

• Zynq UltraScale+ RFSoC ZCU111 ボードでコード生成をサポート。

Vivado Design Suite 2018.3 リ リース ノート 6UG973 (v2018.3) 2018 年 12 月 14 日 japan.xilinx.com

第 1 章: リ リース ノート 2018.3

Model Composer

• スループッ ト制御: Model Composer での自動最適化を制御するため、 ク ロ ッ クごとに処理するデータ サンプル

数 (スーパー サンプリ ング レート ) を指定し、 デザインの構造を変更するこ とな く希望のスループッ ト を達成。

• シ ミ ュレーシ ョ ン中に C/C++ 関数にデバッグをインポート : サードパーティ デバッガーを使用して、 Simulink

シ ミ ュレーシ ョ ンの実行中にカスタム Model Composer ブロ ッ クに関連付けられている C/C++ コードに対してブ

レークポイン トの追加、 ステップ実行、 変数の中間値の表示を実行。

• 複素数型を使用する C/C++ 関数のインポート をサポート : std::complex 型の引数を含む関数をインポート し、 デ

ザインの複素信号に接続および複素信号でシ ミ ュレーシ ョ ン可能なカスタム Model Composer ブロ ッ クを作成。

• C/C++ 関数インポートの入門サンプル デザイン: C/C++ 関数をカスタム Model Composer ブロ ッ ク と してイン

ポートする機能を紹介する単純なサンプル デザイン。 これらのサンプル デザインには、 MATLAB ヘルプ ブラ

ウザー内からアクセスでき、 独自の関数をインポートするための開始点と して使用できます。

• 数学ブロッ ク : 数学関数サブライブラ リに新し く最適化された Min、 Max、 および Reshape Row-Major ブロ ッ ク

が追加され、 デザイン内でモデリ ング可能な算術演算を拡張。

• 信号配線ブロッ ク : 新規 Mux および Demux ブロ ッ クによ り、 複数の入力を 1 つの大型出力ベクター信号に統合

したり、 1 つの入力ベクター信号を複数の構成スカラー /小型ベクター出力に分割したり して、 デザイン内の信

号配線の制御を向上。

• サポート される MATLAB バージ ョ ン: R2017a、 R2017b、 および R2018a。

新機能および改善点の詳細は、 『Model Composer ユーザー ガイ ド』 (UG1262) を参照してください。

統合設計環境 (IDE)

• プロジェク ト ダッシュボードによ り、 プロジェク トのレポート データを表示および解析可能。 5 つのレポート

がサポート され、 任意の run および個別の段階の結果を表示できます。

• 高解像度モニターをネイティブ サポート。 これまでの Vivado では、 高解像度モニターのフォン トおよび画像の

スケーリ ングにウ ィンド ウ マネージャーを使用していました。 このリ リースからネイティブで実行されるよ う

になり、 Vivado のすべてのフォン トおよびアイコンが 2K および 4K ディ スプレイで鮮明に表示されます。

• Vivado で F1 ヘルプ キーを有効化。

• Vivado で作成される新規 run にデフォルトの Vivado ス ト ラテジを使用。 これは動作の変更です。 これまでの

バージ ョ ンの Vivado では、 新規 run にカスタム ユーザー ス ト ラテジが使用されていました。 この以前の動作を

想定しているスク リプ トは、 アップデートする必要があ り ます。

Vivado IP インテグレーター

• ブロ ッ ク図比較ツール:

° ユーザーがインタラ クティブに操作可能な HTML ベースのレポート を生成するか、 比較コマンドで リ ビ

ジ ョ ン管理システムおよびカスタム スク リプ トで有益なテキス ト レポート を生成。

° 複数のユーザーが同時にレポート を表示可能。 BD 比較ファイルの URL をコピーするだけで、 同じネッ ト

ワークのメンバーが同じレポート を表示できます。 アイテムをク リ ッ クする と Vivado IP インテグレーター

にリアルタイムでクロスプローブするので、 特にデザインのレビューで有益です。

° HTML ベースのレポートでは、 アドバンス フ ィルター機能もサポート され、 必要な情報だけを表示可能。

Vivado Design Suite 2018.3 リ リース ノート 7UG973 (v2018.3) 2018 年 12 月 14 日 japan.xilinx.com

第 1 章: リ リース ノート 2018.3

• パフォーマンスの改善点:

° ブロ ッ ク デザインを作成/再作成するのにかかる時間を短縮。 これは、 デザインを開く と き、

write_bd_tcl を実行したと き、 および設定可能なサンプル デザインで顕著です。

° Slice、 Constant、 および Concat ユーティ リ ティ IP を OOC ではなくグローバル モードで自動合成。 デザイ

ン run を作成するオーバーヘッ ドが削減され、 実行時間が短縮されます。

• ブロ ッ ク図を IP-XACT (XML) ではなく JSON フォーマッ トに自動的に保存:

° BD ファ イルの JSON フォーマッ トは、 詳細度が低く、 標準テキス ト ベース比較ツールで使いやすくなって

います。

RTL 合成

• SystemVerilog で配列のインターフェイスおよび共用体をサポート。

• 次のものに基づいて URAM を自動推論:

° URAM のサイズ。

° URAM パイプライン要件に従っているパイプライン。

° パフォーマンス要件によ り URAM を推論できない場合は、 BRAM を推論。

• バイ ト ライ ト イネーブル機能を必要とする URAM の推論。

Vivado シミ ュレータ

• 波形ビューアーで AXI メモ リ マップドおよび AXI ス ト リーミ ング インターフェイス ト ランザクシ ョ ンの表示

をサポート。

• 波形ビューアーで SystemVerilog の dynamic 型を表示可能。

インプリ メンテーシ ョ ン

• UltraScale+ デバイスでパフォーマンスおよびコンパイル時間を向上。

° 2018.1 と比較して Fmax を 3% 向上。

° 2018.1 と比較して配線コンパイル速度を 2 倍に向上。

• report_qor_suggestions コマンドの適用範囲を拡張、 推奨事項を増加、 GUI でのインタラ クティブ解析を

サポート。 GUI で推奨事項の詳細を選択してクロスプローブするこ とが可能とな り、 推奨事項ファイルを作成

できます。

• report_qor_assessment コマンドは、 デザインがタイ ミ ング要件を満たす可能性を評価してレポート を生

成する新しいコマンドです。 1 ~ 5 のスコア (1: 最低、 5: 最高) が示されます。 report_qor_suggestions コ

マンドを実行する と、 4 以下のスコアを向上するための推奨事項が提供されます。

• Vivado プロジェク トのインク リ メンタル インプ リ メンテーシ ョ ン: 自動モードが導入され、 インク リ メンタル インプ リ メンテーシ ョ ンの使いやすさを大幅に向上。 自動モードを使用する と、 Vivado でチェッ クポイン トがインク リ メンタル インプリ メンテーシ ョ ン用に管理され、 インプリ メンテーシ ョ ン run でのみモードのイネーブル/ディ スエーブルが必要です。

° GUI では [Incremental Implementation] を設定し、 [Automatically use the checkpoint from the previous run] をオンに設定。

° Tcl ではインプリ メンテーシ ョ ン run に対して AUTO_INCREMENTAL_CHECKPOINT を true に設定。

° 最後に実行された配線済み結果とアップデート されたデザインとの一致が高い場合はそれを基準デザインと して再利用し、 一致が低い場合は再利用しない。

Vivado Design Suite 2018.3 リ リース ノート 8UG973 (v2018.3) 2018 年 12 月 14 日 japan.xilinx.com

第 1 章: リ リース ノート 2018.3

• USER_SLL_REG: レジスタを SLR をまたぐレジスタにマップするブール型の新規プロパティ。 SLR をまたぐ場

合の速度を向上できます。

• USER_CROSSING_SLR: パーティシ ョ ンをディ スエーブルにするブール型の新規プロパティ。 特定のネッ トお

よびセルに適切な SLR 境界を指定し、 SLR 間のロジッ クのパーティシ ョ ンを調整します。

• 新しい配線指示子 AggressiveExplore: コンパイル時間は長くな り ますが、 最大限のパフォーマンスを達成でき

ます。

Vivado デバッグ

• RTL の列挙 FSM ステートに mark_debug を追加可能。 デバッグ フローでインプリ メンテーシ ョ ンを通して列

挙が保持され、 デバッグ中に波形ウ ィンド ウで表示できます。

• ハードウェア マネージャー ウ ィンド ウに、 挿入されているかインスタンシエート されているかにかかわらず、

すべてのデバッグ コアに対して RTL インスタンス名を表示可能。 この機能によ り、 デザインのデバッグ中に特

定のデバッグ コアを簡単に見つけるこ とができます。

Vivado プログラマ

• 低集積 ISSI シ リ アル NOR フラ ッシュ メモ リの間接プログラムをサポート。

• 注記: Vivado インス トーラーに、 32 ビッ ト バージ ョ ンのハード ウェア サーバーは含まれなくな り ました。 32

ビッ ト バージ ョ ンが必要な場合は、 別にダウンロード して手動でインス トールして ください。

階層デザイン フロー

• パーシャル リ コンフ ィギュレーシ ョ ン

° Vivado 内でパーシャル リ コンフ ィギュレーシ ョ ン フローにインク リ メンタル コンパイルを使用可能。

° VU440 でパーシャル リ コンフ ィギュレーシ ョ ンを公式サポート。 大型の複雑なデザインの場合、 コンパイ

ルに 64 GB 以上の RAM が必要な場合があ り ます。

° 『Vivado Design Suite チュート リ アル: パーシャル リ コンフ ィギュレーシ ョ ン』 (UG947) に、UltraScale+ FPGA

の DDR4 または QSPI を介した高速パーシャル リ コンフ ィギュレーシ ョ ンの新しい演習を追加。

° 『PCI Express を介した高速パーシャル リ コンフ ィギュレーシ ョ ン』 (XAPP1338) に、UltraScale+ FPGA の PCI

Express を介した高速パーシャル リ コンフ ィギュレーシ ョ ンについて説明。

• Tandem コンフ ィギュレーシ ョ ン

° よ り多くのデバイスで Tandem コンフ ィギュレーシ ョ ンおよびフ ィールド アップデート ソ リ ューシ ョ ンを

プロダクシ ョ ン ステータスでサポート。 ZU4、 ZU5、 ZU11、 ZU17、 KU3P、 KU5P、 および VU5P がサポー

ト されます。

° すべての Zynq UltraScale+ RFSoC デバイスで AXI ス ト リーミ ング コアに対してのみ Tandem コンフ ィギュ

レーシ ョ ンをサポート。 これらのデバイスには MCAP インターフェイスがないので、 サポートは Tandem

PROM のみに限定されます。

° 最大の 3 つの Artix-7 デバイスに、 AXI ス ト リーミ ング コアに対して Tandem コンフ ィギュレーシ ョ ンのサ

ポート を追加。 7A200T、 7A100T、 および 7A75T で、 Tandem PROM および Tandem PCIe ソ リ ューシ ョ ンを

利用できます。

Vivado Design Suite 2018.3 リ リース ノート 9UG973 (v2018.3) 2018 年 12 月 14 日 japan.xilinx.com

第 1 章: リ リース ノート 2018.3

IP (Intellectual Property)

• エンベデッ ド プロセッシング

° MicroBlaze バージ ョ ン 11.0

- 新しいオプシ ョ ンの 64 ビッ ト アドレス指定モード (ベータ バージ ョ ン - 2019.1 でフル リ リース)。

- 現在の PC 位置からではなく最初に実行される命令から開始した後に拡張デバッグ ト レース初期 PC を

出力。

- AXI バス インターフェイス プロパティ HAS_LOCK を追加。

- 例外が正し く発生したと きの拡張デバッグ ト レース PC の出力を修正 (v10.0)。 エ リ ア最適化で拡張デ

バッグ ト レースがイネーブルの場合にのみ発生します。

- プロセッサのデバッグが停止した後に拡張デバッグ ト レース データが断続的に失われる問題を修正

(v10.0)。 外部デバッグ ト レースがイネーブルの場合にのみ発生します。

- 前の命令の動作にかかわらず SLR および SHR が正し く書き込まれるよ う修正 (v10.0)。 スタ ッ ク保護

がイネーブルの場合に周波数最適化でのみ発生します。

• ビデオ/画像処理およびオーディオ

° MIPI

- CSI Receive コアに 16b および 20b RAW のサポート を追加

- Spartan-7 で DSI Transmit コアをサポート

- MIPI D-PHY に 8 レーン コンフ ィギュレーシ ョ ンを追加

° DisplayPort 1.4 Subsystem

- HDCP1.3 を追加

- マルチ ス ト リーム (4 ス ト リーム) のサポート を追加

- MST が選択されている場合に 1 ス ト リームにオーディオ サポート を追加。

- UltraScale+ GTY のサポート を追加

- VCU118 をターゲッ ト とする UltraScale+ GTY アプリ ケーシ ョ ン デザイン例

° Vivado で効率的なオーディオ データ DMA をインプリ メン トするための新しい Audio Formatter IP コア

° PICXO の統合を示す SDI アプリ ケーシ ョ ン デザイン例

° ビデオ処理コア

- HLS ビデオ処理コアがすべて無償とな り、 Vivado のインス トールに含まれる (VPSS、 Video Mixer、

Video TPG、 Frame Buffer WR/RD、 Gamma LUT、 Demosaic、 VTC)。

- 新規コア Multi Scaler (v_multi_scaler_v1_0): 1 つの入力解像度と複数の出力解像度が必要なユース ケー

スでリ ソースが効率的なスケーラー インプリ メンテーシ ョ ン。 メモ リ ベースなので、 レイテンシが追

加されます。 Vivado のインス トールに含まれます。

- 新規 Scene Change Detect (v_scenechange_v1_0): ビデオのシーンの変化を特定し、 VCU ユース ケースに

おけるビデオ エンコードを向上。 Vivado のインス トールに含まれます。

- Video Frame Buffer Write/Read: 色フォーマッ ト RGBX8、 YUVX8、 BGRX8、 RGBX10、 YUVX10 の最初

の数ピクセルが破損するバグを修正。

- Video Processing Subsystem: インターレーサーのみのモードに関連する GUI の問題を修正、 VPSS ス

ケーラーのド ライバーのバグ、 CSC、 レター ボッ クスの問題を修正。

Vivado Design Suite 2018.3 リ リース ノート 10UG973 (v2018.3) 2018 年 12 月 14 日 japan.xilinx.com

第 1 章: リ リース ノート 2018.3

- Video Test Pattern Generator: インターレース ビデオ サポート を追加、 10K サポート を追加。

- IP が停止したと きに制御レジスタのフラ ッシュ ビッ ト を使用して未処理の AXI ト ランザクシ ョ ンをフ

ラ ッシュするよ う Video Mixer ド ラ イバーをアップデート。

- 『AXI4-Stream Video IP およびシステム デザイン ガイ ド』 (UG934) にシステム レベルでのインターレー

ス データ処理に関する内容を追加。

- レガシ LogiCORE Color Correction Matrix、 Color Filter Array Interpolation、 Chroma Resampler、 Gamma

Correction、 Image Edge Enhancement、 Video Deinterlacer、 On-Screen Display、 Video DMA は 2019.1 で廃

止予定。

° Zynq UltraScale+ RFSoC

° RF Data Converter IP:

- 複数帯域をサポート

- 専用ポート を使用した リ アルタイム NCO

- [PLL Summary] タブ

- ド ラ イバーの変更にはアプリ ケーシ ョ ン コードの変更が必要

- 詳細は、 アンサー レコード 71659 を参照してください。

° SD-FEC IP:

- SD-FEC を使用する ZCU111 PetaLinux BSP サンプル デザイン: BER テスターおよびスループッ ト と レイ

テンシの計測をインプリ メン ト

- ウォーム リセッ ト を制限付きでサポート

- 割り込みエラーの監視を追加

- Linux ド ラ イバー資料

ザイリンクス エンベデッ ド ソフ トウェアおよびツール

• システム ソフ ト ウェア ライセンスの重要なアップデート

° ベアメ タル ラ イブラ リおよびド ライバー、 PMU FW、 FSBL

° 改訂されたフル パーミ ッシブ ソフ ト ウェア ライセンス

° オープン ソース互換

• SDK インフラス ト ラ クチャのアップデート

° Cortex-M1 デバッグのサポート を XSCT に追加

• ツール サポート

° ツールチェーンを GCC バージ ョ ン 7.3.1 にアップグレード

° 64 ビッ ト MicroBlaze のサポート をツールチェーンに追加。

° Cortex-M1 CPU コンパイルのサポート をツールチェーンに追加

• ブートおよびコンフ ィギュレーシ ョ ン

° ZCU102 および ZCU106 (2018.3 では EA) で新しい SODIMM モジュールをサポート

° 低集積 ISSI シ リ アル NOR フラ ッシュ メモ リの間接プログラムをサポート。

° 『Bootgen ユーザー ガイ ド』 (UG1283)

Vivado Design Suite 2018.3 リ リース ノート 11UG973 (v2018.3) 2018 年 12 月 14 日 japan.xilinx.com

第 1 章: リ リース ノート 2018.3

• エンベデッ ド ラ イブラ リ

° セキュアなレジスタ読み出し /書き込みアクセス用に新しい eemi API を追加

° XilRSA 用にセキュ リティ アクセス制御を定義、 ビルド時にイネーブルにするこ とが可能

° XILFPGA にキー ローリ ング サポート を追加

° 64 ビッ ト MicroBlaze のサポート を追加 (xilffs、 xilflash、 xilisf、 および xilmfs)

• Linux

° FPGA マネージャーのアップデート

- DTO (デバイス ツ リー オーバーレイ ) を使用したフル ビッ ト ス ト リームの読み込み

- パーシャル ビッ ト ス ト リームは DTO サポート なしで読み込み

- ビッ ト ス ト リームのリードバッ ク

• デバイス ツ リー ジェネレーター (DTG)

° DT サブ ノード生成 MAC マルチ コア

° マルチメディア PL IP ド ラ イバーの DT ノード生成

• PetaLinux ツールおよび BSP

° PetaLinux ツールにデバイス ツ リー オーバーレイ (DTO) 生成サポート を組み込み、 FPGA マネージャーの

ユース ケース開発フローをイネーブル。

° ツールの Eclipse GUI 依存を削除。

° ZCU111 プロダクシ ョ ン BSP (SDFEC サンプル デザイン、サンプル デザイン IP ド ラ イバー、および SDFEC

Linux アプリ ケーシ ョ ンを含む)。

° ホス ト OS: Red Hat/CentOS - 7.2、 7.3、 7.4、 7.5、 および Ubuntu - 16.04.4 LTS)。

• Xen

° Xen 4.11 にアップグレード

- アップス ト リームに基づく Zynq UltraScale+ サポート + ザイ リ ンクス パッチ

° キャ ッシュ可能共有メモ リ

- Linux およびベアメ タル ゲス ト

° 小型の Xen Kconfig

- Xen ハイパーバイザーのサイズを縮小

- コードの行数は 5 万行以下

• OpenAMP

° 最新コ ミ ュニティ OpenAMP リ リースにアップグレード

° AMP ソ リ ューシ ョ ン エレ メン トのデカップリ ング

- ソ リ ューシ ョ ン アーキテクチャを向上

- RPMsg と RProc の間の依存性を削減

° デバッグの改善点

- 『Libmetal および OpenAMP ユーザー ガイ ド』 (UG1186) の改善点

- リモート アプリ ケーシ ョ ンのシンボ リ ッ ク デバッグをアップデート

Vivado Design Suite 2018.3 リ リース ノート 12UG973 (v2018.3) 2018 年 12 月 14 日 japan.xilinx.com

第 1 章: リ リース ノート 2018.3

• PMU ファームウェア/プラ ッ ト フォーム管理

° ウォーム リ スタートでの DDR セルフ リ フレッシュ

° ウォーム リ スタート中に PL ペリ フェラルをアイ ドルにする例を Wiki ページにリ ス ト

° SHA-3 HW 認証をサポート

° パワー マネージメン ト ク ロ ッ ク制御

° Xen を介したパワー マネージメン ト EEMI

重要な情報

ライセンス

Vivado 2017.3 以降のリ リースでは、 ライセンスに関して次の変更点があ り ます。

• Vivado 2017.3 から、 アクティベーシ ョ ン ライセンスはサポート されなくなっています。 既存のアクティベー

シ ョ ン ライセンスは、 japan.xilinx.com/getlicense からアクセス可能な証明書ベースのライセンスに置き換えられ

ています。

• ライセンス管理ツール Flexera のバージ ョ ンが 11.14.1 にアップグレード されています。 Vivado 2017.3 が、 Flex

ライセンス管理ツールで Solaris オペレーティング システムをサポートする最後のリ リースです。 Window およ

び Linux オペレーティング システムは、 引き続き Flex ラ イセンス管理ツールでサポート されます。

• フローティング ラ イセンスを使用しているユーザーは、 ライセンス ユーティ リ ティ を Flex 11.14.1 にアップグ

レードする必要があ り ます。 新しいライセンス ユーティ リ ティは、 ザイ リ ンクス ウェブサイ トからダウンロー

ドできます。

• Flex バージ ョ ン アップグレードは、 有効なライセンス ファ イルには影響しません。 既存のライセンス ファ イル

は、 ライセンス ユーティ リ ティ をアップグレード した後も問題なく機能します。

Vivado 命名規則

次は、 Vivado Design Suite を使用する際に必要な命名規則です。 これらの命名規則に従っていないと、 デザインまた

はツールで問題が発生する可能性があ り、 デザイン フローで予期しない動作が発生するこ とがあ り ます。

• ソース ファイル名は、 アルファベッ ト (A ~ Z、 a ~ z) で開始し、 英数字 (A ~ Z、 a ~ z、 0 ~9) およびアン

ダースコア ( _ ) のみを含めます。

• 出力ファイル名は、 アルファベッ ト (A ~ Z、 a ~ z) で開始し、 英数字 (A ~ Z、 a ~ z、 0 ~9) およびアンダース

コア ( _ ) のみを含めます。

• プロジェク ト ファ イル名は、 アルファベッ ト (A ~ Z、 a ~ z) で開始し、 英数字 (A ~ Z、 a ~ z、 0 ~9) および

アンダースコア ( _ ) のみを含めます。

• プロジェク ト ディレク ト リ名は、 アルファベッ ト (A ~ Z、 a ~ z) で開始し、 英数字 (A ~ Z、 a ~ z、 0 ~9)、

ティルデ (~)、 およびアンダースコア ( _ ) のみを含めます。

注意: Windows OS にはパス長に 260 文字の制限があ り、 これが Vivado ツールに影響するこ とがあ り ます。 この問題

を回避するには、 プロジェク ト を作成、 IP プロジェク ト または Manage IP プロジェク ト を定義、 ブロ ッ ク デザイン

を作成する際に、 名前およびディ レク ト リ名をできるだけ短く して ください。

Vivado Design Suite 2018.3 リ リース ノート 13UG973 (v2018.3) 2018 年 12 月 14 日 japan.xilinx.com

第 1 章: リ リース ノート 2018.3

プロジェク ト名、 ファ イル名、 またはディ レク ト リ名に次の文字を含めるこ とはできません。

• ! # $ % ^ & * ( ) ` ; < > ? , [ ] { } ' " |

• タブ (\t)

• リ ターン (\r)

• 復帰改行 (\n)

• / または \ (パス区切り文字と してではなく、 ディ レク ト リ またはファイル名の一部と して)

ディ レク ト リ名では、 次の文字も使用できません。

• . (端末文字と してのピ リオド )

ファ イル名またはプロジェク ト名には、 次の文字は使用できません。

• @

注記: Vivado IDE では、新しいファイルまたはプロジェク ト名に @ 文字はサポート されません。ディ スク上にある既

存のファイルの名前に @ 文字が使用されている場合は、 そのファイルをプロジェク トに追加するこ とは可能です。

プロジェク ト名に @ 文字が含まれるプロジェク ト を Vivado IDE で開く こ とは可能です。 Tcl コンソールでは、 名前

に @ 文字を含むプロジェク ト を作成できます。

重要: Windows オペレーティング システムでは、 ディ レク ト リ名およびファイル名にスペースを使用できますが、 プ

ロジェク ト またはファイルを Windows と Linux の両方で使用するこ とを考慮し、 スペースは使用しないよ うにして

ください。

Vivado Design Suite では、 Windows および Linux プラ ッ ト フォームの両方でパスの区切り文字と してフォワード ス

ラ ッシュ (/) がサポート されます。 バッ クスラ ッシュ (\) は、 Windows プラ ッ ト フォームでのみパスの区切り文字と し

て使用できます。

上記に明記されていないその他すべての文字は、 プロジェク ト 、 ファ イル、 またはディ レク ト リ名には使用できま

せん。

Vivado Design Suite 資料のアップデート

Vivado Design Suite 2018.3 では、 ツールのリ リース時にリ リースされない資料があ り ます。 DocNav で [Update

Catalog] ボタンをク リ ッ ク し、 2018.3 の最新の資料を確認して ください。

既知の問題

Vivado Design Suite の既知の問題は、 アンサー 70860 を参照してください。

Vivado Design Suite 2018.3 リ リース ノート 14UG973 (v2018.3) 2018 年 12 月 14 日 japan.xilinx.com

第 2 章

アーキテクチャ サポート

オペレーティング システム

ザイ リ ンクスでサポート される OS は、 次の x86 および x86-64 プロセッサ アーキテクチャの OS です。

Microsoft Windows サポート

• Windows 7 SP1 Professional (64 ビッ ト )、 英語版/日本語版。

• Windows 10.0 1803 Update、 10.0 1809 Update (64 ビッ ト )、 英語版/日本語版。

Linux サポート

• Red Hat Enterprise Workstation/Server 7.2、 7.3、 7.4、 および 7.5 (64 ビッ ト )

• Red Hat Enterprise Workstation 6.6、 6.7、 6.8、 および 6.9 (64 ビッ ト )

• SUSE Linux Enterprise 11.4 および 12.3 (64 ビッ ト )

• CentOS 7.2、 7.3、 7.4、 および 7.5 (64 ビッ ト )

• CentOS 6.7、 6.8、 および 6.9 (64 ビッ ト )

• Ubuntu Linux 16.04.4、 18.04 LTS (64 ビッ ト )

注記: PetaLinux でサポート されるオペレーティング システムのインス トール要件の詳細は、 『PetaLinux ツール資料:

リ ファレンス ガイ ド』 (UG1144) [参照 22] を参照してください。

Vivado Design Suite 2018.3 リ リース ノート 15UG973 (v2018.3) 2018 年 12 月 14 日 japan.xilinx.com

第 2 章: アーキテクチャ サポート

サポート されるデバイス

次の表は、 Vivado Design Suite WebPACK™ ツールとその他すべての Vivado Design Suite エディシ ョ ンのコマーシャル

製品のアーキテクチャ サポート を リ ス ト しています。 コマーシャル製品以外では、 ザイ リ ンクス オートモーティブ

デバイスがすべて Vivado Design Suite WebPACK ツールでプロダクシ ョ ン デバイスと して使用可能になる とサポート

されます。

表 2-1: アーキテクチャ サポート

Vivado WebPACK ツールVivado Design Suite(全エディシ ョ ン)

Zynq® デバイス Zynq-7000 SoC デバイス

• XC7Z010、 XC7Z015、 XC7Z020、

XC7Z030、 XC7Z007S、 XC7Z012S、XC7Z014S

Zynq-7000 SoC デバイス

• すべて

Zynq® UltraScale™+

MPSoC

UltraScale+ MPSoC

• XCZU2EG、 XCZU2CG、 XCZU3EG、

XCZU3CG、 XCZU4EG、 XCZU4CG、

XCZU4EV、 XCZU5EG、 XCZU5CG、

XCZU5EV、 XCZU7EV、 XCZU7EG、

XCZU7CG

UltraScale+ MPSoC

• すべて

Zynq® UltraScale+™

RFSoC

Zynq® UltraScale+™ RFSoC

• なし

Zynq® UltraScale+™ RFSoC

• すべて

Virtex® FPGA Virtex-7 FPGA

• なし

Virtex UltraScale™ FPGA

• なし

Virtex-7 FPGA

• すべて

Virtex UltraScale FPGA

• すべて

Virtex UltraScale+ FPGA

• すべて

Virtex UltraScale+ HBM

• すべて

Virtex UltraScale+ 58G

• すべて

Kintex® FPGA Kintex-7 FPGA

• XC7K70T、 XC7K160T

Kintex UltraScale™ FPGA

• XCKU025、 XCKU035

Kintex UltraScale+ FPGA

• XCKU3P。 XCKU5P

Kintex-7 FPGA

• すべて

Kintex UltraScale FPGA

• すべて

Kintex UltraScale+ FPGA

• すべて

Vivado Design Suite 2018.3 リ リース ノート 16UG973 (v2018.3) 2018 年 12 月 14 日 japan.xilinx.com

第 2 章: アーキテクチャ サポート

Artix® FPGA Artix-7 FPGA

• XC7A12T、 XC7A15T、 XC7A25T、

XC7A35T、 XC7A50T、 XC7A75T、

XC7A100T、 XC7A200T

Artix-7 FPGA

• すべて

Spartan®-7 Spartan-7

• XC7S6、 XC7S15

• XC7S25、 XC7S50

• XC7S75、 XC7S100

Spartan-7

• すべて

表 2-1: アーキテクチャ サポート (続き)

Vivado WebPACK ツールVivado Design Suite(全エディシ ョ ン)

Vivado Design Suite 2018.3 リ リース ノート 17UG973 (v2018.3) 2018 年 12 月 14 日 japan.xilinx.com

第 2 章: アーキテクチャ サポート

互換性のあるサードパーティ ツール

重要: 表 2-2 にリ ス ト されている リ ビジ ョ ンは、 Vivado ツールで使用するために最低限必要なバージ ョ ンです。 以前

のバージ ョ ンはテス ト されていません。

表 2-2: 互換性のあるサードパーティ ツール

サードパーティ ツールRed Hat

LinuxRed Hat Linux-64 SUSE Linux Windows-7/10 32

ビッ ト

Windows-7/10 64 ビッ ト

Ubuntu

シミ ュレーシ ョ ン

Mentor Graphic ModelSim

SE/DE/PE (10.6c)○ ○ ○ ○ ○ 該当なし

Mentor Graphics Questa

Advanced Simulator(10.6c)○ ○ ○ ○ ○ 該当なし

Cadence Incisive Enterprise

Simulator (IES) (15.20.053)○ ○ ○ 該当なし 該当なし 該当なし

Cadence Xcelium Parallel

Simulator (18.03.005)○ ○ ○ 該当なし 該当なし 該当なし

Synopsys VCS および VCS MX (N-2017.12-SP2)

○ ○ ○ 該当なし 該当なし 該当なし

MathWorks MATLAB® および

Simulink® (R2017a、 R2017b、

および R2018a)

○ ○ × 該当なし ○ ○

Aldec Active-HDL (10.5)(1) 該当なし 該当なし 該当なし ○ ○ 該当なし

Aldec Riviera-PRO (2018.02) ○ ○ ○ ○ ○ 該当なし

合成(2)

Synopsys Synplify/Synplify Pro

(M-2017.03-SP1)(3) ○ ○ ○ ○ ○ 該当なし

Mentor Graphics Precision

RTL/Plus (2016.1)○ ○ ○ ○ ○ 該当なし

等価性チェ ック

Cadence Encounter Conformal

(9.1)(4) ○ ○ ○ 該当なし 該当なし 該当なし

OneSpin 360 (2016_12) ○ ○ ○ 該当なし 該当なし 該当なし

注記:1. Aldec シ ミ ュレータのサポートは Aldec 社よ り提供されています。

2. RTL ソースには暗号化されたファ イルが含まれる可能性があるので、 ほとんどの IP は Vivado 合成でのみ合成できます。 この IP をサードパーティ合成フローで使用するには、 Vivado ツールから合成済みネッ ト リ ス ト を最適な形式でエクスポート して、

サードパーティ合成プロジェク トで使用できるよ うにします。

3. Synplify Overlay またはサービス パッ クの利用については、 Synopsys 社にお問い合わせください。

4. Cadence Encounter Conformal は、 Synopsys 社の Synplify を使用した場合にのみ RTL2Gate 用にサポート されます。

Vivado Design Suite 2018.3 リ リース ノート 18UG973 (v2018.3) 2018 年 12 月 14 日 japan.xilinx.com

第 2 章: アーキテクチャ サポート

システム要件

このセクシ ョ ンでは、 システム メモ リ要件、 ケーブル インス トールおよびその他の要件および推奨事項について説

明します。

演習には、 MATLAB 2014a (またはそれ以降) および Vivado Design Suite 2014.2 (またはそれ以降) が必要です。

推奨されるシステム メモリ

Vivado Design Suite ツールのメモ リの推奨事項については、 システム メモ リ要件を参照してください。

OS と使用可能なメモリ

Microsoft Windows および Linux OS のアーキテクチャ場合、ザイ リ ンクスプ ログラムに使用できる メモ リの最大容量

に制限があ り、 大規模デバイスおよび複雑なデバイスを作成する際に問題となるこ とがあ り ます。 Vivado Design

Suite には最適化メモ リが含まれており、 ザイ リ ンクス ツール用に RAM を増加できるよ うになっています。

ケーブル インストール要件

ザイ リ ンクス デザイン ツールでターゲッ ト ハードウェアをプログラムおよびコンフ ィギュレーシ ョ ンするには、 ハ

イ パフォーマンスなケーブル、 Platform Cable USB II が必要です。

注記: デバッグまたはプログラムに使用されていた Xilinx Parallel Cable IV は、 サポート されなくなっています。

推奨: Platform Cable USB II をインス トールする場合は、 少なく と も USB 1.1 ポートが必要になり ます。 最適なパ

フォーマンスには、 USB 2.0 ポートで Platform Cable USB II を使用するこ とをお勧めします。

ケーブルは、 Windows 7、 Windows 10、 Red Hat Linux Enterprise、 SUSE Linux Enterprise 12 などの OS の 64 ビッ ト バー

ジ ョ ンで公式にサポート されています。 その他の注意点は、 次を参照してください。

• ルート ディレク ト リへの権限が必要です。

• SUSE Linux Enterprise 11: Platform Cable USB II が正し く動作するためには、 fxload ソフ ト ウェアパ ッ ケージが必

要です。 fxload パッケージは SUSE Linux Enterprise 11 には自動的にインス トールされないので、 そのユーザー

またはシステム管理者がインス トールしておく必要があ り ます。

ザイ リ ンクス ケーブルに関するその他の情報は、 次の資料を参照して ください。

• 『Platform Cable USB II データシート 』 (DS593) [参照 13]

Vivado Design Suite 2018.3 リ リース ノート 19UG973 (v2018.3) 2018 年 12 月 14 日 japan.xilinx.com

第 2 章: アーキテクチャ サポート

装置とアクセス権

次の表は、 関連装置、 アクセス権、 ネッ ト ワーク接続の条件を示しています。

注記: Exceed、 ReflectionX、 XWin32 のよ うな X サーバー / リモート デスク ト ップ サーバーはサポート されません。

ネッ トワーク時刻の同期化

ソフ ト ウェアがインス トールされたコンピューターとは別のネッ ト ワーク コンピューターにユーザーのデザイン

ファ イルを保存する場合、 どちらのコンピューターも同時刻に設定する必要があ り ます。 ソフ ト ウェアのファンク

シ ョ ンを最適にするため、 時刻は定期的に合わせてください。

表 2-3: 装置とアクセス権の要件

項目 要件

ディ レク ト リのアクセス権 編集するデザインフ ァイルが含まれるディレク ト リすべての書き込み権が必要です。

モニター 解像度 1024x768 ピクセル以上の 16 ビッ ト カ ラー VGA をお勧めします。

ポート デバイスのプログラムには、 ザイ リ ンクス プログラム ケーブル用にパラレル ポー

ト、 USB ポートのいずれかが必要です。 ポートの仕様については、 ケーブルのマ

ニュアルを参照してください。

注記: ケーブル ド ラ イバー ソフ ト ウェアのインス トールには、 Windows-10 が必要

です。 これらの OS のいずれかを使用していない場合、 ケーブルが正し く動作しな

いこ とがあ り ます。

Vivado Design Suite 2018.3 リ リース ノート 20UG973 (v2018.3) 2018 年 12 月 14 日 japan.xilinx.com

第 3 章

ツールのインストールこの章では、 Vivado® Integrated Design Environment (IDE)、 Vivado HLS、 System Generator for DSP、 Model Composer な

どを含む Vivado Design Suite のダウンロードおよびインス トール方法について説明します。

Vivado Design Suite ツールのダウンロード

ザイ リ ンクス® デザイン ツールには、 複数のダウンロードおよびインス トール方法があ り ます。

Vivado Lab Edition では、 ラボ設定でデバイスをプログラムおよびデバッグする専用の効率的な環境が提供されるよ

うになっています。

ヒン ト : Vivado Lab Edition にはライセンスは必要あ り ません。

Vivado のフル エディシ ョ ンのいずれかをインス トールする場合、 次の 3 つの選択肢があ り ます。

Vivado Design Suite - HLx エディシ ョ ン

• [WebPack and Editions]: Windows 用のウェブ インス トーラー

• [WebPack and Editions]: Linux 用のウェブ インス トーラー

• [All OS Single File Download]: すべての OS 用のファイルを 1 つのファイルでダウンロード

すべてのエディシ ョ ンおよびダウンロード オプシ ョ ンについては、 ザイ リ ンクス ウェブサイ ト (ダウンロード ) を参

照してください。

ダウンロード前に、 次を設定しておいてください。

• https://www.o-ms.com/ からのポップアップを許可する。

• 保護項目もそ うでない項目も同じページに表示されるよ うにセキュ リ ティを設定する。

Vivado Design Suite のフル エディシ ョ ンをダウンロードするには、 次を実行します。

1. 上記のダウンロード サイ トで [Vivado] タブをク リ ッ ク します。

2. 左側からダウンロードするツールのバージ ョ ンをク リ ッ ク します。

3. ダウンロードするインス トーラーのリ ンクをク リ ッ ク します。

Vivado Lab Edition ツールをダウンロードするには、[Vivado] タブで 2017.1 以降のバージ ョ ンをク リ ッ ク して、Vivado

Lab Edition に関するファイルをダウンロード します。

注記: フル エディシ ョ ン インス トーラーは、 64 ビッ ト マシンでのみ実行できます。

Vivado Design Suite 2018.3 リ リース ノート 21UG973 (v2018.3) 2018 年 12 月 14 日 japan.xilinx.com

第 3 章: ツールのインストール

ダウンロードの検証

ダウンロードを検証するため、 ダウンロード ページに [ダイジェス ト ]、 [シグネチャ ]、 および [公開キー ] ボタンが

あ り ます。

ダウンロードの検証を使用する と、 ダウンロード したファ イルの信頼性および整合性をかなりの精度で確認できま

す。 認証では、 ダウンロード したファ イルが署名者 (この場合は Xilinx Inc.) によ り作成され、 サードパーティによ り

偽造されていないこ とを検証します。 整合性では、 ダウンロード したファ イルの内容がサードパーティによ り改ざ

んされていないこ とを検証します。 つま り、 ダウンロードの検証を使用する と、 ダウンロード したファ イルがザイ

リ ンクスによ り作成されたもので、 ダウンロード したファ イルの内容が変更されていないこ とを確認できます。

推奨: ダウンロードの検証はオプシ ョ ンですが、 実行するこ とを強くお勧めします。 ザイ リ ンクス ウェブサイ トのダ

ウンロード ページからツールをダウンロード した後、 次の手順はスキップしてツールをインス トールできます。

注記: Windows 用のダウンロードには、 ダウンロードの検証はあ り ません。 Windows 実行ファイルは、 EV (Extended

Validation) コード署名証明書を使用して署名されています。 ダウンロード したファ イルが改ざんされいてる場合、

Moft SmartScreen® アプリ ケーシ ョ ン評価フ ィルターによ り警告が表示されます。

ダウンロード したファ イルの信頼性および整合性を確認する方法は 2 つあり ます。 次のいずれかまたは両方の方法

を使用して、 ダウンロード したファ イルの信頼性および整合性を確認してください。

1. シグネチャの検証

2. ダイジェス トの検証

どちららの方法でも、 公開キーをダウンロードおよびインポート して、 信頼性レベルを設定する必要があ り ます。

Vivado Design Suite 2018.3 リ リース ノート 22UG973 (v2018.3) 2018 年 12 月 14 日 japan.xilinx.com

第 3 章: ツールのインストール

ザイリンクス公開キーのダウンロード、 インポート、 および信頼性レベルの設定

ザイリンクス公開キーのダウンロード

ザイ リ ンクス公開キーは、 ザイ リ ンクス ウェブサイ トのダウンロード ページからダウンロードできます。 ザイ リ ン

クス公開キーのキー名は xilinx-master-signing-key.asc です。

GPG を使用した公開キーのインポート

ザイ リ ンクス公開キーをインポート したら、 GPG を使用して公開キーをインポート します。 ザイ リ ンクス公開キー

をインポートするには、 次のコマンドを使用します。

gpg --import ./xilinx-master-signing-key.asc

キーが正し く インポート される と、 出力は次のよ うになり ます。

X-Ref Target - Figure 3-1

図 3-1: ダウンロード ページの [ダイジェスト ]、 [シグネチャ ]、 および [公開キー ] ボタン

X-Ref Target - Figure 3-2

図 3-2: 公開キーのインポート

Vivado Design Suite 2018.3 リ リース ノート 23UG973 (v2018.3) 2018 年 12 月 14 日 japan.xilinx.com

第 3 章: ツールのインストール

ザイリンクス公開キーの信頼性レベルの設定

ザイ リ ンクス公開キーをダウンロード してインポート したら、 信頼性レベルを ultimate に設定します。 これによ り、

ザイ リ ンクスによ り署名されたキーを検証できます。

1. まず、 次のコマンドを使用して公開キーを リ ス ト します。

gpg --list-keys

2. 次のコマンドを使用して、 編集するキーを指定します。

gpg --edit-key 0x85D4B4BB1D692FDB

X-Ref Target - Figure 3-3

図 3-3: キーのリスト

X-Ref Target - Figure 3-4

図 3-4: キーの編集

Vivado Design Suite 2018.3 リ リース ノート 25UG973 (v2018.3) 2018 年 12 月 14 日 japan.xilinx.com

第 3 章: ツールのインストール

4. プロンプ トに 5 を入力します。

ザイ リ ンクス公開キーをダウンロードおよびインポート して信頼性レベルを設定したので、 シグネチャまたはダイ

ジェス ト を検証するこ とによ りダウンロード したファ イルの信頼性と整合性を確認できます。 ダウンロード した

ファ イルの信頼性および整合性を確認するには、 次のいずれかまたは両方の方法を使用できます。

X-Ref Target - Figure 3-6

図 3-6: キーの信頼性レベルを ultimate に設定

Vivado Design Suite 2018.3 リ リース ノート 26UG973 (v2018.3) 2018 年 12 月 14 日 japan.xilinx.com

第 3 章: ツールのインストール

シグネチャの検証

ダウンロード したファ イルのシグネチャが一致するこ とを検証するには、 ザイ リ ンクス ウェブサイ トのダウンロー

ド ページからシグネチャ ファ イルをダウンロードする必要があ り ます。

シグネチャ ファイルをダウンロード して保存したら、 次のコマンドを使用してシグネチャを検証します。

gpg -v --verify Xilinx_Vivado_SDK_Web_2018.3_0410_1926_Lin64.bin.sig Xilinx_Vivado_SDK_Web_2018.3_0410_1926_Lin64.bin

ダイジェス トの検証

これは、 ダウンロード したファ イルの信頼性および整合性を検証するも う 1 つの方法です。 この方法では、 さまざ

まな暗号学的ハッシュ関数の出力であるダイジェス ト (ハッシュ値) を使用します。 ダウンロード したファ イルをダ

イジェス ト を使用して検証するには、 ザイ リ ンクス ウェブサイ トのダウンロード ページからダイジェス ト ファ イル

をダウンロードする必要があ り ます。 現在のダイジェス ト ファ イルでは、 MD5、 SHA1、 SHA256、 SHA512 ハッ

シュ アルゴ リズムがサポート されます。

ダイジェス ト ファイルをダウンロード して保存したら、 まずダイジェス ト ファ イルを認証し、 ザイ リ ンクスが発行

したものであるこ とを確認します。

X-Ref Target - Figure 3-7

図 3-7: シグネチャの検証

Vivado Design Suite 2018.3 リ リース ノート 27UG973 (v2018.3) 2018 年 12 月 14 日 japan.xilinx.com

第 3 章: ツールのインストール

ダイジェスト ファイルの認証

ダイジェス ト ファイルがザイ リ ンクスが発行したものであるこ とを確認するには、 次のコマンドを実行してシグネ

チャを検証します。

gpg -v --verify Xilinx_Vivado_SDK_Web_2018.3_0410_1926_Lin64.bin.digests

認証が完了したら、 ハッシュ実行ファイルまたは OpenSSL を使用してダイジェス ト を検証します。

X-Ref Target - Figure 3-8

図 3-8: ダイジェスト ファイルの認証

Vivado Design Suite 2018.3 リ リース ノート 28UG973 (v2018.3) 2018 年 12 月 14 日 japan.xilinx.com

第 3 章: ツールのインストール

ハッシュ実行ファイルの使用

次のコマンドを使用する と、 ハッシュ実行ファイル sha256 を使用してダイジェス ト を検証できます。 md5sum、

sha1sum、 sha512sum などのハッシュ実行ファイルを使用するこ と もできます。

sha256sum -c Xilinx_Vivado_SDK_Web_2018.3_0410_1926_Lin64.bin.digests

OpenSSL の使用

OpenSSL を使用してダイジェス ト を検証するには、 次のコマンドを実行します。 このコマンドで計算され、 出力さ

れたハッシュ値を、 ダイジェス ト ファ イルの内容と比較します。

openssl dgst -sha256 Xilinx_Vivado_SDK_Web_2018.3_0410_1926_Lin64.bin

X-Ref Target - Figure 3-9

図 3-9: ハッシュ実行ファイルを使用した検証

X-Ref Target - Figure 3-10

図 3-10: OpenSSL を使用した検証

Vivado Design Suite 2018.3 リ リース ノート 29UG973 (v2018.3) 2018 年 12 月 14 日 japan.xilinx.com

第 3 章: ツールのインストール

Vivado Design Suite ツールのインストール

このセクシ ョ ンでは、 すべての OS に共通する Vivado Design Suite のインス トール方法を示します。

インストールの準備

重要: インス トールを開始する前に、 次を実行しておきます。

• システムまたは製品オプシ ョ ンに伴う インス トールの問題については、 「重要な情報」 を参照してください。

• システムが第 2 章 「アーキテクチャ サポート 」 に示されている必要条件を満たしているかど うか確認します。

• インス トール時間を削減するため、 アンチウイルス ソフ ト ウェアをオフにします。

• インス トールを開始する前に、 すべてのプログラムを終了します。

• Vivado Design Suite のインス トーラーは、 Windows では XILINX のよ うなグローバル環境変数を設定しません。

シングル ファイル ダウンロード、 Lab Edition または DVDシングル ファ イル ダウンロード (全 OS 用) または Lab Edition をインス トールするには、リ ンクをク リ ッ ク して [実行

] をク リ ッ クするか、 [保存] でダウンロード したファ イルを解凍して、 xsetup (Linux の場合) または xsetup.exe

(Windows の場合) を実行します。 フル エディシ ョ ン製品のみを含む DVD を受け取った場合は、 xsetup(.exe) を

直接ク リ ッ ク します。

推奨: ダウンロード した tar.gz ファ イルを解凍するには、 7-zip または WinZip (v.15.0 以降) の使用をお勧めします。

インストーラーのダウンロード

インス トーラーのリ ンクをク リ ッ ク し、 ザイ リ ンクスのアカウン トにサインインして、 アカウン ト情報の変更が必

要な場合は変更し、 [次へ] をク リ ッ ク します。 [実行] をク リ ッ ク してインス トーラーを実行します (または、 [保存]

をク リ ッ ク してファ イルを任意のディ レク ト リに保存してから、 実行します)。 インス トール タイプの選択画面が表

示されたら、 通常のザイ リ ンクス ログイン ID を使用してログインします。

ログイン ID を入れたら、 従来のウェブベースのインス トールか、 フル インス トール イ メージのダウンロードかを

選択できます。

• [Download and Install Now] を選択する と、 次の画面で特定のツールおよびデバイス ファ ミ リ を選択でき、 その

選択に関連するファイルのみがダウンロード され、 インス トールされます。

• [Download Full Image] を選択した場合は、 ダウンロード ディレク ト リ をユーザーが指定して、 Windows のみか

Linux のみか、 両方のオペレーティング システムをサポートするインス トールかを選択する必要があ り ます。

[Download Full Image] を選択した場合は、 これ以上オプシ ョ ンを選択する必要はなく、 ダウンロード ディ レク

ト リから xsetup アプリ ケーシ ョ ンを実行して別々にインス トールを実行する必要があ り ます。

注記: Lab Edition の場合は、 Lan Edition 用の単一のイ メージ ファ イルをダウンロード して ください。

Vivado Design Suite 2018.3 リ リース ノート 30UG973 (v2018.3) 2018 年 12 月 14 日 japan.xilinx.com

第 3 章: ツールのインストール

インターネッ ト接続

インス トーラーは、 Windows のシステム プロキシ設定を使用してインターネッ トに接続します。 接続には、 [コン ト

ロール パネル] → [インターネッ ト オプシ ョ ン] での設定が使用されます。 Linux ユーザーの場合は、 Firefox ブラウ

ザーのプロキシ設定が接続に使用されます。

X-Ref Target - Figure 3-11

図 3-11: Vivado Design Suite のインストール: インストール ソースの選択

X-Ref Target - Figure 3-12

図 3-12: Vivado Design Suite のインストール - 接続

Vivado Design Suite 2018.3 リ リース ノート 31UG973 (v2018.3) 2018 年 12 月 14 日 japan.xilinx.com

第 3 章: ツールのインストール

接続に問題がある場合は、 次を確認してください。

1. 別のプロキシ設定を使用する場合は、 [Manual Proxy Configuration] オプシ ョ ンで設定を指定します。

2. 会社のファイアウォールにユーザー名とパスワードを使用したプロキシ認証が必要かど うかを確認してくださ

い。 必要であれば、 上記のダイアログ ボッ クスで [Manual Proxy Configuration] で設定します。

3. Linux ユーザーが Firefox ブラウザーで [Use system settings] または [Auto-detect settings] のいずれかを選択した場

合は、 インス トーラーでプロキシを手動で設定する必要があ り ます。

使用許諾契約

インス トールを続行する前に、 使用許諾契約をお読みください。 契約条件を許諾できない場合は、 インス トールを

キャンセルしてザイ リ ンクスまでお問い合わせください。

X-Ref Target - Figure 3-13

図 3-13: Vivado Design Suite のインストールー プロキシ設定の変更

Vivado Design Suite 2018.3 リ リース ノート 32UG973 (v2018.3) 2018 年 12 月 14 日 japan.xilinx.com

第 3 章: ツールのインストール

エディシ ョ ンの選択

必要なエディシ ョ ンまたはスタンドアロン ツールを選択します。ザイ リ ンクス ソフ ト ウェア開発キッ ト (XSDK) は、

Vivado のエディシ ョ ン (WebPACK、 System、 Design) の一部と してインス トールするこ と もできます。

Vivado の WebPACK および Design Edition は、 インス トール後に System Edition にアップグレードするこ と もできま

す。 詳細は、 36 ページの 「その他のツールおよびデバイスの追加」 を参照してください。

X-Ref Target - Figure 3-14

図 3-14: Vivado Design Suite のインストール - 製品の選択

Vivado Design Suite 2018.3 リ リース ノート 33UG973 (v2018.3) 2018 年 12 月 14 日 japan.xilinx.com

第 3 章: ツールのインストール

ツール、 デバイス、 オプシ ョ ン

デザイン ツール、 デバイス ファ ミ リおよびインス トール オプシ ョ ンを選択してインス トールをカスタマイズしま

す。 必要なものだけを選択するこ とで、 製品のダウンロードおよびインス トールにかかる時間を削減できます。 後

から追加するには、OS の [スタート ] メニューか Vivado の [Help] メニューのいずれかで [Add Design Tools or Devices]

をク リ ッ ク します。

シ ョート カッ トおよびファイルの関連付け

プログラム グループ入力 ([スタート ] メニュー ) とデスク ト ップ シ ョート カッ トの作成はカスタマイズできます。 オ

プシ ョ ンで、 この Vivado バージ ョ ンを使用して Vivado プロジェク ト ファ イルを開始するためのファイルの関連付け

を作成するこ と もできます。 シ ョート カッ ト作成およびファイルの関連付けオプシ ョ ンは、 現在のユーザーまたは

すべてのユーザーに適用できます。

X-Ref Target - Figure 3-15

図 3-15: Vivado Design Suite のインストール ー Vivado System Edition

Vivado Design Suite 2018.3 リ リース ノート 34UG973 (v2018.3) 2018 年 12 月 14 日 japan.xilinx.com

第 3 章: ツールのインストール

ケーブル ド ライバーのインストール

Windows の場合、 インス トーラーに [Install Cable Drivers] がオプシ ョ ンで表示されます。

Linux の場合、 ド ライバーのインス トールにルート または sudo アクセスが必要なので、 Vivado 2015.4 以降の Linux イ

ンス トーラーから削除されるよ うになり ました。 通常の Vivado インス トーラーは、 ルート または sudo 権限なしに

Linux で実行できるよ うにな り ました。 Linux にケーブル ド ラ イバーをインス トールするには、 ルート または sudo ポ

ス ト インス トールと して実行する必要のあるスク リプ ト を使用できます。

スク リプ トのディ レク ト リ : <Vivado Install Dir>/data/xicom/cable_drivers/lin64/install_script/install_drivers/

スク リプ ト名: install_drivers

Windows ド ライバーのインストール

管理者と してコマンド プロンプ ト を開き ([スタート ] → [すべてのプログラム] → [アクセサリ ] → [コマンド プロンプ

ト ] を右ク リ ッ ク → [管理者と して実行])、 次のコマンドを入力します。 %VIVADO_INSTALL_DIR% はインス トール

ディ レク ト リに、 %log_dir% はログ ディ レク ト リに置き換えてください。 %log_dir% を指定しない場合、

install_drivers_wrapper.log という名前のファイルが %VIVADO_INSTALL_DIR% ディレク ト リの下に保存されます。

cd %VIVADO_INSTALL_DIR%\data\xicom\cable_drivers\nt64install_drivers_wrapper.bat %log_dir% %log_dir%

ケーブル ド ライバーのアンインストール

Windows でケーブル ド ラ イバーをアンインス トールするには、 管理者と してコマンド プロンプ ト を開いて、 次のコ

マンドを入力します。 %VIVADO_INSTALL_DIR% はインス トール ディレク ト リに置き換えてください。

cd %VIVADO_INSTALL_DIR%\data\xicom\cable_drivers\nt64wdreg -inf %cd%\xusbdrvr.inf uninstallnet stop XilinxPC4Driverdel %WINDIR%\system32\drivers\windrvr6.sysdel %WINDIR%\system32\drivers\xusb*.sysdel %WINDIR%\system32\drivers\xpc4drvr.sys

Vivado Design Suite 2018.3 リ リース ノート 35UG973 (v2018.3) 2018 年 12 月 14 日 japan.xilinx.com

第 3 章: ツールのインストール

Linux ド ライバーのインストール

Linux の場合、 ド ライバーのインス トールにルート または sudo アクセスが必要なので、 Vivado 2015.4 以降の Linux イ

ンス トーラーから削除されるよ うになり ました。 通常の Vivado インス トーラーは、 ルート または sudo 権限なしに

Linux で実行できるよ うにな り ました。 Linux にケーブル ド ラ イバーをインス トールするには、 ルート または sudo ポ

ス ト インス トールと して実行する必要のあるスク リプ ト を使用できます。

これらのコマンドをルート と して実行します。 ${vivado_install_dir} は Vivado インス トール ディレク ト リに

置き換えてください。

${vivado_install_dir}/data/xicom/cable_drivers/lin64/install_script/install_drivers/install_drivers

Linux ド ライバーのアンインストール

これらのコマンドをルート と して実行します。

rm -f /etc/udev/rules.d/52-xilinx-digilent-usb.rulesrm -f /etc/udev/rules.d/52-xilinx-ftdi-usb.rulesrm -f /etc/udev/rules.d/52-xilinx-pcusb.rules

Vivado Design Suite 2018.3 リ リース ノート 36UG973 (v2018.3) 2018 年 12 月 14 日 japan.xilinx.com

第 3 章: ツールのインストール

その他のツールおよびデバイスの追加

インス トール後にその他のツール、 デバイスを後から追加したり、 Vivado エディシ ョ ンをアップグレードするこ と

もできます。 これは、 デバイスおよびツールの一部だけをインス トールするよ うに選択した場合に便利です。

新しいツールまたはデバイスを追加するには、 次をク リ ッ ク します。

• [スタート ] → [Xilinx Design Tools] → [Vivado <version>] → [Add Design Tools or Devices]

• Vivado で [Help] → [Add Design Tools or Devices]

Vivado の WebPACK または Design Edition をインス トールした場合は、 エディシ ョ ンをアップグレードするオプシ ョ

ンも選択できます。

上記の選択に基づいて、 現在のインス トールに追加可能なツールおよびデバイスすべてが表示されます。

Xilinx Information Center (XIC) からツールまたはデバイスを追加するこ と もできます。 このフローを使用する場合は、

「アップデートの取得」 を参照してください。

X-Ref Target - Figure 3-16

図 3-16: Vivado Design Suite のインストール - エディシ ョ ンの選択

Vivado Design Suite 2018.3 リ リース ノート 37UG973 (v2018.3) 2018 年 12 月 14 日 japan.xilinx.com

第 3 章: ツールのインストール

ネッ トワーク インストール

ネッ ト ワーク上にソフ ト ウェアをインス トールする と、 そのネッ ト ワーク ド ラ イブのソフ ト ウェアに複数のク ライ

アン ト マシンからアクセスできます。 ネッ ト ワーク上のソフ ト ウェアを使用する場合、 環境変数、 レジス ト リ、 プ

ログラム グループなどすべてにネッ ト ワークのディレク ト リが指定されている必要があ り ます。 次のセクシ ョ ンで

は、 ネッ ト ワークを設定する手順について説明します。

Linux クライアン ト

ソフ ト ウェアがインス トールされたディ レク ト リの settings32.(c)sh または settings64.(c)sh (使用してい

るシェルによって異なる ) を source コマンドで実行します。 これによ り、 環境がこのインス トール ディ レク ト リ を指

定するよ うに設定されます。

ネッ ト ワーク上のインス トール ディレク ト リからデザイン ツールを実行するには、 X Windows Display Manager を起

動し、 DISPLAY 環境変数を設定する必要があ り ます。 ディ スプレイの名前に DISPLAY と定義します。 DISPLAY は

通常 unix:0.0 にします。 たとえば、 次の構文は bigben という ホス ト上のツールを実行し、 mynode という ク ライア

ン ト マシンのモニターにグラフ ィ ッ クを表示します。

setenv DISPLAY mynode:0.0 xhost = bigbenPC Clients

Microsoft Windows クライアン ト

1. デザイン ツールを PC ネッ ト ワーク サーバーにインス トールします。 この際、 ユーザーがデザイン ツールのイ

ンス トール ディレク ト リの場所を知っているこ と、 またユーザーにそのディ レク ト リへのアクセス権があるこ

とを確認してください。

2. ローカル ク ライアン ト マシンから、 ネッ ト ワーク上の

network_install_location\.xinstall\Vivado_<version> ディ レク ト リにある

networkShortcutSetup.exe ファ イルを実行します。

このプログラムを実行する と、 Windows 設定のバッチ ファ イルとプログラム グループまたはデスク ト ップ

シ ョート カッ トが設定され、 リモート ディレク ト リからザイ リ ンクス ツールが実行されます。

3. ローカル マシンから Vivado Design Suite ツールを起動するには、[スタート ] → [プログラム] から該当するツール

を選択するか、 デスク ト ップのシ ョート カッ ト をダブルク リ ッ ク します。

割り当て済みネッ トワーク ド ライブへのインストール

ザイ リ ンクス デザイン ツールは、ルート ディレク ト リの下のフォルダー (C: \Xilinx) にインス トールされるよ う

に設定されています。 ローカル ド ラ イブにインス トールする場合は、 通常インス トーラーでこのディ レク ト リに

なっています。

この問題を回避するには、 UNC パス (たとえば \\network_loc\Xilinx\) を指定するか、 ネッ ト ワーク ディ レク

ト リの下にインス トール ディレク ト リ \Xilinx を作成します (例: N:\Xilinx)。

Windows 7 のデフォルトのセキュ リティ レベルのままでは、 割り当てたネッ ト ワーク ド ラ イブを選択するこ とがで

きません。 ザイ リ ンクス デザイン ツールを割り当てたネッ ト ワーク ド ラ イブにインス トールするには、 次の手順で

アカウン ト制御設定を変更する必要があ り ます。

Vivado Design Suite 2018.3 リ リース ノート 38UG973 (v2018.3) 2018 年 12 月 14 日 japan.xilinx.com

第 3 章: ツールのインストール

1. Windows の [スタート ] メニューからコン ト ロール パネルを開き、 [ユーザー アカウン ト ] をク リ ッ ク します。 コ

ン ト ロール パネルがカテゴ リ表示になっている場合は、 [ユーザー アカウン ト ] を 2 画面続けてク リ ッ ク します。

2. [ユーザー アカウン ト制御設定の変更] をク リ ッ ク します。

3. スライダーの位置を次の図のよ うに下から 2 つ目に移動します。

4. [OK] をク リ ッ ク します。

推奨: インス トール後は、同様の方法でユーザー アカウン トの設定を設定前の状態に戻しておく こ とをお勧めします。

注記: ザイ リ ンクス インス トーラーを使用してネッ ト ワーク ド ラ イブを指定するこ とはできません。 この場合、 割

り当てられたネッ ト ワーク ド ラ イブを含むインス トール パスを手動で入力する必要があ り ます。

X-Ref Target - Figure 3-17

図 3-17: Vivado Design Suite のインストールー ユーザー アカウン ト制御設定

Vivado Design Suite 2018.3 リ リース ノート 39UG973 (v2018.3) 2018 年 12 月 14 日 japan.xilinx.com

第 3 章: ツールのインストール

バッチ モード インストール フロー

Vivado 2015.1 から、 インス トーラーがバッチ プロセス と して実行できるよ うにな り ました。 標準的なエディシ ョ ン

を実行するには、 インス トール ディレク ト リ を指定するか、 インス トーラーにインス トール ディ レク ト リ と、 どの

ツール、 デバイス、 オプシ ョ ンをインス トールするのか伝えるコンフ ィギュレーシ ョ ン ファ イルを取得している必

要があ り ます。

インス トーラーには、 よ く使用されるコンフ ィギュレーシ ョ ンに基づいて リ ファレンス オプシ ョ ン ファ イルを生成

できるモードがあるので、 インス トールをさ らに編集してカスタマイズできます。

推奨: このリ ファレンスは各四半期リ リースごとに生成して、 新しいデバイス、 オプシ ョ ンまたはその他の変更点が

ユーザーのオプシ ョ ン ファ イルに含まれるよ うにするこ とをお勧めします。

バッチ モードの使用を開始するには、 まずコマンド シェルを開いて、 抽出したインス トーラーを保存したディ レク

ト リに移動します。

注記: Windows の場合、 管理者権限でコマンド ウ ィンド ウを開き、 次のオプシ ョ ンを使用した xsetup.exe ではなく、

\bin ディレク ト リの xsetup.bat ファ イルを実行します。

コンフ ィギュレーシ ョ ン ファイルの生成

xsetup -b ConfigGen を実行します。

これで次のメニューが表示されるインタラ クティブ モードにな り ます。 次にリ ス ト されるエディシ ョ ンから選択し

てください。

1. Vivado HL WebPACK

2. Vivado HL Design Edition

3. Vivado HL System Edition

4. Documentation Navigator (スタンドアロン)

エディシ ョ ンを選択する と、 コンフ ィギュレーシ ョ ン ファ イルのディ レク ト リ と ファ イル名が表示され、 インタラ

クティブ モードが終了します。

Vivado Design Suite 2018.3 リ リース ノート 40UG973 (v2018.3) 2018 年 12 月 14 日 japan.xilinx.com

第 3 章: ツールのインストール

次は、 WebPACK コンフ ィギュレーシ ョ ン ファ イルの例です。

#### Vivado WebPACK Install Configuration ####Edition=Vivado WebPACKDestination=C:\XilinxModules=Vivado:1,Vivado High Level Synthesis:0,Software Development Kit:0,DocNav:0,Artix-7,Kintex-7,Zynq-7000:1#### Shortcut creation ####CreateProgramGroupShortcuts=1CreateShortcutsForAllUsers=0ProgramGroupFolder=Xilinx Design ToolsCreateDesktopShortcuts=1CreateFileAssociation=1#### Post install tasks ###### Post install tasks can be configured as shown below.InstallOptions=Configure WebTalk:1,Install and Initialize Trusted Storage Licensing:1,Generating installed device list:1,Install VC++ runtime libraries for 64-bit OS:1,Install Cable Drivers:0,Acquire or Manage a License Key:0,run:xic:1

基本的には、 コンフ ィギュレーシ ョ ン ファ イルの各オプシ ョ ンは GUI のオプシ ョ ンと同じで、 値 1 はそのオプシ ョ

ンが選択されているこ とを、 値 0 はそのオプシ ョ ンが選択されていないこ とを示します。

インストーラーの実行

こ こまででユーザーのインス トール プリ ファレンスを反映するコンフ ィギュレーシ ョ ン ファ イルを編集したので、

次はインス トーラーを実行します。 インス トーラー コマンド ラインの一部と して、 ザイ リ ンクスおよびサードパー

ティの使用許諾契約を承認し、 WebTalk の契約条件を理解しているこ とを示す必要があ り ます。

ザイリンクス エンドユーザー使用許諾契約 (EULA)

• ザイ リ ンクス エンドユーザー使用許諾契約

サードパーティ エンドユーザー使用許諾契約 (EULA)

• サードパーティ エンドユーザー使用許諾契約

WebTalk 契約条件

[I agree] をク リ ッ クするこ とで、 上記の WebTalk に関する契約条件のセクシ ョ ン 13 を読み、 ザイ リ ンクス デザ

イン ツール WebTalk の WebTalk FAQ を読む機会が与えられたこ とを確認します。セクシ ョ ン 13(c) に記述される

特定の条件が当てはまる場合は、 WebTalk をオフにできるこ とを理解します。 条件が該当しない場合は、 ソフ ト

ウェアをアンインス トールするか、 インターネッ トに接続されていないマシンでソフ ト ウェアを使用するこ と

で、 WebTalk をディ スエーブルにできます。 該当条件を満たすこ とができない場合、 またはこのよ うな情報の伝

達を回避するための適切な手順を踏めない場合は、 セクシ ョ ン 13(b) に記述された目的でセクシ ョ ン 13(a) で記

述された情報をザイ リ ンクスが収集するこ とに同意します。

上記のそれぞれの項目の同意を示すには、 コマンド ライン オプシ ョ ンの -a または --agree を使用します。上記の

1 つがリ ス トに含まれない場合、 または agree オプシ ョ ンが指定されない場合、 エラー メ ッセージが表示されてイン

ス トーラーが停止して、 インス トールができません。

Vivado Design Suite 2018.3 リ リース ノート 41UG973 (v2018.3) 2018 年 12 月 14 日 japan.xilinx.com

第 3 章: ツールのインストール

コマンド ライン例

これは、 コンフ ィギュレーシ ョ ン ファ イルを使用した典型的な新規インス トールのコマンド ライン例です。

xsetup --agree XilinxEULA,3rdPartyEULA,WebTalkTerms --batch Install --config install_config.txt

ザイ リ ンクスのデフォルト エディシ ョ ン コンフ ィギュレーシ ョ ンの 1 つを使用する場合、 --config オプシ ョ ンを

指定する必要はあ り ませんが、 ディ スティネーシ ョ ン ディレク ト リはコンフ ィギュレーシ ョ ン ファ イルに含まれる

ので、 これをコマンド ラ インで指定する必要があ り ます。

xsetup --agree 3rdPartyEULA,WebTalkTerms,XilinxEULA --batch Install --edition "Vivado System Edition" --location "C:\Xilinx"

上記のコマンドでは、 指定したエディシ ョ ンのデフォルト コンフ ィギュレーシ ョ ン オプシ ョ ンを使用します。 デ

フォルト コンフ ィギュレーシ ョ ン オプシ ョ ンを確認するには、 上記に示すよ うに –b ConfigGen モードを使用しま

す。 Vivado インス トーラーのバッチ モードは、 アンインス トールとアップグレード (後からツールおよびデバイス

を追加) も実行できます。 インス トーラーのバッチ モードのオプシ ョ ンをすべて確認するには、 xsetup -h または

xsetup --help を実行します。

Vivado Design Suite 2018.3 リ リース ノート 42UG973 (v2018.3) 2018 年 12 月 14 日 japan.xilinx.com

第 3 章: ツールのインストール

アップデートの取得

ザイ リ ンクスでは、 四半期ベースで Vivado Design Suite ツールのアップデート バージ ョ ンを リ リースする予定です。

アップデートには、 デバイス サポートのアップデート、 新機能、 バグ修正などが含まれます。 次のセクシ ョ ンでは、

ザイ リ ンクス情報センターから ソフ ト ウェア アップデート を入手する方法について説明します。

Xilinx Information Center

Xilinx Information Center (XIC) は、 XilinxNotify に代わる次世代の機能で、 タスクバー (Windows の場合) にあ り、 ザイ

リ ンクスからの新リ リースおよびアップデートが定期的にチェッ ク されます。 通知を表示したり解除したり、 アッ

プデート をインス トールしたりできます。

また、 XIC からすべてのザイ リ ンクス ツールおよびインス トールをユーザーが管理できるよ うになり ました。

図 3-18 に示す [Manage Installs] タブからはライセンスをアップデート したり、 確認したり、 すべてをアンインス トー

ルしたりできます。

X-Ref Target - Figure 3-18

図 3-18: Xilinx Information Center (XIC)

Vivado Design Suite 2018.3 リ リース ノート 43UG973 (v2018.3) 2018 年 12 月 14 日 japan.xilinx.com

第 3 章: ツールのインストール

Vivado Design Suite ツールのアンインストール

アンインス トールする場合、 ザイ リ ンクス インス トール ディ レク ト リからプロジェク ト ファ イルを移動しておかな

いと、 削除されてしまいます。

注記: ザイ リ ンクス Documentation Navigator は、 アンインス トール中に削除されません。 これは、 複数バージ ョ ンの

ザイ リ ンクス ツールで共通のスタンドアロン アプリ ケーシ ョ ンです。 必要でなければ、 別途アンインス トールする

必要があ り ます。

アンインストール

アンインス トールする場合、 ザイ リ ンクス インス トール ディ レク ト リからプロジェク ト ファ イルを移動しておかな

いと、 削除されてしまいます。 Documentation Navigator および Xilinx Information Center のアンインス トールに関する

情報については、 次を参照してください。

Documentation Navigator のアンインストール

ザイ リ ンクス Documentation Navigator は、 アンインス トール中に削除されません。 これは、 複数バージ ョ ンのザイ リ

ンクス ツールで共通のスタンドアロン アプリ ケーシ ョ ンです。 必要なくなった場合は、 [スタート ] メニューのプロ

グラム グループの [Uninstall DocNav]、 またはコン ト ロール パネルの [プログラムと機能] から別にアンインス トール

する必要があ り ます。

Xilinx Information Center のアンインストール

Xilinx Information Center は、 アンインス トール中に削除されません。 これは、 複数バージ ョ ンのザイ リ ンクス ツー

ルで共通のスタンドアロン アプリ ケーシ ョ ンです。 必要なくなった場合は、 コン ト ロール パネルの [プログラムと

機能] から別にアンインス トールする必要があ り ます。

Microsoft Windows でのアンインストール

ザイ リ ンクス製品をアンインス トールするには、 [スタート ] メニューからその製品の [Uninstall] メニューをク リ ッ ク

します。 Vivado Design Suite をアンインス トールするには、 [スタート ] → [すべてのプログラム] → [Xilinx Design

Tools] → [Vivado 2018.3] → [Uninstall] をク リ ッ ク します。

プログラム グループがない場合は、 コマンド ライン オプシ ョ ン

<install_path>\.xinstall\Vivado_2018.3\ xsetup.exe –uninstall を使用してアンインス トールしま

す。

[Uninstall] から該当する入力を使用するか、 プログラムのコン ト ロール パネル オプシ ョ ンを変更してもアンインス

トールできます (Windows の場合)。

Linux でのアンインストール Vivado Design Suite ツールをアンインス トールするには、 ランチャーー メニューから [Applications] → [Xilinx Design

Tools] → [Vivado 2018.3] → [Uninstall] をク リ ッ ク します。

Vivado Design Suite 2018.3 リ リース ノート 44UG973 (v2018.3) 2018 年 12 月 14 日 japan.xilinx.com

第 3 章: ツールのインストール

必要なライブラリの確認

一部の Linux オペレーティング システムでは、 依存するラ イブラ リのインス トールが必要な場合があ り ます。

Linux で Vivado ツールを実行するために必要なライブラ リの確認方法は、ザイ リ ンクス アンサー 66184 を参照して

ください。

Vivado Design Suite 2018.3 リ リース ノート 45UG973 (v2018.3) 2018 年 12 月 14 日 japan.xilinx.com

第 4 章

ライセンスの取得ザイ リ ンクスの製品ライセンス (Product Licensing) サイ トからは、 ザイ リ ンクス ソフ ト ウェアおよび IP 製品のダウ

ンロード、 ライセンス、 評価などのオンライン サービスを利用できます。 この章では、 製品ライセンス サイ トの

FLEXnet ライセンス生成機能ついて説明しています。

ライセンス概要

製品ライセンス

証明書ベースのライセンスを使用してザイ リ ンクス デザイン ツールを実行する と、 ザイ リ ンクス エンド ユーザー

使用許諾契約が使用されます。

• 証明書ベース ラ イセンス (Certificate-Based Licenses): ISE 11.1 リ リースの ISE® Design Suite から導入されたライ

センス許諾方法です。 証明書とは、 ザイ リ ンクス製品ライセンス サイ トから発行されるライセンス ファ イル

(.lic) のこ とで、 ユーザーの入力し りホス ト ID (個別マシンを認識) に基づいて、 指定されたサーバーまたはラ

イセンス ドングルにそれぞれ対応します。 Vivado ツールではランタイム中に有効なライセンス機能をチェッ ク

するためにこのライセンス証明書にアクセスする必要があるので、 このファイルはマシン上のライセンス検索

パスに保存しておく必要があ り ます。

注記: ザイ リ ンクス ソフ ト ウェアの Flex-ID Dongle ラ イセンスは、 Windows プラ ッ ト フォームでのみサポート され

ます。

重要: Vivado 2017.3 からは、 アクティベーシ ョ ン ライセンスはサポート されなくな り ました。 既存のアクティベー

シ ョ ン ライセンスは、 japan.xilinx.com/getlicense からアクセス可能な証明書ベースのライセンスに置き換えられてい

ます。

Vivado Design Suite 2018.3 リ リース ノート 46UG973 (v2018.3) 2018 年 12 月 14 日 japan.xilinx.com

第 4 章: ライセンスの取得

証明書ライセンスに関する用語

• ホス ト ID (Host ID): 証明書ライセンス内の ID で、 この ID を使用してそのコンピューターへのライセンスがま

とめられます。 通常の ID は、 ハード ド ラ イブ容量 ID、 イーサネッ ト ポート MAC アドレス、 または USB ドン

グル ID などです。

• ノード ロ ッ ク ラ イセンス (Node-Locked License): 特定マシンに対して製品権利の単一シート分を使用できるよ う

になり ます。

• フローティング ラ イセンス (Floating License): ネッ ト ワーク サーバーに保存され、 ライセンスはアプリ ケーシ ョ

ンが起動される とチェッ クアウ ト されます。 同時に使用できるユーザーの数は、 購入したライセンスの数に

よって異なり ます。

• ライセンス リ ホス ト (License Rehosting): マシンのハード ウェア変更、 ハード ウェア ド ラ イブ エラー、 またはラ

イセンスの別のマシンへの移行の際に、 生成済みライセンスのホス ト ID を変更するこ とです。

• ライセンス削除 (License Deletion): マシンからライセンスを削除し、 権利をザイ リ ンクス製品ライセンス アカウ

ン トに戻すこ とです。

• 廃棄宣誓書 (Affidavit of Destruction): リ ホス ト または削除されたライセンスのライセンス ファ イル (.lic) を破棄

し、 使用しないという こ とをク リ ッ ク して許諾します。

Vivado Design Suite 2018.3 リ リース ノート 47UG973 (v2018.3) 2018 年 12 月 14 日 japan.xilinx.com

第 4 章: ライセンスの取得

証明書ベース ライセンスの生成/インストール

証明書ベースのライセンスの場合は、 ライセンスをロ ッ クする Flexera ホス ト ID (イーサネッ ト MAC ID、 ド ラ イブ

シ リアル番号またはドングル ID) がわかっている限り、 ザイ リ ンクス ユーティ リ ティの 1 つからザイ リ ンクス ライ

センス管理サイ トにアクセスする必要はなく、 直接 https://japan.xilinx.com/getlicense にアクセスできます。 ログイン

してアカウン ト を選択したら、 「製品選択」 に示すよ うに製品を選択できます。

[Create New Licenses] タブで 1 つまたは複数のライセンスを選択し、 生成するライセンス ファ イル (ク ライアン ト /

ノード ロ ッ クまたはサーバー /フローティング) に対して [Generate License] をク リ ッ ク します。

次に示す手順では、 フローティングの証明書ベースのライセンスを生成します。 このプロセスには、 その他すべて

の証明書ベースのライセンス生成フローが含まれます。

X-Ref Target - Figure 4-1

図 4-1: 証明書ベースのフローテ ィング ライセンスの生成

Vivado Design Suite 2018.3 リ リース ノート 48UG973 (v2018.3) 2018 年 12 月 14 日 japan.xilinx.com

第 4 章: ライセンスの取得

証明書ベースのノード ロック ライセンス

ライセンス ファイルを生成する と、

[email protected] から メールが届きます。

1. このメールに添付されたライセンス ファ イル (.lic) をローカルの一時ディ レク ト リに保存します。

2. Vivado License Manager を実行します。

° Windows 7 または 10: [スタート ] → [すべてのプログラム] → [Xilinx Design Tools] → [Vivado 2018.3] →

[Manage Xilinx Licenses] をク リ ッ ク します。

° Windows 8.1: スタート画面からすべてのアプリ を リ ス ト して、 [Manage Xilinx Licenses] アプリ を実行します。

° Linux: コマンド ライン シェルに vlm と入力します。

3. Vivado License Manager の左側の [Getting a License] を展開し、 [Load License] をク リ ッ ク します。

4. 証明書ライセンス ファ イル (.lic) を受け取った場合は、 [Load License] 画面の [Copy License] ボタンをク リ ッ ク

します。

5. 保存したライセンス ファ イル (Xilinx.lic) を参照ボタンで選択し、 [開く ] をク リ ッ ク します。

6. これでライセンス ファ イルが <ホーム ド ラ イブ (通常は C)>:\.Xilinx (Windows) または <Home>/.Xilinx

ディ レク ト リにコピーされ、 ザイ リ ンクス ツールから自動的に認識されるよ うにな り ます。

Vivado Design Suite 2018.3 リ リース ノート 49UG973 (v2018.3) 2018 年 12 月 14 日 japan.xilinx.com

第 4 章: ライセンスの取得

証明書ベースのフローテ ィング ライセンス

1. 各製品ライセンスに必要なシート数を選択します。

これは、 フローティング ラ イセンスの場合にのみ選択します。 すべてのノード ロ ッ ク ラ イセンスは、 1 シート

のみずつになり ます。 製品権限に対して使用可能なシート数は、 システムで自動的に維持されます。 [Requested

Seats] フ ィールドはデフォルトでは 0 になっていますが、 こ こには製品権限で残っているシート数までどの数値

でも入力できます。 すべてのシートが生成される と、 製品が製品権限の表から削除されます。

2. システム情報を入力します。

フローティングの証明書ベースのライセンスの場合、 最初のフ ィールドは [Redundancy] で、 [Triple Redundant]

サーバー コンフ ィギュレーシ ョ ン (別名、 ト ラ イアド ) を選択する と、 ライセンス マネージャー ソフ ト ウェアに

対するフェイル オーバーが実行されるので、 3 つのサーバーのうち 2 つが実行されている限り、 ライセンス マ

ネージャーは実行され続けます。 これは、 ノード ロ ッ ク ライセンスの場合は関係あ り ません。

システム情報は、 Vivado License Manager 内のリ ンクから製品ライセンス サイ トにアクセスした場合、 [Host ID]

ド ロ ップダウン リ ス トに自動的に表示されます。 システム情報が入力されていない場合や別のホス ト を追加す

る場合は [Add a host] を選択し、 ホス ト を追加します。

ホス ト ID とは、 ソフ ト ウェアまたは IP のライセンスが与えられたマシンを識別する値で、 ホス ト ID タイプに

は、 MAC アドレス、 ハード ド ラ イブのシ リ アル番号、 ドングル ID などを選択できます。

注記: すべてのホス ト ID タイプがどのオペレーティング システムでもサポート されるわけではあ り ません。 ホ

ス ト ID を取得するには、 ライセンス ホス ト となるマシンで Vivado License Manager を実行するのが一番簡単な

方法です。

3. コ メン ト を追加します。

コ メン ト を追加する と、 管理者がデザイン ツールや IP のライセンスをユーザー間でどのよ うに分けたかなどの

記録を残すこ とができます。

X-Ref Target - Figure 4-2

図 4-2: [Add a host] 画面

Vivado Design Suite 2018.3 リ リース ノート 50UG973 (v2018.3) 2018 年 12 月 14 日 japan.xilinx.com

第 4 章: ライセンスの取得

4. [Next] をク リ ッ ク します。

図 4-3 に示すよ うなライセンス リ クエス ト を確認するフォームが表示されます。

5. 選択を確認します。

6. 問題がなければ、 [Next] をク リ ッ ク します。

エンドユーザー使用許諾契約

ザイ リ ンクス デザイン ツールと無償 IP のエンド ユーザー使用許諾契約 (EULA) は、 製品のインス トール プロセス

中に許諾されます。 この使用許諾契約のコピーは、 <install

directory>/.xinstall/Vivado_2018.3/data/unified_xilinx_eulas.txt にあ り ます。

IP 製品のライセンスを精製する場合は、 ライセンス ファ イルを生成する前に関連する IP 製品の EULA を許諾する必

要があ り ます。

サードパーティ ライセンス

サードパーティ ライセンスのコピーは、

<install_directory>/.xinstall/Vivado_2018.3/data/unified_3rd_party_eula.txt にあ り ます。

X-Ref Target - Figure 4-3

図 4-3: ライセンス リクエストの確認

Vivado Design Suite 2018.3 リ リース ノート 51UG973 (v2018.3) 2018 年 12 月 14 日 japan.xilinx.com

第 4 章: ライセンスの取得

ライセンス生成の確認

ライセンス生成プロセスが終了したら、 次のよ うな確認メ ッセージが表示されます。

ライセンス生成を確認する電子メールも送信されます。 このメ ッセージには、 生成したライセンス ファ イルが添付

されます。 アドレス ブッ クに [email protected] を信頼する送信者と して追加しておいてください。

電子メールでライセンスが受け取れなかった場合は、 ザイ リ ンクス ラ イセンス サイ トから直接ダウンロード して く

ださい。 詳細は、 「ザイ リ ンクス製品ライセンス サイ トでのライセンス管理」 を参照して ください。

証明書ベースのフローテ ィング ライセンスの指定

既存の FLEXnet ライセンス サーバーに証明書ベースのライセンスを使用する場合は、

[email protected] から送付されたライセンス ファ イルの内容を FLEXnet サーバーの既

存のライセンス ファ イルにコピーします。

注記: フローティング ラ イセンス サーバーを再起動して、 新しいザイ リ ンクス ラ イセンスを有効にします。

X-Ref Target - Figure 4-4

図 4-4: ライセンス生成の確認

Vivado Design Suite 2018.3 リ リース ノート 52UG973 (v2018.3) 2018 年 12 月 14 日 japan.xilinx.com

第 4 章: ライセンスの取得

新しいライセンス サーバーの場合

1. ザイ リ ンクス ダウンロード センター (ダウンロード ) からサーバーの OS に最適なザイ リ ンクス FLEXnet ラ イセ

ンス ユーティ リ ティ をダウンロード します。

2. これらのユーティ リ ティ を保存したディ レク ト リで解凍します。 このディ レク ト リは、 アプリ ケーシ ョ ンの検

索パスに置く こ とをお勧めします。

3. FLEXnet ユーティ リ ティ をインス トールしたら、 次のコマンドを実行して、 フローティング ラ イセンス サー

バーを起動します。

° Linux

<Server Tool directory>/lnx64.o/lmgrd.sh -c <path_to_license>/<license file>.lic -l <path_to_license>/<log filename>1.log

° Windows

<Server Tool directory>\win64.o\lmgrd -c <path_to_license>\<license filename>.lic –l <path_to_license>\<log filename>.log

クライアン ト マシンからのフローテ ィング ライセンスの指定

1. Vivado License Manager (VLM) を実行します。

2. [Manage Xilinx Licenses] タブをク リ ッ ク します。

3. port@server の形式でライセンス サーバーへのネッ ト ワーク パスを XILINXD_LICENSE_FILE フ ィールドに入

力します。

4. [Set] ボタンをク リ ッ ク します。 デフォルトのザイ リ ンクス ポート番号は 2100 です。

Linux OS の場合、 Vivado License Manager (VLM) を使用してライセンス環境変数を設定できません。 環境変数フ ィー

ルドは読み出し専用なので、 淡色表示になり、 [Set] ボタンも表示されません。 環境変数は、 適切な OS シェルおよ

びコマンドを使用して設定する必要があ り ます。

Vivado Design Suite 2018.3 リ リース ノート 53UG973 (v2018.3) 2018 年 12 月 14 日 japan.xilinx.com

第 4 章: ライセンスの取得

ユーザー マシンでのライセンスの管理

Vivado License Manager

Vivado® License Manager (VLM) は、 証明書ベース ライセンス用のツールです。

Vivado License Manager は、 Vivado エディシ ョ ンおよび多くのスタンドアロン ツールをインス トールする と インス

トールされます。 次の図は、 VLM を示しています。

Vivado License Manager は次の手順で開く こ とができます。

• Linux には、 ザイ リ ンクス環境が読み込まれたコマンド ライン シェルに VLM と入力します。

• Windows 10 またはそれ以前のバージ ョ ンの場合は、 [スタート ] → [Xilinx Design Tools] → [Vivado 2018.3] →

[Manage Xilinx licenses] をク リ ッ ク します。

• Windows 8.1 の場合は、 スタート画面からすべてのアプリ を リ ス ト して、 [Manage Xilinx Licenses] アプリ を実行

します。 Vivado License Manager は、 Vivado で [Help] → [Obtain A License Key] または [Help] → [Manage License]

をク リ ッ ク しても実行できます。

X-Ref Target - Figure 4-5

図 4-5: Vivado License Manager

Vivado Design Suite 2018.3 リ リース ノート 54UG973 (v2018.3) 2018 年 12 月 14 日 japan.xilinx.com

第 4 章: ライセンスの取得

Vivado License Manager が使用される通常のタスクは、 次のとおりです。

• ライセンスの取得 (Obtain License): 複数のライセンス オプシ ョ ンから選択し、 ザイ リ ンクス製品ライセンス サ

イ トにアクセスし、 ライセンス生成プロセスを終了します。

• ライセンス ステータスの表示 (Viewing License Status): どのライセンスがローカル マシンで表示されるかを確認

できます。 これはライセンス問題をデバッグする際に便利です。

• ライセンスのローカル マシンへの読み込み (Load License): 証明書ライセンス .lic) を受信したら、 マシンの適

切なディ レク ト リに保存します。 詳細な手順については、 該当するライセンス タイプの 「ラ イセンス キーのイ

ンス トール」 セクシ ョ ンを参照してください。

• ライセンス検索ディ レク ト リの表示および設定 (Windows の場合) (License Search Location): Vivado では、 複数の

デフォルト ディレク ト リから ラ イセンスが検索されます。 ラ イセンスがマシン外やフローティング ライセンス

サーバー上にある場合、 ライセンスへのパスを指定する必要があ り ます。

推奨: ザイ リ ンクス ライセンス ファ イルのディレク ト リは XILINXD_LICENSE_FILE 環境変数で指定するこ とをお

勧めします。 LM_LICENSE_FILE も使用できますが、 これは主にザイ リ ンクス以外またはレガシ ライセンス パスを

使用するための変数です。

ザイリンクス製品ライセンス サイ トの使用

ザイ リ ンクス製品ライセンス (Product Licensing) サイ トでは、 証明書ベース ベースのライセンスが生成できます。 こ

のサイ トでは、 証明書ベースのライセンスが変更できるほか、 ライセンス発注に関する情報も含まれます。

製品ライセンス サイ トには、 生成するライセンスの種類によってさまざまな方法でアクセスできます。

• 証明書ベースのライセンスを使用する製品を購入した場合は、 注文確認メールに含まれる リ ンクをク リ ッ ク して

ください。 このリ ンクをク リ ッ クする と、 購入した製品の権利情報を含むアカウン トに直接アクセスできます。

• Vivado ® Design Suite 製品は、 Vivado Design Suite 評価および WebPACK ページから評価できます。

• IP 製品を評価する場合は、 IP の該当する製品ページの [評価] リ ンクをク リ ッ ク します。

• 製品ライセンス サイ トには、 https://japan.xilinx.com/getlicense から直接アクセスできます。 この方法でサイ トに

アクセスする と、 証明書ベースのライセンスが作成でき、 ライセンスのアカウン ト管理の機能も実行できます。

ザイ リ ンクス製品ライセンス サイ トにアクセスするには、 まず登録するか、 登録情報を入力する必要があり ます。

Vivado Design Suite 2018.3 リ リース ノート 55UG973 (v2018.3) 2018 年 12 月 14 日 japan.xilinx.com

第 4 章: ライセンスの取得

5. まず、 ザイ リ ンクスのサイ トにサインインする必要があ り ます。 既にザイ リ ンクス ユーザー アカウン ト をお持

ちの場合は、 ユーザー ID とパスワードを入力して、 登録情報が正しいかど うかを確認します。 アカウン ト をま

だ作成していない場合は、 [Create Account] ボタンをク リ ッ ク し、 アカウン ト を作成してください。

製品選択

購入済みまたは評価する製品のライセンスを、 次の手順で生成します。

1. [Account] ド ロ ップダウン リ ス トから製品ライセンス アカウン ト を選択します。

注記: 評価版または無償の製品を使用する場合は、 このド ロ ップダウン リ ス トは表示されません。

2. キッ ト と一緒に購入したソフ ト ウェアまたは IP 製品ライセンスのバウチャー コードがある場合は、 それを入力

します (オプシ ョ ン)。

3. 製品権限の表に評価版または無償の IP 製品の権限を追加します (オプシ ョ ン)。

4. 製品権限の表から製品を選択します。

製品権限は、 証明書ベースのライセンスのカテゴ リに使用できます。 選択可能な製品権限のタイプは、 [Full] (購入

済み)、 [No Charge] (無償)、 [Evaluation] (評価版) のいずれかになり ます。 フル ライセンスおよび無償ライセンスの有

効期限は 1 年間です。 デザイン ツールの評価版の有効期限は 30 日間、 IP の評価版の有効期限は 120 日間です。

X-Ref Target - Figure 4-6

図 4-6: ザイリンクス製品ライセンス サイ ト - サインイン ページ

X-Ref Target - Figure 4-7

図 4-7: 新規ライセンスの作成

Vivado Design Suite 2018.3 リ リース ノート 56UG973 (v2018.3) 2018 年 12 月 14 日 japan.xilinx.com

第 4 章: ライセンスの取得

フローティング/サーバーおよびノード ロ ッ ク /ク ライアン ト ライセンスは、 同じライセンス ファ イルにまとめるこ

とはできません。 1 つのライセンス タイプのみを含む権限を選択する と、 [Generate] ボタンをク リ ッ ク したと きに、

も う一方のライセンス タイプが選択できなくな り ます。

デザイン ツールの場合、 表の [Available Seats] に購入したライセンスの総数が表示されます。 IP の場合、 ライセンス

はサイ トの契約に従って管理されます。

表の [Status] に 「Current」 と表示されている場合は、 その製品が保証期間内にあるこ とを示し、 「Expired」 と表示さ

れている場合は、 その保証期間が終了したこ とを示しています。 [Available Seats] の数がまだある場合は、 「Current」

または 「Expired」 の製品のライセンスを生成できます。

Vivado Design Suite: 30-Day System Edition は Xilinx Design Tools ツール セッ トすべての機能にアクセスできる評価版で

す。 この製品は、 製品ライセンス アカウン トに自動的に含まれます。

デザイン ツールおよび IP 製品ライセンスの製品バウチャーは、 ザイ リ ンクスまたはパートナーの開発ボードまたは

デザイン キッ ト と共に送信されます。 製品バウチャー カードを所持している場合は、 カードに記述されたバウ

チャー コードをテキス ト フ ィールドに入力し、 [Redeem Now] ボタンをク リ ッ ク します。 これで、該当するデザイン

ツールまたは IP 製品が表に追加され、 ライセンス キーが生成できるよ うにな り ます。

ページ内の [Add Evaluation and No Charge IP Cores] セクシ ョ ンで [Search Now] ボタンをク リ ッ クする と、 次のよ うな

ページが表示され、 評価版および無償の IP を検索して製品リ ス トに追加できます。

X-Ref Target - Figure 4-8

図 4-8: IP 製品の選択画面

Vivado Design Suite 2018.3 リ リース ノート 57UG973 (v2018.3) 2018 年 12 月 14 日 japan.xilinx.com

第 4 章: ライセンスの取得

ザイリンクス製品ライセンス サイ トでのライセンス管理

ザイ リ ンクス製品ライセンス サイ トでは、 生成したライセンスが記録されます。 [Manage Licenses] タブには、 その

製品ライセンス アカウン トで生成したライセンスすべてが表示されます。

[Manage Licenses] タブからは、 必要に応じて次を実行できます。

既存ライセンスの確認と取得

ユーザーのアカウン トから生成したライセンスに関する情報は、 マスター ビューと詳細ビューに表示されます。 上

部の表 (マスター ビュー ) で行をク リ ッ クする と、 そのライセンスの詳細情報が下部の表 (詳細ビュー ) に表示され

ます。 詳細ビューの表には、 次の情報が含まれます。

• ファ イルで有効にした製品権限のリ ス ト。

• ファ イルに関連するコ メン ト。

この表からは、 次を実行できます。

• ダウンロード : ライセンス ファ イルが電子メールで届かなかった場合にダウンロード します。

• 電子メール: ライセンス ファ イルをご本人または別のユーザーに送信します。

• 表示: 実際のライセンス ファ イルを表示します。

• 削除: ライセンスファ イルが削除されます。 ファ イルを削除する と、 権限が [Create New License] タブに表示されるよ うになり、 別のホス ト ID 用にライセンスを再生成可能になり ます。

• 許諾したエンド ユーザー ライセンス契約を表示 (IP のみ)。

X-Ref Target - Figure 4-9

図 4-9: ライセンスの管理

Vivado Design Suite 2018.3 リ リース ノート 58UG973 (v2018.3) 2018 年 12 月 14 日 japan.xilinx.com

第 4 章: ライセンスの取得

ライセンスの変更

既存の証明書ベースのライセンスを変更するには、 マスター ビューでそのライセンス ファ イルを選択します。 証明

書ベースのライセンスは、 次の方法で変更できます。

ライセンス ファイル全体の削除およびアカウン トへの権限の返却

1. [Manage Licenses] タブ (図 4-9) で削除するライセンスを選択します。

2. ライセンス ファ イルの詳細の左側にある [Delete] ボタン をク リ ッ ク します。

3. [Accept] ボタンをク リ ッ ク し、 廃棄宣誓書 (Affidavit of Destruction) を受諾します。

注記: これによ り、 ラ イセンス ファ イル全体からすべてのライセンス シートが削除され、 ユーザー アカウン トに権

限が戻されます。

リホスト : ライセンス ファイルのノード ロックまたはライセンス サーバー ホスト ID の変更

1. [Manage Licenses] タブ (図 4-9) でホス ト を変更するライセンスを選択します。

2. [Modify License] ボタンをク リ ッ ク します。 [Modify License] 画面が表示されます。

3. セクシ ョ ン 2 の System Information を確認します。

4. ド ロ ップダウン リ ス トおよびテキス ト ボッ クスをそれぞれ使用し、 ホス ト ID またはホス ト名を変更または追

加します。

5. [Next] ボタンを 2 回押し、 [Accept] ボタンを押して廃棄宣誓書を受諾します。

既存のライセンスのシート数の追加

1. [Manage Licenses] タブ (図 4-9) でシート を追加するライセンス ファ イルを選択します。

2. [Modify License] ボタンをク リ ッ ク します。 [Modify License] 画面が表示されます。

3. セクシ ョ ン 1 の Product Selection を確認します。

4. フローティング ラ イセンスの場合、 [Requested Seats] フ ィールドを変更して権限内の最大シート数までシート を

追加できます。

5. [Next] を 2 回ク リ ッ クします。 シート を追加するのに廃棄宣誓書 (Affidavit of Destruction) の受諾は必要あり ません。

既存の製品ライセンスシート数の削除

1. [Manage Licenses] タブ (図 4-9) でシート を削除するライセンス ファ イルを選択します。

2. [Modify License] ボタンをク リ ッ ク します。 [Modify License] 画面が表示されます。

3. セクシ ョ ン 1 の Product Selection を確認します。

4. フローティング ラ イセンスの場合、 [Requested Seats] フ ィールドを変更して、 このライセンス ファ イルで権利の

あるシート数を削減できます。

5. [Next] ボタンを 2 回押し、 [Accept] ボタンを押して廃棄宣誓書を受諾します。

Vivado Design Suite 2018.3 リ リース ノート 59UG973 (v2018.3) 2018 年 12 月 14 日 japan.xilinx.com

第 4 章: ライセンスの取得

ライセンス キー ファイルへの別の製品ライセンスの追加

1. [Manage Licenses] タブ (図 4-9) で機能/権限を追加するライセンス ファ イルを選択します。

2. [Modify License] ボタンをク リ ッ ク します。 [Modify License] 画面が表示されます。

3. セクシ ョ ン 1 の Product Selection を確認します。

4. ライセンス ファ イルに追加する新しい権限のチェッ ク ボッ クスをオンにします。

5. [Next] を 2 回ク リ ッ クします。 機能を追加するのに廃棄宣誓書 (Affidavit of Destruction) の受諾は必要あり ません。

ライセンス キー ファイルからの製品ライセンスの削除

1. [Manage Licenses] タブ (図 4-9) で機能/権限を削除するライセンス ファ イルを選択します。

2. [Modify License] ボタンをク リ ッ ク します。 [Modify License] 画面が表示されます。

3. セクシ ョ ン 1 の Product Selection を確認します。

4. ライセンス ファ イルから削除する権限のチェッ ク ボッ クスをオンにします。

5. [Next] ボタンを 2 回押し、 [Accept] ボタンを押して廃棄宣誓書を受諾します。

キー ファ イルの変更には、 ライセンス ファ イルが作成されたのと同じ入力フォームを使用しますが、 追加する場合

は、 ライセンス タイプ (フローティングかノード ロ ッ クか) が選べるよ うにな り ます。

削除したライセンス コンポーネン トの再請求

製品ライセンスは、 次のいずれかが実行される と削除されます。

• ライセンス サーバー ホス トの変更。

• 既存の製品ライセンスシート数の削除。

• ライセンス キー ファ イルから製品ライセンスの削除。

シート を削除したり、 証明書ベースのライセンス ファ イルから製品を削除した場合、 その使用権限が元に戻るか、

ライセンス アカウン トのシート数が増えます。 既存ライセンス ファ イルから削除したシート数と同じ数分、 ザイ リ

ンクス製品ライセンス サイ トの [Create New Licenses] タブのシート数が増えます。

ライセンスを再発行する前に、 まず廃棄宣誓書を許諾します。 この法的契約は、 無効になった製品ライセンスが使

用されていないこ とを確認するために必要です。

発行数は、 ユーザーごとに記録されます。 製品ライセンスはメジャー リ リースごとに管理者は 5 回、 エンド ユー

ザーは 3 回再発行できます。

ライセンス キー ファイルの変更点

製品権限に対してライセンスが生成されるたびに、 FLEXnet でインク リ メン ト行および対応するパッケージ行がラ

イセンス キー ファ イルに追加されます。 ライセンス ファイルが既存の製品権利のシート を有効にしたり追加するた

めに修正される と、 インク リ メン ト またはパッケージ行がライセンス ファ イルに追加されます。

ライセンス ファイルのホス トが変更されたり、 シート数や製品権利が削除される と、 対応するインク リ メン ト行が

修正されたライセンス ファ イルからは削除されます。

Vivado Design Suite 2018.3 リ リース ノート 60UG973 (v2018.3) 2018 年 12 月 14 日 japan.xilinx.com

第 4 章: ライセンスの取得

ユーザーのライセンス アカウン ト

製品ライセンスのアカウン ト

デザイン ツールまたは IP 製品をザイ リ ンクスから購入する と、 ライセンスを購入したこ とにな り、 その製品のアッ

プデート を使用する権利が 1 年間あり ます。 ザイ リ ンクス デザイン ツールおよび IP 製品を使用するライセンスは、

そのライセンスの状況によって異なり ます。 ライセンスは、 次の要件で決ま り ます。

• 購入した製品

• 購入したシート数

• ライセンス タイプ (証明書ベース、 フローティング、 またはノード ロ ッ ク )

• 製品使用期間 (製品アップデートは 1 年間有効)

このサイ トからは、 購入したデザイン ツールおよび IP の製品権利だけでなく、 無償の製品や評価版製品などのライ

センスにもアクセスできます。 フル ラ イセンスおよび無償ライセンスの有効期限は 1 年間です。 デザイン ツールの

評価版の有効期限は 30 日、 IP の評価版の有効期限は 120 日間です。

製品権限からライセンスを生成する と、 ウェブサイ トでライセンス ファ イルが生成されます。 ライセンスを有効に

する と、 ウェブサイ トでライセンス ファ イルが生成されます。 ラ イセンス ファ イルをインス トールする と、 購入ま

たは評価中のソフ ト ウェアおよび IP の使用が有効になり ます。 ライセンスやライセンス ファ イルはザイ リ ンクス

ウェブサイ トで管理します。

ライセンス アカウン トは、 ザイ リ ンクス ソフ ト ウェア購入リ ス トに掲載されている各ユーザーに与えられ、 エンド

ユーザーまたは管理者のいずれかと して登録されます。 管理者が 1 人の場合は同じライセンス アカウン トですべて

の購入を管理できます。 企業の場合は、 異なる管理者によって複数のアカウン ト を持つこ とができるので、 社内で

予算の異なる別のプロジェク ト を実行している複数のデザイン チームがある場合などに使用する と、 便利です。

注記: ライセンスは、 期限切れの製品権限に対しても生成はできますが、 使用期間が終わるまでのバージ ョ ンまでし

か使用できません。 ライセンスの期限が切れた後に製品アップデート をする と、 次にツールを使用する と きにライ

センス エラー メ ッセージが表示されます。

ザイリンクス デザイン ツールでの LogiCORE IP ライセンスの生成

LogiCORE™ IP および購入済みデザイン ツール ライセンスはすべて、 製品ライセンス サイ トにログインする と リ ス

ト されます。 現時点では、 すべての IP で証明書ベースのライセンスが生成されるよ うになっています。 評価用ライ

センスおよび無償 IP のライセンスも同じサイ トから入手できます。すべての証明書ベースのデザイン ツールおよび

IP のライセンスが一度で生成でき、 1 つのライセンス ファ イルがメールで送信されます。

Vivado Design Suite 2018.3 リ リース ノート 61UG973 (v2018.3) 2018 年 12 月 14 日 japan.xilinx.com

第 4 章: ライセンスの取得

ユーザー タイプとアクシ ョ ン

製品ライセンス サイ トには、 カスタマー アカウン ト管理者、 エンド ユーザー、 および無償ユーザーの 3 タイプの

ユーザー権限があ り ます。

カスタマー アカウン ト管理者

カスタマー アカウン ト管理者は、 たとえば CAD ツールの管理者などがなり ます。 各アカウン トに、 必ず 1 人のカス

タマー アカウン ト管理者が必要です。 カスタマー アカウン ト管理者は、 複数のアカウン ト を管理できます。

カスタマー アカウン ト管理者には、 次のよ うな権限があ り ます。

• ザイ リ ンクス デザイン ツールおよび IP 製品のノード ロ ッ クまたはフローティング ライセンスの生成。

• アカウン トへのユーザーの追加と削除。

• ほかのユーザーへの管理者権限の割り当て。

カスタマー アカウン ト管理者の情報は、 製品注文の過程で送付先と して指定され、 管理者の電子メール宛に購入し

た製品のダウンロードおよびライセンス情報などが送付されます。 カスタマー アカウン ト管理者が電子メールのリ

ンクをク リ ッ クするこ とで、 購入した製品へのアクセスができるよ うになり ます。

エンド ユーザー

製品ライセンス アカウン トにエンド ユーザーを追加する と、 エンジニアやデザイン チーム メ ンバーがそれぞれ柔

軟にライセンス キーを管理および生成できるよ うにな り ます。 エンド ユーザーは、 アカウン ト内でノード ロ ッ ク付

きライセンス ファイルを生成できるほか、 評価版の入手、 デザイン ツールや IP 製品の無償ライセンス ファ イルも

生成できます。 カスタマー アカウン ト管理者は、 エンド ユーザーがフローティング ラ イセンスを生成できるよ う

に、 エンド ユーザーのアカウン ト を設定できます。 ただし、 エンド ユーザーには、 次のよ うな制限があ り ます。

• デフォルトの設定のままではフローティング ラ イセンス ファ イルを生成できません。 この権限は、 カスタマー

アカウン ト管理者が設定できます。

• ほかのユーザーが生成したライセンス ファ イルは表示されません。

• ほかのユーザーをサイ トから追加または削除できません。

無償ユーザー

無償ユーザーは、 次を実行できます。

• Vivado System Edition の 30 日間無償の評価版ライセンス キーを生成できます。

• Vivado HLS の 30 日間無償の評価版ライセンスを生成できます。

• 評価版および無償の IP 製品のライセンス キーを精製できます。

• ISE および Vivado 両方の WebPACK™ 機能を使用可能にする WebPACK ツール ライセンスを生成できます。

すべてのユーザー タイプが製品をダウンロードできます。

注記: ザイ リ ンクス デザイン ツール製品エディシ ョ ンのフル バージ ョ ンのライセンスを既に持っている場合は、 そ

れ以外のザイ リ ンクス デザイン ツール製品エディシ ョ ンまたは IP を試用できます。 これらのライセンスは同じアカ

ウン トで入手できるよ うになっています。

Vivado Design Suite 2018.3 リ リース ノート 62UG973 (v2018.3) 2018 年 12 月 14 日 japan.xilinx.com

第 4 章: ライセンスの取得

ザイリンクス ユーザー アカウン ト情報の変更

重要: ザイ リ ンクス ユーザー アカウン トの社名、 住所、 電子メールなどの情報は、 常に最新の状態にして ください。

会社メール アドレスの変更

1. https://japan.xilinx.com にアクセスします。

2. サイン インします。

3. 会社メール アドレスの [プロフ ィールを更新] リ ンクをク リ ッ ク し、 [個人情報] を展開表示します。

4. 新しい法人電子メール アドレスを [新しい会社 E メール アドレス] に入力します。

5. [プロフ ィールに保存] ボタンを押して変更を保存します。

ツールおよび IP の購入情報

[Order] タブには、 アカウン トの購入情報が表示されます。

Vivado Design Suite 2018.3 リ リース ノート 63UG973 (v2018.3) 2018 年 12 月 14 日 japan.xilinx.com

第 4 章: ライセンスの取得

• ザイ リ ンクスの注文番号が画面左側に表示されます。

• 特定のオーダーをク リ ッ クする と、 右側にその詳細が表示されます。

• 一度に選択できるのは、 1 つのみです。

• 電子メールまたはダウンロードで製品を取得した場合でも、 配達住所情報が表示されます。

X-Ref Target - Figure 4-10

図 4-10: [Orders] タブ

Vivado Design Suite 2018.3 リ リース ノート 64UG973 (v2018.3) 2018 年 12 月 14 日 japan.xilinx.com

第 4 章: ライセンスの取得

ユーザー アクセスの管理

製品ライセンス アカウン トの管理責任は、 別のユーザーに移行するこ と もできるほか、 共有するこ と もできます。

アカウン トへのユーザーの追加や削除は、 [Manage Users] タブから実行します。

X-Ref Target - Figure 4-11

図 4-11: ユーザーの管理

Vivado Design Suite 2018.3 リ リース ノート 65UG973 (v2018.3) 2018 年 12 月 14 日 japan.xilinx.com

第 4 章: ライセンスの取得

ユーザーの追加

製品ライセンス アカウン トにユーザーを追加するには、 次を実行します。

• 新しいユーザーの会社の電子メール アドレスを入力します。

• 管理者権限を与える場合は、 [Add as a full administrator] チェッ ク ボッ クスをオンにします。 フローティング ラ

イセンスを生成する権限を与え、 管理者権限を与えない場合は、 [Allow Floating Licenses] チェッ ク ボッ クスを

オンにします。

注記: 指定する電子メール アドレスは、 ザイ リ ンクス アカウン ト を作成する際にそのユーザーが指定したものと同

じである必要があ り ます。 別のアドレスを使用する と、 ログインしたと きにそのユーザーが正し く認識されない可

能性があ り ます。

ユーザーが既に製品ライセンス サイ トにアクセスしたこ とのある場合、 名前が自動的にユーザー リ ス トに表示され

ます。 サイ トにアクセスしたこ とがない場合は、 名前のと ころに [Not Yet Registered] と表示されます。 登録すれば、

名前の箇所が埋ま り ます。

アカウン ト管理者が管理者権限のないエンド ユーザーにライセンス ファ イルを管理できるよ うにするこ と もできま

す。管理者権限のないエンド ユーザー ([Add as full administrator] と [Allow Floating Licenses] チェッ ク ボッ クスの両方

をオフにした場合) は、 次の機能が使用できます。

• ノード ロ ッ ク ライセンスのみ生成可能。

• 自分自身で生成したライセンス ファ イルのみ表示および修正可能。

• ユーザーの管理は不可。

[Allow Floating Licenses] のみをオンにした場合は、 フローティング ライセンス ファ イルの生成はできますが、 その

他の制限はそのままです。 管理者権限にはフローティング ラ イセンスの生成が既に含まれるため、 両方のチェッ ク

ボッ クスをオンにするこ とはできません。

ユーザーの削除

管理者権限またはフローティング ラ イセンス生成権限は、 該当するユーザーの [Adminstrator] または [Floating]

チェッ ク ボッ クスをオフにする と、 無効にできます。

ユーザーをアカウン トから削除するには、 該当するユーザーの [Delete] ボタン をク リ ッ ク します。

Vivado Design Suite 2018.3 リ リース ノート 66UG973 (v2018.3) 2018 年 12 月 14 日 japan.xilinx.com

第 5 章

WebTalkWebTalk 機能を使用する と、 ザイ リ ンクス FPGA デバイス、 ソフ ト ウェアおよび IP の使用に関する統計をザイ リ ン

クスに送信できます。 WebTalk で収集および送信された情報は、 お客様にとって重要な機能を向上するこ とに焦点を

当てて開発活動に使用し、 お客様の現在および将来のニーズに、 よ り迅速に対応できるよ う活用させていただきま

す。 WebTalk をオンにする と、 お客様の Vivado Design Suite ツール、 SDK、 PetaLinux の使用に関する情報がザイ リ ン

クスへ提供されます。

WebTalk への参加

有償ライセンスが検出されなかった場合を除いて Webtalk への参加は任意です。

有償ライセンスが検出されなかった場合は、 プリ ファレンス設定にかかわらず、 WebTalk によるデータ収集および送

信は常に実行されます。 それ以外の場合は、 WebTalk をオフにする とデータは送信されません。

次の表は、 ザイ リ ンクス ラ イセンス、 WebTalk インス トール プリ ファレンス、 およびユーザーのプリ ファレンス設

定に基づいて、 ザイ リ ンクスへ配線後のデザインからのデータがどのよ うに送信されるかについてまとめています。

注記: デバイスが WebPack の場合、 ツールではまず最初に WebPack ライセンスが検索されます。

表 5-1: ビッ トス ト リーム生成または配線デザイン フローでの WebTalk の動作

ライセンスWebTalk インストール プリファレンスがオン

WebTalk ユーザー プリファレンスがオン

ザイリンクへの WebTalk データの送信

WebPACK X X オン

Edition ライセンス オフ X オフ

Edition ライセンス オン オフ オフ

Edition ライセンス オン オン オン

Vivado Design Suite 2018.3 リ リース ノート 67UG973 (v2018.3) 2018 年 12 月 14 日 japan.xilinx.com

第 5 章: WebTalk

WebTalk インストールのプリファレンス設定

WebTalk は、 次のよ うに、 インス トール中またはインス トール後にグローバルにオン/オフにできます。 インス トー

ル中は、 [Enable WebTalk to send software, IP and device usage statistics to Xilinx (Always enabled for WebPACK license)]

チェッ ク ボッ クスで WebTalk インス トール オプシ ョ ンをオン/オフにできます。

WebTalk インス トール オプシ ョ ンをオンまたはオフにするには、 Tcl コマンド config_webtalk を使用します。

config_webtalk -install on|off

• on: WebTalk をオンにします。

• off: WebTalk をオフにします。

インス トール設定は、 次のディ レク ト リに保存されます。

• Windows 7 および 10: <install dir>/vivado/data/webtalk/webtalksettings

• Linux: <install dir>/vivado/data/webtalk/webtalksettings

注記: インス トール ディレク ト リに書き込むには、 管理者権限が必要です。

X-Ref Target - Figure 5-1

図 5-1: WebTalk のインストール オプシ ョ ン

Vivado Design Suite 2018.3 リ リース ノート 68UG973 (v2018.3) 2018 年 12 月 14 日 japan.xilinx.com

第 5 章: WebTalk

WebTalk ユーザー プリファレンスの設定

WebTalk ユーザー オプシ ョ ンは、 [Tools] → [Settings] をク リ ッ クする と表示される次の画面でオン/オフにできます。

[Settings] ダイアログボッ クスで、 [WebTalk] カテゴ リ をク リ ッ ク します。

インス トール後には、 Tcl コマンド config_webtalk を使用する と WebTalk をオンまたはオフにできます。

config_webtalk -user on|off

• on: 現在のユーザーの WebTalk をオンにします。

• off: 現在のユーザーの WebTalk をオフにします。

X-Ref Target - Figure 5-2

図 5-2: WebTalk ユーザー プリファレンス

Vivado Design Suite 2018.3 リ リース ノート 69UG973 (v2018.3) 2018 年 12 月 14 日 japan.xilinx.com

第 5 章: WebTalk

ユーザー設定は、 次のディ レク ト リに保存されます。

• Windows 10 およびそれ以前:

%APPDATA%\Xilinx\Common\<version>\webtalk

説明:

%APPDATA% は次のとおりです。

C:\Users\<user>\AppData\Roaming

• Linux:

%APPDATA%/.Xilinx/Common/<version>/webtalk

説明:

%APPDATA% は次のとおりです。

/home/<user>

WebTalk インストールおよびユーザー プリファレンスの確認

Tcl コマンド config_webtalk を使用して、 WebTalk の設定を確認するこ と も可能です。 コマンド ライン オプシ ョ

ン -info を使用する と、 インス トール設定およびユーザー設定の値がレポート されます。

config_webtalk -info

Vivado Design Suite 2018.3 リ リース ノート 70UG973 (v2018.3) 2018 年 12 月 14 日 japan.xilinx.com

第 5 章: WebTalk

収集されるデータの種類

WebTalk では、 お客様のデザイン ネッ ト リ ス ト またはリバースエンジニア リ ングするこ とを可能にするその他の機

密情報は収集されません。 ザイ リ ンクスは、 WebTalk を使用して次のデータを収集します。

• ツールのバージ ョ ン

• プラ ッ ト フォーム情報 (OS、 プロセッサの速度および数、 メ イン メモ リ など)

• プロジェク ト ID

• 承認コード

• 生成日

• ターゲッ ト デバイスおよびファ ミ リ情報

収集されるデータ タイプの詳細は、 ザイ リ ンクス デザイン ツール WebTalk のウェブ ページ [参照 16] を参照してく

ださい。 デザインで収集された WebTalk データを確認する場合は、 プロジェク ト ディレク ト リに含まれている

usage_statistics_webtalk.xml ファ イルを開きます。 usage_statistics_webtalk.html ファ イルでは、

ザイ リ ンクスに送信されたデータも簡単に確認できます。 また、 次のよ うなザイ リ ンクス ツール用のサブフロー用

のデータ コレクシ ョ ン ファ イルも該当する HTML ファ イルと一緒に生成されます。

• usage_statistics_ext_xsim.xml

• usage_statistices_ext_labtools.xml

• usage_statistics_ext_sdk.xml

• usage_statistics_ext_petalinux.xml

データの送信

WebTalk は、 ビッ ト ス ト リーム生成またはデザイン配線後に起動されます。 WebTalk では、 収集したデータが

usage_statistics_webtalk.xml ファ イルにまとめられ、 HTTPS (Hypertext Transfer Protocol Secure) ポス ト を介

してザイ リ ンクスに送信されます。 デザインをコンパイルするたびに、 前の usage_statistics_webtalk.xml ファ イルの

内容が上書きされます。 また、 WebTalk では同等の HTML ファ イル usage_statistics_webtalk.html が書き出

され、 ザイ リ ンクスに転送されたデータが何かを簡単に確認できるよ うになっています。 vivado.log (または

runme.log) ファ イルも記述され、 ザイ リ ンクスへのファイル送信が正し く完了したかど うかの情報が確認できる

よ うになっています。

Vivado Design Suite 2018.3 リ リース ノート 71UG973 (v2018.3) 2018 年 12 月 14 日 japan.xilinx.com

付録 A

その他のリソースおよび法的通知

ザイリンクス リソース

アンサー、 資料、 ダウンロード、 フォーラムなどのサポート リ ソースは、 ザイ リ ンクス サポート サイ ト を参照して

ください。

ソリューシ ョ ン センター

デバイス、 ツール、 IP のサポートについては、 ザイ リ ンクス ソ リ ューシ ョ ン センターを参照して ください。 デザイ

ン アシスタン ト 、 デザイン アドバイザリ、 ト ラブルシューティングのヒン ト などが含まれます。

Documentation Navigator およびデザイン ハブ

ザイ リ ンクス Documentation Navigator (DocNav) では、 ザイ リ ンクスの資料、 ビデオ、 サポート リ ソースにアクセスで

き、 特定の情報を取得するためにフィルター機能や検索機能を利用できます。 DocNav を開くには、 次のいずれかを

実行します。

• Vivado IDE で [Help] → [Documentation and Tutorials] をク リ ッ ク します。

• Windows で [スタート ] → [すべてのプログラム] → [Xilinx Design Tools] → [DocNav] をク リ ッ ク します。

• Linux コマンド プロンプ トに 「docnav」 と入力します。

ザイ リ ンクス デザイン ハブには、 資料やビデオへのリ ンクがデザイン タスクおよびト ピッ クごとにま とめられてお

り、 これらを参照するこ とでキー コンセプ ト を学び、 よ く ある質問 (FAQ) を参考に問題を解決できます。 デザイン

ハブにアクセスするには、 次のいずれかを実行します。

• DocNav で [Design Hubs View] タブをク リ ッ ク します。

• ザイ リ ンクス ウェブサイ トのデザイン ハブ ページを参照します。

注記: DocNav の詳細は、 ザイ リ ンクス ウェブサイ トの Documentation Navigator ページを参照してください。

注意: DocNav からは、 日本語版は参照できません。 ウェブサイ トのデザイン ハブ ページをご利用ください。

Vivado Design Suite 2018.3 リ リース ノート 72UG973 (v2018.3) 2018 年 12 月 14 日 japan.xilinx.com

付録 A: その他のリソースおよび法的通知

ライセンスおよびエンド ユーザー ライセンス契約

ザイ リ ンクスは、 ザイ リ ンクス デザイン ツールで次のサードパーティ ベンダーのライセンスを使用する許諾を受け

ています。 各ライセンスは、 該当するソフ ト ウェアのみに適用されるもので、 その他に適用されるものではあ り ませ

ん。 サードパーティの所有するライセンスは英文のまま記載しています。 ライセンス ファ イルを生成する前に、 ザ

イ リ ンクス デザイン ツールおよびサードパーティ製品のエンド ユーザー ラ イセンス契約 (EULA) を許諾しておく必

要があ り ます。

サードパーティ ライセンスの詳細および EULA については、 エンドユーザー使用許諾契約を参照して ください。

ザイ リ ンクス デザイン ツール ライセンスの詳細および EULA については、

https://japan.xilinx.com/cgi-bin/docs/rdoc?v=2018.3;d=end-user-license-agreement.pdf を参照して ください。

登録ゲスト リソース

ザイ リ ンクス サードパーティ ラ イセンス EULA で提供されるソース パッケージは、

https://japan.xilinx.com/products/design-tools/guest-resources.html から入手できます。

参考資料

注記: 日本語版のバージ ョ ンは、 英語版よ り古い場合があ り ます。

1. 『UltraFast 設計手法ガイ ド (Vivado Design Suite 用)』 (UG949)

2. UltraFast™ 高生産性設計手法ガイ ド (UG1197: 英語版、 日本語版)

3. 『UltraFast エンベデッ ド デザイン設計手法ガイ ド』 (UG1046)

4. 『Vivado Design Suite ユーザー ガイ ド : ロジッ ク シ ミ ュレーシ ョ ン』 (UG900)

5. 『Vivado Design Suite ユーザー ガイ ド : 高位合成』 (UG902)

6. 『Vivado Design Suite ユーザー ガイ ド : パーシャル リ コンフ ィギュレーシ ョ ン』 (UG909)

7. 『Vivado Design Suite チュート リ アル: パーシャル リ コンフ ィギュレーシ ョ ン』 (UG947)

8. 『Vivado Design Suite ユーザー ガイ ド : 階層デザイン』 (UG905)

9. 『Vivado Design Suite ユーザー ガイ ド : System Generator を使用したモデル ベースの DSP デザイン』 (UG897)

10. 『Vivado Design Suite ユーザー ガイ ド : インプ リ メンテーシ ョ ン』 (UG904)

11. 『Vivado Design Suite ユーザー ガイ ド : 消費電力解析および最適化』 (UG907)

12. 『IP リ リース ノート ガイ ド』 (XTP025)

13. 『Platform Cable USB II データシート 』 (DS593)

14. 『Parallel Cable IV データシート 』 (DS097)

15. ザイ リ ンクス ダウンロード センター

16. Xilinx Design Tools WebTalk ページ

17. Vivado Design Suite QuickTake ビデオ チュート リ アル

18. Vivado Design Suite の資料

Vivado Design Suite 2018.3 リ リース ノート 73UG973 (v2018.3) 2018 年 12 月 14 日 japan.xilinx.com

付録 A: その他のリソースおよび法的通知

19. 『PS および PL ベースの 1 G/10 G イーサネッ ト ソ リ ューシ ョ ン』 (XAPP1305: 英語版、 日本語版)

20. 『Zynq-7000 SoC のセキュア ブート 』 (XAPP1175: 英語版、 日本語版)

21. 『Model Composer ユーザー ガイ ド』 (UG1262)

22. 『PetaLinux ツール資料: リ ファレンス ガイ ド』 (UG1144)

ト レーニング リソース

ザイ リ ンクスでは、 この資料に含まれるコンセプ ト を説明するさまざまな ト レーニング コースおよび QuickTake ビデ

オを提供しています。 次のリ ンクから関連する ト レーニング リ ソースを参照してください。

1. ト レーニング コース : Vivado Design Suite を使用した FPGA の設計 1

お読みください: 重要な法的通知本通知に基づいて貴殿または貴社 (本通知の被通知者が個人の場合には 「貴殿」、 法人その他の団体の場合には 「貴社」。 以下同じ ) に開示される情報 (以下 「本情報」 といいます) は、 ザイ リ ンクスの製品を選択および使用するこ とのためにのみ提供されます。 適

用される法律が許容する最大限の範囲で、 (1) 本情報は 「現状有姿」、 およびすべて受領者の責任で (with all faults) とい う状態で提供

され、 ザイ リ ンクスは、 本通知をもって、 明示、 黙示、 法定を問わず (商品性、 非侵害、 特定目的適合性の保証を含みますがこれ

らに限られません)、 すべての保証および条件を負わない (否認する ) ものと します。 また、 (2) ザイ リ ンクスは、 本情報 (貴殿または

貴社による本情報の使用を含む) に関係し、 起因し、 関連する、 いかなる種類 ・ 性質の損失または損害についても、 責任を負わな

い (契約上、 不法行為上 (過失の場合を含む)、 その他のいかなる責任の法理によるかを問わない) ものと し、 当該損失または損害に

は、 直接、 間接、 特別、 付随的、 結果的な損失または損害 (第三者が起こした行為の結果被った、 データ、 利益、 業務上の信用の

損失、 その他あらゆる種類の損失や損害を含みます) が含まれるものと し、 それは、 たとえ当該損害や損失が合理的に予見可能で

あったり、 ザイ リ ンクスがそれらの可能性について助言を受けていた場合であったと しても同様です。 ザイ リ ンクスは、 本情報に

含まれるいかなる誤り も訂正する義務を負わず、 本情報または製品仕様のアップデート を貴殿または貴社に知らせる義務も負いま

せん。 事前の書面による同意のない限り、 貴殿または貴社は本情報を再生産、 変更、 頒布、 または公に展示してはなり ません。 一

定の製品は、 ザイ リ ンクスの限定的保証の諸条件に従う こ と となるので、 https://japan.xilinx.com/legal.htm#tos で見られるザイ リ ンク

スの販売条件を参照してください。 IP コアは、 ザイ リ ンクスが貴殿または貴社に付与したライセンスに含まれる保証と補助的条件

に従う こ とにな り ます。 ザイ リ ンクスの製品は、 フェイルセーフと して、 または、 フェイルセーフの動作を要求するアプリ ケー

シ ョ ンに使用するために、 設計されたり意図されたり していません。 そのよ うな重大なアプリ ケーシ ョ ンにザイ リ ンクスの製品を

使用する場合のリ スク と責任は、 貴殿または貴社が単独で負う ものです。 https://japan.xilinx.com/legal.htm#tos で見られるザイ リ ンク

スの販売条件を参照してください。

自動車用のアプリケーシ ョ ンの免責条項

オートモーティブ製品 (製品番号に 「XA」 が含まれる ) は、 ISO 26262 自動車用機能安全規格に従った安全コンセプ ト または余剰性

の機能 ( 「セーフティ設計」 ) がない限り、 エアバッグの展開における使用または車両の制御に影響するアプリ ケーシ ョ ン ( 「セー

フティ アプリ ケーシ ョ ン」 ) における使用は保証されていません。 顧客は、 製品を組み込むすべてのシステムについて、 その使用

前または提供前に安全を目的と して十分なテス ト を行う ものと します。 セーフティ設計なしにセーフティ アプリ ケーシ ョ ンで製品

を使用する リ スクはすべて顧客が負い、 製品の責任の制限を規定する適用法令および規則にのみ従う ものと します。

© Copyright 2012-2018 Xilinx, Inc. Xilinx、 Xilinx のロゴ、 Artix、 ISE、 Kintex、 Spartan、 Virtex、 Vivado、 Zynq、 およびこの文書に含

まれるその他の指定されたブランドは、 米国およびその他各国のザイ リ ンクス社の商標です。 すべてのその他の商標は、 それぞれ

の保有者に帰属します。

この資料に関するフ ィードバッ クおよびリ ンクなどの問題につきましては、 [email protected] まで、 または各ページの

右下にある [フ ィードバッ ク送信] ボタンをク リ ッ クする と表示されるフォームからお知らせください。 フ ィードバッ クは日本語で

入力可能です。 いただきましたご意見を参考に早急に対応させていただきます。 なお、 このメール アドレスへのお問い合わせは受

け付けており ません。 あらかじめご了承ください。