vivado design suite - xilinx...vivado design suite 2016.3 リリース ノート 2 ug973 (v2016.3)...

92
Vivado Design Suite ユーザー ガイド リリース ノート、 インストール およびライセンス UG973 (v2016.3) 2016 10 5 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。 資料によっては英語版の更新に対応していないものがあります。日本語版は参考用としてご使用の上、最新 情報につきましては、必ず最新英語版をご参照ください。

Upload: others

Post on 13-Mar-2021

5 views

Category:

Documents


0 download

TRANSCRIPT

Page 1: Vivado Design Suite - Xilinx...Vivado Design Suite 2016.3 リリース ノート 2 UG973 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com 改訂履歴 次の表に、この文書の改訂履歴を示します。

Vivado Design Suite ユーザー ガイド

リ リース ノート、 インス トールおよびライセンス

UG973 (v2016.3) 2016 年 10 月 5 日

この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料によっては英語版の更新に対応していないものがあります。日本語版は参考用としてご使用の上、最新情報につきましては、必ず最新英語版をご参照ください。

Page 2: Vivado Design Suite - Xilinx...Vivado Design Suite 2016.3 リリース ノート 2 UG973 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com 改訂履歴 次の表に、この文書の改訂履歴を示します。

Vivado Design Suite 2016.3 リ リース ノート 2UG973 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com

改訂履歴

次の表に、 この文書の改訂履歴を示します。

 

日付 バージョ ン 改訂内容

2016 年 10 月 5 日 2016.3 2016.3 の 「新機能」 に 新情報を記載:

• 「デバイス サポート 」 をアップデート

• 「Vivado Design Edition ツール」 をアップデート

2016 年 6月 8 日 2016.2 2016.2 の 「新機能」 に 新情報を記載:

• 「デバイス サポート 」 をアップデート

• 「Vivado Design Edition ツール」 をアップデート

• 「シ ミ ュレーシ ョ ン」 セクシ ョ ンを新し く追加

2016 年 4 月 13 日 2016.1 • 編集上のアップデートおよび 「デバイス サポート 」 セクシ ョ ンのパブリ ッ ク アクセスにデバイスを追加

2016 年 4 月 6 日 2016.1 初版

Page 3: Vivado Design Suite - Xilinx...Vivado Design Suite 2016.3 リリース ノート 2 UG973 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com 改訂履歴 次の表に、この文書の改訂履歴を示します。

Vivado Design Suite 2016.1 リ リース ノート 1UG973 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com

目次

第 1章 : リ リース ノート  2016.3新機能 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3

重要な情報 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9

既知の問題 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10

第 2章 : アーキテクチャ  サポートおよび要件オペレーティング システム . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11

アーキテクチャ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12

互換性のあるサードパーティ ツール . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12

システム要件 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14

第 3章 : ダウンロードおよびインストールVivado Design Suite ツールのダウンロード . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 16

Vivado Design Suite ツールのインス トール . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17

ケーブル ド ラ イバーのインス トール . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 26

その他のツールおよびデバイスの追加 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 26

ネッ ト ワーク インス トール . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 28

バッチ モード インス トール フロー . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 29

アップデートの取得 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 31

Vivado Design Suite ツールのアンインス トール . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 32

第 4章 : WebTalkWebTalk への参加. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 34

WebTalk インス トールのプリ ファレンス設定 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 35

WebTalk ユーザー プリ ファレンスの設定 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 36

WebTalk インス トールおよびユーザー プリ ファレンスの確認 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 37

収集されるデータの種類 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 37

データの送信 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 38

第 5章 : ライセンスの取得および管理ラ イセンス概要 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 39

アクティベーシ ョ ン ベース ラ イセンスの生成/インス トール/管理 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 41

証明書ベース ライセンスの生成/インス トール . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 53

ユーザー マシンでのライセンスの管理 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 59

ザイ リ ンクス製品ライセンス サイ トの使用 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 61

第 6章 : 以前のバージ ョ ンのリ リース ノートリ リース ノート 2016.2 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 73

重要な情報 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 74

既知の問題 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 75

リ リース ノート 2016.1 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 75

Page 4: Vivado Design Suite - Xilinx...Vivado Design Suite 2016.3 リリース ノート 2 UG973 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com 改訂履歴 次の表に、この文書の改訂履歴を示します。

Vivado Design Suite 2016.1 リ リース ノート 2UG973 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com

重要な情報 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 86

既知の問題 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 87

付録 A : その他のリソースおよび法的通知ザイ リ ンクス リ ソース . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 66

ソ リ ューシ ョ ン センター . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 66

Documentation Navigator およびデザイン ハブ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 66

ラ イセンスおよびエンド ユーザー ラ イセンス契約 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 66

参考資料 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 67

ト レーニング リ ソース . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 67

お読みください : 重要な法的通知 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 68

Page 5: Vivado Design Suite - Xilinx...Vivado Design Suite 2016.3 リリース ノート 2 UG973 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com 改訂履歴 次の表に、この文書の改訂履歴を示します。

Vivado Design Suite 2016.3 リ リース ノート 3UG973 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com

第 1章

リ リース ノート  2016.3

新機能

Vivado® Design Suite 2016.3 には、 次のデバイス サポートおよび Vivado System Edition 製品が含まれています。

デバイス  サポート

次の UltraScale+ デバイスをサポート :

• Kintex UltraScale+:

° XCKU3P、 XCKU5P、 および XCKU15P

• Zynq UltraScale+ MPSoC:

° XCZU7EV、 XCZU17EG、 および XCZU19EG

次の 7 シ リーズ デバイスをサポート :

• シングル コア Zynq-7000 デバイス :

° XC7Z007S、 XC7Z012S、 および XC7Z014S

Vivado System Edition 製品

Vivado 高位合成

° システム パフォーマンスを向上するため AXI4-Stream アダプターにレジスタを追加。

° 異なるコンパイルでポート名を保持する新しい機構を導入。

° 協調シ ミ ュレーシ ョ ン用の設計アシスタンス。

° エラーが発生したスケジュールに関する情報を改善。

° GUI 内でエクスポート されたレポート を比較。

° データフローのグラフ ィカル ビューアーを導入。

° [Export RTL] コマンドに RTL 合成までのみを評価するオプシ ョ ンを追加。

Page 6: Vivado Design Suite - Xilinx...Vivado Design Suite 2016.3 リリース ノート 2 UG973 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com 改訂履歴 次の表に、この文書の改訂履歴を示します。

Vivado Design Suite 2016.3 リ リース ノート 4UG973 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com

第 1 章: リ リース ノート  2016.3

System Generator for DSP

° MATLAB バージ ョ ン R2015a、 R2015b、 R2016a、 および R2016b をサポート。

° FFT/IFFT の改善点: これらのブロ ッ クの入力および出力でフレームを揃えて同期化しやすくするオプシ ョ

ンのスタート フレーム ポート を追加。

° UltraRAM サポート : UltraScale アーキテクチャ ベースのデバイス用 UltraRAM メモ リのブロ ッ クをインプ リ

メン トできるよ うデュアル ポートおよびシングル ポート RAM メモ リ ブロ ッ クを改善。

Vivado Design Edition ツール

一般

• IP を安全で簡単な方法で共有できるよ うにする IEEE 1735-2014 ベースの暗号化をサポート。

• プロセッサ以外をベースと したメモ リの XPM_MEMORY を介した初期化をサポート。

統合設計環境 (IDE)

• UltraFast デザイン ルール チェッ クの実行およびレポート生成を自動化。

• テキス ト エディ ターの改善点:

° コ メン ト折りたたみ。

° Goto 定義および検索機能。

° ダブルク リ ッ クで単語をハイライ ト 。

• run をグループ化し、 グループ内のノードのステータスをま とめてグループのステータス と して表示。

• 表をマイクロ ソフ ト Excel のよ うに列フ ィルター可能。

• ク ロ ッ ク使用率レポートおよびインク リ メンタル再利用レポート を IDE で生成可能。

消費電力解析および Xilinx Power Estimator (XPE)

• Vivado の report_power コマンドで VCU (Video Code Unit) を含む Zynq UltraScale+ EV ファ ミ リ をサポート。

• XPE での VCU のサポート を改善し、 精度を向上するためエンコーダーとデコーダーに個別のコンフ ィギュレー

シ ョ ンを追加。

• XPE の [IO] シートで UltraScale および UltraScale+ デバイスのオフチップ消費電力をサポート。

• XPE での UltraScale+ に対するデフォルトの配線複雑性値を 10 にし、 配線インターコネク ト消費電力の見積も

り精度を向上。

• XPE の [Clock] シートにファンアウ ト /サイ ト設定を追加し、 Vivado から配線済み結果をインポートする際のク

ロ ッ ク消費電力の精度を向上。

• [Design Runs] ウ ィンド ウに並べ替え可能な列に全消費電力を追加し、 デザイン run のプロパティ

STATS.TOTAL_POWER と して提供。 これによ り、 デザイン run を全消費電力で並べ替えるこ とができます。

• Vivado の report_power に XPE でサポート されている 2 つのインジケーターを組み込み。

° スタート アップ電流が定常状態の電流を超えた場合に電源電流を青でハイライ ト。

° 電流がパッケージでサポート されている 大値を超えた場合にク リティカル警告を生成し、 電力と電流を

赤でハイライ ト。

Page 7: Vivado Design Suite - Xilinx...Vivado Design Suite 2016.3 リリース ノート 2 UG973 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com 改訂履歴 次の表に、この文書の改訂履歴を示します。

Vivado Design Suite 2016.3 リ リース ノート 5UG973 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com

第 1 章: リ リース ノート  2016.3

• リセッ ト タイプの制御セッ ト信号のスイ ッチング アクティビティをグローバルに制御する新しい XDC 制約を

追加。

° set_switching_activity -deassert_resets: リセッ トがディアサート されてデザイン全体のアク

ティビティがよ り現実的なものになるよ う スイ ッチング アクティビティを設定。

° reset_switching_activity -no_deassert_resets: set_switching_activity

-deassert_resets を解除。

注記: IDE で report_power をインタラ クティブに実行する場合、 set_switching_activity

-deassert_resets 制約が自動的に設定されます。

Vivado IP インテグレーター

• 10G/25G Ethernet Subsystem の設計アシスタンス。

• RTL 合成中デフォルトで IP キャ ッシュおよび OOC (アウ ト オブ コンテキス ト ) モードを使用。

• AXI SmartConnect。

° ランタイムを短縮し、 ディ スク フッ トプ リ ン ト を削減。

• Vivado デバッグで AXI インターフェイス レベルのデバッグを実行可能。

Vivado IP カタログ

• IP を安全で簡単な方法で共有できるよ うにする IEEE 1735-2014 ベースの暗号化をサポート。

シミ ュレーシ ョ ン  フロー

• IP および XPM ライブラ リが UNISIM/SimPrim と共にコンパイルされるよ うにし、 シ ミ ュレーシ ョ ン中のコンパ

イル時間を短縮。

• シ ミ ュレーシ ョ ン スク リプ トでコンパイル済み IP ラ イブラ リ を利用し、 ディ スク容量を節約してコンパイル時

間を短縮。

Vivado シミ ュレータ

• インク リ メンタル コンパイルのサポート を追加し、 コンパイル時間を短縮。

• あらかじめコンパイルされた IP および XPM ライブラ リ をツールと共に提供し、 実行時間を短縮。

• シ ミ ュレータ GUI 設定を実行間で保持。

° 基数、 時間単位、 ト レース制限、 配列表示制限設定をユーザー プリ ファレンス と してグローバルに保存。

° サイズ、 位置、 列の配置、 [Scope] および [Object] ウ ィン ド ウのフ ィルター ボタンはプロジェク ト ご とに

保存。

RTL 合成

• VHDL-2008 固定小数点サポート をイネーブル。

• ク ロ ッ ク ゲーティングを改善。

Page 8: Vivado Design Suite - Xilinx...Vivado Design Suite 2016.3 リリース ノート 2 UG973 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com 改訂履歴 次の表に、この文書の改訂履歴を示します。

Vivado Design Suite 2016.3 リ リース ノート 6UG973 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com

第 1 章: リ リース ノート  2016.3

インプリ メンテーシ ョ ン

• place_design -directive オプシ ョ ンの次のモードを追加およびアップデート :

° EarlyBlockPlacement: ブロ ッ ク RAM および DSP ブロ ッ クの位置をフローの早期に固定し、 これらの

ブロ ッ クを使用して残りのロジッ クの配置を固定。 RAM および DSP ブロ ッ クが多数含まれるデザインで

有益。

° AltSpreadLogic_low、 AltSpreadLogic_medium、 および AltSpreadLogic_high: 配線が密集した

デザインの配線性を向上するよ う アップデート。

° SSI_BalanceSLRs: SSI デバイスをターゲッ ト と した場合に全体的な分割の質を向上するよ うアップデート。

• ロジッ ク 適化: opt_design に次の 適化フェーズおよびオプシ ョ ンを追加:

° -bufg_opt: グローバル ク ロ ッ ク バッファーの挿入および削除が実行されるすべての 適化 (デフォルト

で実行)。

° -control_set_merge: 論理的に等価の制御信号ド ライバーを結合し、 ダウンス ト リームでよ り 適な複

製を可能にする。

° -hier_fanout_limit <limit>: 論理階層に基づいてファンアウ トの大きいネッ ト ド ラ イバーを複製。

• XDC group_path で定義されたパス グループを phys_opt_design および route_design でサポート。 こ

れによ り、 一部のパスをグループ化してほかのパスよ り優先したタイ ミ ング ク ロージャが可能になり ます。

スタテ ィ ッ ク  タイ ミング解析

• Timing Constraints ウ ィザードでバス スキューの設定をサポート。

• ク ロ ッ ク名の変更をサポート。

• MMCM/PLL で位相シフ ト を遅延に変換可能。

• ク ロ ッキング設計手法チェッ クをさ らに追加。

Vivado I/O プランナー

• バイ ト プランナーでメモ リ インターフェイスの配置を向上。

Vivado デバッグ

• IP インテグレーター デザインで AXI インターフェイス レベルのデバッグが可能。

• イーサネッ ト を介した FPGA/SoC のリモート デバッグをサポート。

• フ ィールド アップデート を含む Tandem デザインのデバッグをサポート。

• ト リ ガー /キャプチャ設定を改善。

° IDE でユーザー定義プローブを作成。

° ト リ ガー設定ウ ィンド ウでのプローブ値の変更方法をわかりやすく改善。

• シ リアル I/O 解析

° インシステム IBERT: ユーザー データを使用してアイ スキャンをプロ ッ ト 。

° アイ スキャンのプロ ッ ト を改善。

Page 9: Vivado Design Suite - Xilinx...Vivado Design Suite 2016.3 リリース ノート 2 UG973 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com 改訂履歴 次の表に、この文書の改訂履歴を示します。

Vivado Design Suite 2016.3 リ リース ノート 7UG973 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com

第 1 章: リ リース ノート  2016.3

階層デザイン フロー

• パーシャル リ コンフ ィギュレーシ ョ ン デザインをプロジェク ト モードでサポート

° RTL およびネッ ト リ ス ト プロジェク ト を Vivado IDE 内で管理

• パーシャル リ コンフ ィギュレーシ ョ ンまたは Tandem コンフ ィギュレーシ ョ ンで UltraScale+ デバイスをベータ

サポート

° 8 つの UltraScale+ デバイス (ZU7EV、 ZU9EG、 KU9P、 KU15P、 VU3P、 VU7P、 VU9P、 および VU13P) の

パーシャル リ コンフ ィギュレーシ ョ ン。

° 5 つの UltraScale+ デバイス (ZU7EV、 ZU9EG、 ZU19EG、 KU15P、 VU3P、 VU7P、 VU9P、 および VU9P) の

Tandem コンフ ィギュレーシ ョ ン。

° ベータ サポートでは PCIe IP の生成およびインプリ メンテーシ ョ ンはイネーブルになっていますが、 ビッ

ト ス ト リームは生成できません。

• 『Vivado Design Suite チュート リ アル: パーシャル リ コンフ ィギュレーシ ョ ン』 (UG947) [参照 7] にプロジェク ト

モードの演習および UltraScale デバイスでの PR Controller IP に関する演習を追加。

ザイリンクス パラメーター指定マクロ

• 新しい XPM FIFO

° ブロ ッ ク RAM、 UltraRAM、 および分散 RAM ベース

° 同期および非同期モードをサポート

° プログラム可能な FULL および EMPTY フラグ

° 読み出しおよび書き込みデータ カウン ト

• XPM メモ リ : ECC サポート をイネーブル

IP (Intellectual Property)

• シ ミ ュレーシ ョ ン スク リプ トでコンパイル済み IP ラ イブラ リ を利用。

メモリ  IP

• Zynq UltraScale+ MPSoC をサポート

• バイ ト プランナーで効率的なパッ ク

• DDR4 コン ト ローラーのク ラムシェル サポート

• ECC サポート

• IDE の MMCM M/D 値を無効化可能

• HMC Controller IP を追加

• PCI Express Integrated Block for UltraScale+

° リ ンク ト レーニング デバッグ ツールを追加: インシステム アイ スキャン、 LTSSM キャプチャなど

° 一部のデバイスで Tandem の高速コンフ ィギュレーシ ョ ンをベータ サポート

° SR-IOV サポート を追加: 4 PF および 252 VF まで

Page 10: Vivado Design Suite - Xilinx...Vivado Design Suite 2016.3 リリース ノート 2 UG973 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com 改訂履歴 次の表に、この文書の改訂履歴を示します。

Vivado Design Suite 2016.3 リ リース ノート 8UG973 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com

第 1 章: リ リース ノート  2016.3

• DMA Subsystem IP for PCI Express

° UltraScale+ に Gen3 x16 のサポート を追加

° Artix-7、 Kintex-7、 Virtex-7 (GTX デバイス)、 および Zynq 7000 のサポート を追加

° ト ランシーバー共有を可能にするため共有ロジッ ク サポート を追加

イーサネッ ト  IP

• すべてのイーサネッ ト IP にオプシ ョ ンの GT 出力を追加。

• UltraScale および UltraScale+ で使用可能な新しい LVDS 非同期を介した 1000BASE-X/SGMII。

• 50G Ethernet Subsystem の 50GBASE-KR2 に新しい 50G RS-FEC および 50G KR FEC を統合。

PCI Express Integrated Block for UltraScale+ 

• リ ンク ト レーニング デバッグ ツールを追加: インシステム アイ スキャン、 LTSSM キャプチャなど。

• 一部のデバイスで Tandem の高速コンフ ィギュレーシ ョ ンをベータ サポート。

• SR-IOV サポート を追加: 4 PF および 252 VF まで。

DMA Subsystem IP for PCI Express

• UltraScale+ に Gen3 x16 のサポート を追加。

• Artix-7、 Kintex-7、 Virtex-7 (GTX デバイス)、 および Zynq 7000 のサポート を追加。

• ト ランシーバー共有を可能にするため共有ロジッ ク サポート を追加。

ファイバー チャネル IP

• 新しい 32G ファ イバー チャネル前方誤り訂正。

° UltraScale および UltraScale+ をサポート

エンベデッ ド  IP

• 高周波数用に 適化された新しい MicroBlaze 8 段パイプライン。

• MPSoC キャ ッシュ との一貫性のため MicroBlaze に ACE ポート を追加。

マルチメディア IP

• Video Test Pattern Generator

° 8K 精度およびクロ ッ クごとに 8 ピクセルのオプシ ョ ンをサポート

° DisplayPort 関連のテス ト パターン

° ビルド時に含めるテス ト パターンを設定可能

• Video Mixer

° メモ リ層およびロゴ層のピクセルごとのアルファ。

° 4:2:0 サポート。

° 10 ビッ ト RGBX および YUVX 444。

° VCU をサポートする YUV422 および YUV420 8 ビッ ト セミプレーナー メモ リ フォーマッ ト。

Page 11: Vivado Design Suite - Xilinx...Vivado Design Suite 2016.3 リリース ノート 2 UG973 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com 改訂履歴 次の表に、この文書の改訂履歴を示します。

Vivado Design Suite 2016.3 リ リース ノート 9UG973 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com

第 1 章: リ リース ノート  2016.3

• VPSS (スケーラーのみのバージ ョ ンをアップデート )

° 4:2:0 サポート を追加。

° スケーラー コンフ ィギュレーシ ョ ンでフォーマッ トの変換を可能にするためオプシ ョ ンの色空間コンバー

ターおよび色差再サンプリ ングを追加。

• HDMI

° 統合リ ファレンス デザインの Vivado リ リース。 Vivado サンプル デザインと して使用可能です。 KC705、

KCU105、 および ZC706 ボードがサポート されます。

° HDMI TX コアに HPD ト グル ファンクシ ョ ンを追加。 このアップデートは、 HDCP 準拠テス トに関する問

題を解決するために必要でした。

° 1.4 と 2.2 の間に HDCP 自動スイ ッチングを追加。

° HDCP リ ピーター モード サポート を追加。

° GUI に HPD およびケーブル検出極性制御を追加。

• MIPI

° MIPI CSI TX Subsystem をインプ リ メン トする新しい IP によ り 7 シ リーズおよび UltraScale+ をサポート。

° MIPI CSI RX サブシステムおよび MIP DSI TX サブシステムに 7 シ リーズのサポート を追加。

° MIPI D-PHY に 7 シ リーズのサポート を追加。

° MIPI CSI RX サブシステム用の Linux ド ラ イバー。

プロセッサ設定ウィザード

• [DDR Configuration] ページを再設計

• [Isolation Configuration] ページを追加

• [Clock Configuration] ページをわかりやすく変更

ザイリンクス ソフ トウェア開発キッ ト  (SDK)

• ザイ リ ンクス ソフ ト ウェア開発キッ ト (SDK) のリ リース ノート情報は、 アンサー 66230 を参照して ください。

重要な情報

デバイス サポート

重要: UltraScale+ プロダクシ ョ ン評価パーツをターゲッ ト とするチェッ クポイン ト を開く と、 次の警告メ ッセージが

表示されます。 Production Evaluation speedfiles are provided in advance of production release and are

intended to closely approximate production level performance.Once production speedfiles

become available, the design will need to be rerun with the production speedfiles.

(日本語訳: プロダクシ ョ ン評価スピード ファ イルはプロダクシ ョ ン リ リースに先立って提供されており、 プロダク

シ ョ ン レベル パフォーマンスを近似したものです。 プロダクシ ョ ン スピード ファ イルが提供されるよ うになった

ら、 プロダクシ ョ ン スピード ファ イルを使用してデザインを再実行する必要があ り ます。 )

Page 12: Vivado Design Suite - Xilinx...Vivado Design Suite 2016.3 リリース ノート 2 UG973 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com 改訂履歴 次の表に、この文書の改訂履歴を示します。

Vivado Design Suite 2016.3 リ リース ノート 10UG973 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com

第 1 章: リ リース ノート  2016.3

シミ ュレーシ ョ ン

シミ ュレーシ ョ ンのエクスポート  (export_simulation):

次のオプシ ョ ンが廃止されています。

 

統合シミ ュレーシ ョ ン  (launch_simulation)

• Vivado 2016.1 から、 スク リプ トのみを生成する機能 ([Generate Scripts Only]) は廃止され、 IDE から削除されてい

ます。

• [Export Simulation] 機能を代わりに使用してください。 この機能を使用する と、 Vivado (IP および IP インテグ

レーター ) からファ イルをエクスポート し、 外部検証環境で使用できます。

Vivado Design Suite 資料のアップデート

Vivado Design Suite 2016.3 では、 ツールのリ リース時にリ リースされない資料があ り ます。 DocNav で [Update

Catalog] ボタンをク リ ッ ク し、 2016.3 の 新の資料を確認して ください。

注記: DocNav は 32 ビッ ト アプリ ケーシ ョ ンであ り、 Linux では 32 ビッ ト ライブラ リ をインス トールしないと機能

しません。

既知の問題

Vivado Design Suite の既知の問題は、 アンサー 66830 を参照してください。

表 1‐1:廃止されたオプシ ョ ン

オプシ ョ ン名 デフォルトの動作 ユーザーの操作 理由

-ip_netlist False なし 高速のシ ミ ュレーシ ョ ンは純粋な RTL での

み可能。 export_simulation は 高速のシ

ミ ュレーシ ョ ン パフォーマンスを得られるス

ク リプ ト を記述するよ う 適化されている。

-language Mixed 混合言語シ ミ ュレータ

が必要

シ ミ ュレーシ ョ ン パフォーマンスを 高速に

するため、 export_simulation では IP RTL

の言語のみを使用。

-single_step • True (Cadence IES)

• False (Cadence IES

以外)

この変更に注意 Cadence IES シ ミ ュレーシ ョ ンは混合言語 RTL

シ ミ ュレーシ ョ ンを処理可能な irun スク リプ

ト を提供。 ほかのシ ミ ュレータでシングル ス

テップを使用する場合はゲート レベルのシ

ミ ュレーシ ョ ン ネッ ト リ ス トが必要。

Page 13: Vivado Design Suite - Xilinx...Vivado Design Suite 2016.3 リリース ノート 2 UG973 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com 改訂履歴 次の表に、この文書の改訂履歴を示します。

Vivado Design Suite 2016.1 リ リース ノート 11UG973 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com

第 2章

アーキテクチャ  サポートおよび要件

オペレーティング システム

ザイ リ ンクスでサポート される OS は、 x86 および x86-64 プロセッサ アーキテクチャの OS のみです。

Microsoft Windows サポート

• Windows 7 および 7 SP1 Professional (64 ビッ ト )、 英語版/日本語版

• Windows 8.1 Professional (64 ビッ ト )、 英語版/日本語版

• Windows 10 Professional (64 ビッ ト )、 英語版/日本語版

• Vivado® Lab Edition には、 Windows 7 SP1 Professional、 32 ビッ ト オペレーティング システムをサポートするザイ リ ンクス ツールセッ トのみが含まれます。 Lab Edition では、 64 ビッ ト システムもサポート されます。

Linux サポート

• Red Hat Enterprise Workstation/Server 7.1 および 7.2 (64 ビッ ト )

• Red Hat Enterprise Workstation 6.7 および 6.8 (64 ビッ ト )

• Red Hat Enterprise Workstation 5.11 (64 ビッ ト )

• SUSE Linux Enterprise 11.4 および 12.1 (64 ビッ ト )

• Cent OS 6.8 (64 ビッ ト )

• Ubuntu Linux 16.04 LTS (64 ビッ ト )

Vivado Lab Edition は Red Hat Enterprise Workstation 6.6 および 6.7 (32 ビッ ト OS) をサポートする唯一のザイ リ ンクス ツールセッ トです。 Lab Edition では、 64 ビッ ト システムもサポート されます。

Page 14: Vivado Design Suite - Xilinx...Vivado Design Suite 2016.3 リリース ノート 2 UG973 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com 改訂履歴 次の表に、この文書の改訂履歴を示します。

Vivado Design Suite 2016.1 リ リース ノート 12UG973 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com

第 2 章 : アーキテクチャ  サポートおよび要件

アーキテクチャ

次の表は、 Vivado Design Suite WebPACK™ ツールとその他すべての Vivado Design Suite エディシ ョ ンのコマーシャル製品のアーキテクチャ サポート を リ ス ト しています。 コマーシャル製品以外 は、 次を参照してください。

• ザイ リ ンクス オートモーティブ デバイスはすべて Vivado Design Suite WebPACK ツールでサポート されます。

• 防衛グレードのザイ リ ンクス FPGA デバイスは、 同等のコマーシャル パーツ サイズのサポート されるエディシ ョ ンでサポート されます。

互換性のあるサードパーティ  ツール

表 2‐1 : アーキテクチャ  サポート

Vivado WebPACK ツールVivado Design Suite

(全エディシ ョ ン)

Zynq® デバイス Zynq-7000 AP SoC デバイス

• XC7Z010、 XC7Z015、 XC7Z020、XC7Z030、 XC7Z007S、 XC7Z012S、 XC7Z014S

Zynq-7000 AP SoC デバイス

• すべて

Virtex® FPGA Virtex-7 FPGA

• なし

Virtex UltraScale™ FPGA

• なし

Virtex UltraScale

• なし

Virtex-7 FPGA

• すべて

Virtex UltraScale FPGA

• すべて

Kintex® FPGA Kintex-7 FPGA

• XC7K70T、 XC7K160T

Kintex UltraScale FPGA

• XCKU025、 XCKU035

Kintex-7 FPGA

• すべて

Kintex UltraScale FPGA

• すべて

Artix® FPGA Artix-7 FPGA

• XC7A15T、 XC7A35T、 XC7A50T、XC7A75T、 XC7A100T、 XC7A200T

Artix-7 FPGA

• すべて

表 2‐2 :互換性のあるサードパーティ  ツール

サードパーティ  ツールRed Hat Linux

Red Hat Linux‐64

SUSE LinuxWindows‐7/10 

32 ビッ ト

Windows‐7/10 

64 ビッ トUbuntu

シミ ュレーシ ョ ン

Mentor Graphic ModelSim SE/DE/PE (10.5c) ○ ○ ○ ○ ○ なし

Mentor Graphics Questa Advanced Simulator(10.5c) ○ ○ ○ ○ ○ なし

Cadence Incisive Enterprise Simulator (IES) (15.20.005) ○ ○ ○ なし なし なし

Synopsys VCS and VCS MX (L-2016.06) ○ ○ ○ なし なし なし

Page 15: Vivado Design Suite - Xilinx...Vivado Design Suite 2016.3 リリース ノート 2 UG973 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com 改訂履歴 次の表に、この文書の改訂履歴を示します。

Vivado Design Suite 2016.1 リ リース ノート 13UG973 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com

第 2 章 : アーキテクチャ  サポートおよび要件

MathWorks MATLAB® および Simulink® with Fixed-Point Toolbox (2015a および 2015b)

○ ○ なし ○ ○ ○

Aldec Active-HDL (10.3)a なし なし なし ○ ○ なし

Aldec Riviera-PRO (2015.10) ○ ○ ○ ○ ○ なし

合成b

Synopsys Synplify/Synplify Pro (L-2016.03)c ○ ○ ○ ○ ○ なし

Mentor Graphics Precision RTL/Plus (2015.2) ○ ○ ○ ○ ○ なし

等価性チェ ック

Cadence Encounter Conformal (9.1)d ○ ○ ○ なし なし なし

OneSpin 360 (2015_12) ○ ○ ○ なし なし なし

a. Aldec シ ミ ュレータのサポートは Aldec 社よ り提供されています。

b. RTL ソースには暗号化されたファイルが含まれる可能性があるので、ほとんどの Vivado IP は Vivado 合成でのみ合成できます。 これらの IP をサードパーティ合成フローで使用するには、 Vivado ツールから合成済みネッ ト リ ス トを 適な形式でエクスポート して、 サードパーティ合成プロジェク トで使用できるよ うにします。

c. Synplify Overlay またはサービス パッ クの利用については、 Synopsys 社にお問い合わせください。

d. Cadence Encounter Conformal は、 Synopsys 社の Synplify を使用した場合にのみ RTL2Gate 用にサポート されます。

System Generator のサポートは、The MathWorks MATLAB および Simulink ツールと互換性のあるオペレーティング システムに制限されます。

表 2‐2 :互換性のあるサードパーティ  ツール

サードパーティ  ツールRed Hat Linux

Red Hat Linux‐64

SUSE LinuxWindows‐7/10 

32 ビッ ト

Windows‐7/10 

64 ビッ トUbuntu

Page 16: Vivado Design Suite - Xilinx...Vivado Design Suite 2016.3 リリース ノート 2 UG973 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com 改訂履歴 次の表に、この文書の改訂履歴を示します。

Vivado Design Suite 2016.1 リ リース ノート 14UG973 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com

第 2 章 : アーキテクチャ  サポートおよび要件

システム要件

このセクシ ョ ンでは、 システム メモ リ要件、 ケーブル インス トールおよびその他の要件および推奨事項について説明します。

演習には、 MATLAB 2014a (またはそれ以降) および Vivado Design Suite 2014.2 (またはそれ以降) が必要です。

推奨されるシステム メモリ

Vivado Design Suite ツールの推奨メモ リについては、 http://japan.xilinx.com/design-tools/vivado/memory.htm を参照してください。

OS と使用可能なメモリ

Microsoft Windows および Linux OS のアーキテクチャ場合、ザイ リ ンクスプ ログラムに使用できる メモ リの 大容量に制限があ り、 大規模デバイスおよび複雑なデバイスを作成する際に問題となるこ とがあ り ます。 Vivado Design Suite には 適化メモ リが含まれており、 ザイ リ ンクス ツール用に RAM を増加できるよ うになっています。

Linux

32 ビッ トの Red Hat Enterprise Linux では、 大規模メモ リのカーネルを使用して各プロセスに 4GB 割り当てるこ とができます。 詳細については、 Red Hat のサポート サイ ト (http://www.redhat.com/docs/manuals/enterprise/) を参照して ください。

ケーブル インストール要件

ザイ リ ンクス デザイン ツールでターゲッ ト ハードウェアをプログラムおよびコンフ ィギュレーシ ョ ンするには、 ハイ パフォーマンスなケーブル、 Platform Cable USB II が必要です。

注記 :デバッグまたはプログラムに使用されていた Xilinx Parallel Cable IV は、 サポート されなくなっています。

推奨 : Platform Cable USB II をインス トールする場合は、 少なく と も USB 1.1 ポートが必要になり ます。 適なパフォーマンスには、 USB 2.0 ポートで Platform Cable USB II を使用するこ とをお勧めします。

ケーブルは、 Windows 7、 Red Hat Linux Enterprise、 SUSE Linux Enterprise 12 の 32 ビッ トおよび 64 ビッ ト バージ ョ ンで公式にサポート されています。 その他の注意点は、 次を参照してください。

• ルート ディレク ト リへの権限が必要です。

• SUSE Linux Enterprise 11 : Platform Cable USB II が正し く動作するためには、 fxload ソフ ト ウェアパ ッ ケージが必要です。 fxload パッケージは SUSE Linux Enterprise 11 には自動的にインス トールされないので、 そのユーザーまたはシステム管理者がインス トールしておく必要があ り ます。

ザイ リ ンクス ケーブルに関するその他の情報は、 次のマニュアルを参照して ください。

• 『USB ケーブル インス トール ガイ ド』 (UG344) [参照 13]

• 『Platform Cable USB II データシート 』 (DS593) [参照 14]

Page 17: Vivado Design Suite - Xilinx...Vivado Design Suite 2016.3 リリース ノート 2 UG973 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com 改訂履歴 次の表に、この文書の改訂履歴を示します。

Vivado Design Suite 2016.1 リ リース ノート 15UG973 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com

第 2 章 : アーキテクチャ  サポートおよび要件

装置とアクセス権

次の表は、 関連装置、 アクセス権、 ネッ ト ワーク接続の条件を示しています。

表 2‐3 :装置とアクセス権の要件 

注記 : Exceed、 ReflectionX、 XWin32 のよ うな X サーバー / リモート デスク ト ップ サーバーはサポート されません。

ネッ トワーク時刻の同期化

ソフ ト ウェアがインス トールされたコンピュータ とは別のネッ ト ワーク コンピュータにユーザーのデザイン ファ イルを保存する場合、 どちらのコンピュータも同時刻に設定する必要があ り ます。 ソフ ト ウェアのファンクシ ョ ンを適にするため、 時刻は定期的に合わせてください。

項目 要件

ディ レク ト リのアクセス権 編集するデザインフ ァ イルが含まれるディ レク ト リすべての書き込み権が必要です。

モニター 解像度 1024x768 ピクセル以上の 16 ビッ ト カ ラー VGA をお勧めします。

ポート デバイスのプログラムには、 ザイ リ ンクス プログラム ケーブル用にパラレル ポート、 USB ポートのいずれかが必要です。 ポートの仕様については、 ケーブルのマニュアルを参照してください。

注記 : ケーブル ド ラ イバー ソフ ト ウェアのインス トールには、 Windows 7 が必要です。 これらの OS のいずれかを使用していない場合、 ケーブルが正し く動作しないこ とがあ り ます。

Page 18: Vivado Design Suite - Xilinx...Vivado Design Suite 2016.3 リリース ノート 2 UG973 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com 改訂履歴 次の表に、この文書の改訂履歴を示します。

Vivado Design Suite 2016.1 リ リース ノート 16UG973 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com

第 3章

ダウンロードおよびインストールこの章では、 Vivado® Integrated Design Environment (IDE)、 Vivado HLS および System Generator for DSP などを含む Vivado Design Suite のダウンロードおよびインス トール方法について説明します。

Vivado Design Suite ツールのダウンロード

ザイ リ ンクス デザイン ツールには、 複数のダウンロードおよびインス トール方法があ り ます。

Vivado Lab Edition では、 ラボ設定でデバイスをプログラムおよびデバッグする専用の効率的な環境が提供されるようになっています。

ヒン ト : Vivado Lab Edition にはライセンスは必要あ り ません。

すべてのエディシ ョ ンおよびダウンロード オプシ ョ ンは、 ザイ リ ンクス ウェブサイ ト (http://japan.xilinx.com/support/download/index.htm) を参照して ください。

ザイ リ ンクス ダウンロード センターのファイルはほとんどが Akamai ダウンロード マネージャを使用してダウンロード されます。 ダウンロード前に、 次を設定しておいてください。

• http://xilinx.entitlenow.com からのポップアップを許可する

• 保護項目もそ うでない項目も同じページに表示されるよ うにセキュ リ ティ設定をする

• Java をインス トールして Akamai マネージャを実行できるよ うにする

Vivado Design Suite のフル エディシ ョ ンをダウンロードするには、 次を実行します。

1. 上記のダウンロード サイ トで [Vivado] タブをク リ ッ ク します。

2. 左側からダウンロードするツールのバージ ョ ンをク リ ッ ク します。

3. ダウンロードするインス トーラーのリ ンクをク リ ッ ク します。

フル Vivado エディシ ョ ン (WebPACK、 Design Edition または System Edition) をインス トールする場合は、(http://japan.xilinx.com/support/download/index.htm から Windows 用か Linux 用のウェブ インス トーラー、 またはすべての OS 用のシングル ファ イル ダウンロードのいずれかのリ ンクをク リ ッ ク します。

Vivado Lab Edition ツールをダウンロードするには、[Vivado] タブで 2015.1 以降のバージ ョ ンをク リ ッ ク して、Vivado Lab Edition に関するファイルをダウンロード します。

注記 : Lab Edition インス トーラーは、 32 ビッ ト または 64 ビッ ト マシンで実行できます。 フル エディシ ョ ン インストーラーは、 64 ビッ ト マシンでのみ実行できます。

Page 19: Vivado Design Suite - Xilinx...Vivado Design Suite 2016.3 リリース ノート 2 UG973 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com 改訂履歴 次の表に、この文書の改訂履歴を示します。

Vivado Design Suite 2016.1 リ リース ノート 17UG973 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com

第 3 章 : ダウンロードおよびインストール

Vivado Design Suite ツールのインストール

このセクシ ョ ンでは、 すべての OS に共通する Vivado Design Suite のインス トール方法を示します。

シングル ファイル ダウンロード、 Lab Edition、 または DVD

注記 : 2016.2 のリ リースから、 DVD の発送は注文対象外とな り ました。 今後は、 ザイ リ ンクスのウェブサイ トからのダウンロードのみで対応いたしますのでご了承ください。

シングル ファ イル ダウンロード (全 OS 用) または Lab Edition をインス トールするには、 リ ンクをク リ ッ ク して [実行] をク リ ッ クするか、 [保存] でダウンロード したファ イルを解凍して、 xsetup (Linux の場合) または xsetup.exe (Windows の場合) を実行します。

推奨 : ダウンロード した tar.gz ファ イルを解凍するには、 7-zip または WinZip (v.15.0 以降) の使用をお勧めします。

インストーラーのダウンロード

インス トーラーのリ ンクをク リ ッ ク し、 ザイ リ ンクスのアカウン トにサインインして、 アカウン ト情報の変更が必要な場合は変更し、 [次へ] をク リ ッ ク します。 [実行] をク リ ッ ク してインス トーラーを実行します (または、 [保存] をク リ ッ ク してファ イルを任意のディ レク ト リに保存してから、 実行します)。

Welcome 画面で、 [Next] をク リ ッ ク します。 [Preferences] ボタンをク リ ッ クする と、 プロキシ設定やコア/CPU 設定ができます。

X-Ref Target - Figure 3-1

図 3‐1 : Welcome 画面

Page 20: Vivado Design Suite - Xilinx...Vivado Design Suite 2016.3 リリース ノート 2 UG973 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com 改訂履歴 次の表に、この文書の改訂履歴を示します。

Vivado Design Suite 2016.1 リ リース ノート 18UG973 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com

第 3 章 : ダウンロードおよびインストール

インターネッ ト接続

インス トーラーは、 Windows のシステム プロキシ設定を使用してインターネッ トに接続します。 接続には、 [コン トロール パネル] → [インターネッ ト オプシ ョ ン] での設定が使用されます。 Linux ユーザーの場合は、 Firefox ブラウザーのプロキシ設定が接続に使用されます。

接続に問題がある場合は、 次を確認してください。

1. 別のプロキシ設定を使用する場合は、 [Manual Proxy Configuration] オプシ ョ ンで設定を指定します。‘

2. 会社のファイアウォールにユーザー名とパスワードを使用したプロキシ認証が必要かど うかを確認してください。 必要であれば、 上記のダイアログ ボッ クスで [Manual Proxy Configuration] で設定します。

Linux ユーザーが Firefox ブラウザで [Use system settings] または [Auto-detect settings] のいずれかを選択した場合は、インス トーラーでプロキシを手動で設定する必要があ り ます。

X-Ref Target - Figure 3-2

図 3‐2 : Vivado Design Suite のインストール ‐ 接続

X-Ref Target - Figure 3-3

図 3‐3 : Vivado Design Suite のインストールー プロキシ設定の変更

Page 21: Vivado Design Suite - Xilinx...Vivado Design Suite 2016.3 リリース ノート 2 UG973 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com 改訂履歴 次の表に、この文書の改訂履歴を示します。

Vivado Design Suite 2016.1 リ リース ノート 19UG973 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com

第 3 章 : ダウンロードおよびインストール

インス トール タイプの選択画面が表示されたら、 通常のザイ リ ンクス ログイン ID を使用してログインします。

ログイン ID を入れたら、 従来のウェブベースのインス トールか、 フル インス トール イ メージのダウンロードかを選択できます。

• [Download and Install Now] を選択する と、 次の画面で特定のツールおよびデバイス ファ ミ リ を選択でき、 その選択に関連するファイルのみがダウンロード され、 インス トールされます。

• [Download Full Image] を選択した場合は、 ダウンロード ディレク ト リ をユーザーが指定して、 Windows のみか Linux のみか、 両方のオペレーティング システムをサポートするインス トールかを選択する必要があ り ます。[Download Full Image] を選択した場合は、 これ以上オプシ ョ ンを選択する必要はなく、 ダウンロード ディ レクト リから xsetup アプリ ケーシ ョ ンを実行して別々にインス トールを実行する必要があ り ます。

注記 : Lab Edition の場合は、 Lan Edition 用の単一のイ メージ ファ イルをダウンロード して ください。

X-Ref Target - Figure 3-4

図 3‐4 : インストール タイプの選択

Page 22: Vivado Design Suite - Xilinx...Vivado Design Suite 2016.3 リリース ノート 2 UG973 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com 改訂履歴 次の表に、この文書の改訂履歴を示します。

Vivado Design Suite 2016.1 リ リース ノート 20UG973 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com

第 3 章 : ダウンロードおよびインストール

使用許諾契約

インス トールを続行する前に、 使用許諾契約をお読みください。 契約条件を許諾できない場合は、 インス トールをキャンセルしてザイ リ ンクスまでお問い合わせください。

X-Ref Target - Figure 3-5

図 3‐5 : ライセンス許諾画面

Page 23: Vivado Design Suite - Xilinx...Vivado Design Suite 2016.3 リリース ノート 2 UG973 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com 改訂履歴 次の表に、この文書の改訂履歴を示します。

Vivado Design Suite 2016.1 リ リース ノート 21UG973 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com

第 3 章 : ダウンロードおよびインストール

エディシ ョ ンの選択

必要なエディシ ョ ンまたはスタンドアロン ツールを選択します。 ザイ リ ンクス ソフ ト ウェア開発キッ ト (SDK) は、Vivado のエディシ ョ ン (WebPACK、 System Edition、 Design Edition) の一部と してインス トールするこ と もできます。

Vivado の WebPACK および Design Edition は、 インス トール後に System Edition にアップグレードするこ と もできます。 詳細は、 26 ページの 「その他のツールおよびデバイスの追加」 を参照してください。

X-Ref Target - Figure 3-6

図 3‐6 : インストールする Edition の選択画面

Page 24: Vivado Design Suite - Xilinx...Vivado Design Suite 2016.3 リリース ノート 2 UG973 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com 改訂履歴 次の表に、この文書の改訂履歴を示します。

Vivado Design Suite 2016.1 リ リース ノート 22UG973 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com

第 3 章 : ダウンロードおよびインストール

ツール、 デバイス、 オプシ ョ ン

デザイン ツール、 デバイス ファ ミ リおよびインス トール オプシ ョ ンを選択してインス トールをカスタマイズします。 必要なものだけを選択するこ とで、 製品のダウンロードおよびインス トールにかかる時間を削減できます。 後から追加するには、OS の [スタート ] メニューか Vivado の [Help] メニューのいずれかで [Add Design Tools or Devices] をク リ ッ ク します。

X-Ref Target - Figure 3-7

図 3‐7 : ツールおよびオプシ ョ ンの選択

Page 25: Vivado Design Suite - Xilinx...Vivado Design Suite 2016.3 リリース ノート 2 UG973 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com 改訂履歴 次の表に、この文書の改訂履歴を示します。

Vivado Design Suite 2016.1 リ リース ノート 23UG973 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com

第 3 章 : ダウンロードおよびインストール

シ ョート カッ トおよびファイルの関連付け

プログラム グループ入力 ([スタート ] メニュー ) とデスク ト ップ シ ョート カッ トの作成はカスタマイズできます。 オプシ ョ ンで、 この Vivado バージ ョ ンを使用して Vivado プロジェク ト ファ イルを開始するためのファイルの関連付けを作成するこ と もできます。 シ ョート カッ ト作成およびファイルの関連付けオプシ ョ ンは、 現在のユーザーまたはすべてのユーザーに適用できます。

ザイ リ ンクス ツールを初めてインス トールする場合は、 ザイ リ ンクス フォルダーが存在しないので作成するかど うかを尋ねる メ ッセージが表示されます。

X-Ref Target - Figure 3-8

図 3‐8 :ディレク ト リの選択画面

X-Ref Target - Figure 3-9

図 3‐9 :ザイリンクス フォルダーの作成

Page 26: Vivado Design Suite - Xilinx...Vivado Design Suite 2016.3 リリース ノート 2 UG973 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com 改訂履歴 次の表に、この文書の改訂履歴を示します。

Vivado Design Suite 2016.1 リ リース ノート 24UG973 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com

第 3 章 : ダウンロードおよびインストール

サマリの確認

後にサマリが表示されます。 確認して、 [Install] をク リ ッ クする と、 インス トールが開始します。

その他の画面

次の画面が表示されたら、 Xilinx Platform Cable USB や Evaluation Platform JTAG ケーブルなどが接続されている場合は、 それをはずして、 [OK] をク リ ッ ク します。

X-Ref Target - Figure 3-10

図 3‐10 :サマリ画面

X-Ref Target - Figure 3-11

図 3‐11 : ケーブルの接続解除

Page 27: Vivado Design Suite - Xilinx...Vivado Design Suite 2016.3 リリース ノート 2 UG973 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com 改訂履歴 次の表に、この文書の改訂履歴を示します。

Vivado Design Suite 2016.1 リ リース ノート 25UG973 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com

第 3 章 : ダウンロードおよびインストール

次のよ うな Windows セキュ リティ メ ッセージが表示されたら [Always trust software from Xilinx] をオンにして、[Install] をク リ ッ ク します。、

WinPcap のインス トールが必要な場合は、 インス トーラーが起動されます。 [Next] をク リ ッ ク して、 [I Agree] をクリ ッ ク してインス トールをしてください。

MATLAB が認識されなかった場合は次が表示されるので、 MATLAB を使用する場合は [Find MATLAB] をク リ ッ クしてインス トール ディレク ト リ を指定します。 使用しない場合は、 [OK] をク リ ッ ク します。

X-Ref Target - Figure 3-12

図 3‐12 : Windows セキュリテ ィ

X-Ref Target - Figure 3-13

図 3‐13 : WinPcap のインストール ウィザード

X-Ref Target - Figure 3-14

図 3‐14 : MATLAB インス トール ディ レク ト リの選択

Page 28: Vivado Design Suite - Xilinx...Vivado Design Suite 2016.3 リリース ノート 2 UG973 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com 改訂履歴 次の表に、この文書の改訂履歴を示します。

Vivado Design Suite 2016.1 リ リース ノート 26UG973 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com

第 3 章 : ダウンロードおよびインストール

次の画面が表示されたら、 インス トールは終了です。

ケーブル ド ライバーのインストール

Windows の場合、 インス トーラーに [Install Cable Drivers] がオプシ ョ ンで表示されます。

Linux の場合、 ド ライバーのインス トールにルート または sudo アクセスが必要なので、 Vivado 2015.4 の Linux インストーラーからは削除されています。 通常の Vivado インス トーラーは、 ルート または sudo 権限なしに Linux で実行できるよ うになり ました。 Linux にケーブル ド ラ イバーをインス トールするには、 ルート または sudo ポス ト インストールと して実行する必要のあるスク リプ ト を使用できます。

スク リプ ト ディレク ト リ : <Vivado Install Dir>/data/xicom/cable_drivers/lin64/install_script/install_drivers/

スク リプ ト名 : install_drivers

その他のツールおよびデバイスの追加

インス トール後にその他のツール、 デバイスを後から追加したり、 Vivado エディシ ョ ンをアップグレードするこ ともできます。 これは、 デバイスおよびツールの一部だけをインス トールするよ うに選択した場合に便利です。

新しいツールまたはデバイスを追加するには、 次をク リ ッ ク します。

• [スタート ] → [Xilinx Design Tools] → [Vivado <version>] → [Add Design Tools or Devices]

• Vivado で [Help] → [Add Design Tools or Devices]

Vivado の WebPACK または Design Edition をインス トールした場合は、 エディシ ョ ンをアップグレードするオプシ ョンも選択できます。

X-Ref Target - Figure 3-15

図 3‐15 : インストール終了メ ッセージ

Page 29: Vivado Design Suite - Xilinx...Vivado Design Suite 2016.3 リリース ノート 2 UG973 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com 改訂履歴 次の表に、この文書の改訂履歴を示します。

Vivado Design Suite 2016.1 リ リース ノート 27UG973 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com

第 3 章 : ダウンロードおよびインストール

上記の選択に基づいて、 現在のインス トールに追加可能なツールおよびデバイスすべてが表示されます。

Xilinx Information Center (XIC) からツールまたはデバイスを追加するこ と もできます。 このフローを使用する場合は、「アップデートの取得」 を参照してください。

X-Ref Target - Figure 3-16

図 3‐16 : Vivado Design Suite のインストール ‐ エディシ ョ ンの選択

Page 30: Vivado Design Suite - Xilinx...Vivado Design Suite 2016.3 リリース ノート 2 UG973 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com 改訂履歴 次の表に、この文書の改訂履歴を示します。

Vivado Design Suite 2016.1 リ リース ノート 28UG973 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com

第 3 章 : ダウンロードおよびインストール

ネッ トワーク  インストール

ネッ ト ワーク上にソフ ト ウェアをインス トールする と、 そのネッ ト ワーク ド ラ イブのソフ ト ウェアに複数のク ライアン ト マシンからアクセスできます。 ネッ ト ワーク上のソフ ト ウェアを使用する場合、 環境変数、 レジス ト リ、 プログラム グループなどすべてにネッ ト ワークのディレク ト リが指定されている必要があ り ます。 次のセクシ ョ ンでは、 ネッ ト ワークを設定する手順について説明します。

Linux クライアン ト

ソフ ト ウェアがインス トールされたディ レク ト リの settings32.(c)sh または settings64.(c)sh (使用しているシェルによって異なる ) を source コマンドで実行します。 これによ り、 環境がこのインス トール ディ レク ト リ を指定するよ うに設定されます。

ネッ ト ワーク上のインス トール ディレク ト リからデザイン ツールを実行するには、 X Windows Display Manager を起動し、 DISPLAY 環境変数を設定する必要があ り ます。 ディ スプレイの名前に DISPLAY と定義します。 DISPLAY は通常 unix:0.0 にします。 たとえば、 次の構文は bigben という ホス ト上のツールを実行し、 mynode という ク ライアン ト マシンのモニターにグラフ ィ ッ クを表示します。

setenv DISPLAY mynode:0.0 xhost = bigbenPC Clients

Microsoft Windows クライアン ト

1. デザイン ツールを PC ネッ ト ワーク サーバーにインス トールします。 この際、 ユーザーがデザイン ツールのインス トール ディレク ト リの場所を知っているこ と、 またユーザーにそのディ レク ト リへのアクセス権があることを確認してください。

2. ローカル ク ライアン ト マシンから、 ネッ ト ワーク上の network_install_location\.xinstall\Vivado_<version> ディ レク ト リにある networkShortcutSetup.exe ファ イルを実行します。

このプログラムを実行する と、 Windows 設定のバッチ ファ イルとプログラム グループまたはデスク ト ップ シ ョート カッ トが設定され、 リモート ディレク ト リからザイ リ ンクス ツールが実行されます。

3. ローカル マシンから Vivado Design Suite ツールを起動するには、[スタート ] → [プログラム] から該当するツールを選択するか、 デスク ト ップのシ ョート カッ ト をダブルク リ ッ ク します。

割り当て済みネッ トワーク  ド ライブへのインストール

ザイ リ ンクス デザイン ツールは、ルート ディレク ト リの下のフォルダ (C: \Xilinx) にインス トールされるよ うに設定されています。 ローカル ド ラ イブにインス トールする場合は、 通常インス トーラーでこのディ レク ト リになっています。

この問題を回避するには、 UNC パス (たとえば \\network_loc\Xilinx\) を指定するか、 ネッ ト ワーク ディ レクト リの下にインス トール ディレク ト リ \Xilinx を作成します (例 : N:\Xilinx)。

Windows 7 のデフォルトのセキュ リティ レベルのままでは、 割り当てたネッ ト ワーク ド ラ イブを選択するこ とができません。 ザイ リ ンクス デザイン ツールを割り当てたネッ ト ワーク ド ラ イブにインス トールするには、 次の手順でアカウン ト制御設定を変更する必要があ り ます。

1. Windows の [スタート ] メニューからコン ト ロール パネルを開き、 [ユーザー アカウン ト ] をク リ ッ ク します。 コン ト ロール パネルがカテゴ リ表示になっている場合は、 [ユーザー アカウン ト ] を 2 画面続けてク リ ッ ク します。

2. [ユーザー アカウン ト制御設定の変更] をク リ ッ ク し、 変更できるよ うにします。

3. スライダーの位置を次の図のよ うに下から 2 つ目に移動します。

Page 31: Vivado Design Suite - Xilinx...Vivado Design Suite 2016.3 リリース ノート 2 UG973 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com 改訂履歴 次の表に、この文書の改訂履歴を示します。

Vivado Design Suite 2016.1 リ リース ノート 29UG973 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com

第 3 章 : ダウンロードおよびインストール

4. [OK] をク リ ッ ク します。

推奨 : インス トール後は、 同様の方法でユーザー アカウン トの設定を設定前の状態に戻しておく こ とをお勧めします。

注記 :ザイ リ ンクス インス トーラーを使用してネッ ト ワーク ド ラ イブを指定するこ とはできません。 この場合、 割り当てられたネッ ト ワーク ド ラ イブを含むインス トール パスを手動で入力する必要があ り ます。

バッチ モード  インストール フロー

Vivado 2015.1 から、 インス トーラーがバッチ プロセス と して実行できるよ うにな り ました。 標準的なエディシ ョ ンを実行するには、 インス トール ディレク ト リ を指定するか、 インス トーラーにインス トール ディ レク ト リ と、 どのツール、 デバイス、 オプシ ョ ンをインス トールするのか伝えるコンフ ィギュレーシ ョ ン ファ イルを取得している必要があ り ます。 インス トーラーには、 よ く使用されるコンフ ィギュレーシ ョ ンに基づいて リ ファレンス オプシ ョ ン ファ イルを生成できるモードがあるので、 インス トールをさ らに編集してカスタマイズできます。

推奨 : この リ ファレンスは各四半期リ リースごとに生成して、 新しいデバイス、 オプシ ョ ンまたはその他の変更点がユーザーのオプシ ョ ン ファ イルに含まれるよ うにするこ とをお勧めします。

バッチ モードの使用を開始するには、 まずコマンド シェルを開いて、 抽出したインス トーラーを保存したディ レクト リに移動します。

注記 : Windows の場合、 管理者権限でコマンド ウ ィンド ウを開き、 次のオプシ ョ ンを使用した xsetup.exe ではなく、\bin ディレク ト リの xsetup.bat ファ イルを実行します。

コンフ ィギュレーシ ョ ン  ファイルの生成

xsetup -b ConfigGen を実行します。

X-Ref Target - Figure 3-17

図 3‐17 : Vivado Design Suite のインストールー ユーザー アカウン ト制御設定

Page 32: Vivado Design Suite - Xilinx...Vivado Design Suite 2016.3 リリース ノート 2 UG973 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com 改訂履歴 次の表に、この文書の改訂履歴を示します。

Vivado Design Suite 2016.1 リ リース ノート 30UG973 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com

第 3 章 : ダウンロードおよびインストール

これで次のメニューが表示されるインタラ クティブ モードにな り ます。 次にリ ス ト されるエディシ ョ ンから選択してください。

1. Vivado WebPACK

2. Vivado Design Edition

3. Vivado System Edition

4. Documentation Navigator (スタンドアロン)

エディシ ョ ンを選択する と、 コンフ ィギュレーシ ョ ン ファ イルのディ レク ト リ と ファ イル名が表示され、 インタラクティブ モードが終了します。

次は、 WebPACK コンフ ィギュレーシ ョ ン ファ イルの例です。

#### Vivado WebPACK Install Configuration ####Edition=Vivado WebPACKDestination=C:\XilinxModules=Vivado:1,Vivado High Level Synthesis:0,Software Development Kit:0,DocNav:0,Artix-7,Kintex-7,Zynq-7000:1#### Shortcut creation ####CreateProgramGroupShortcuts=1CreateShortcutsForAllUsers=0ProgramGroupFolder=Xilinx Design ToolsCreateDesktopShortcuts=1CreateFileAssociation=1#### Post install tasks ###### Post install tasks can be configured as shown below.InstallOptions=Configure WebTalk:1,Install and Initialize Trusted Storage Licensing:1,Generating installed device list:1,Install VC++ runtime libraries for 64-bit OS:1,Install Cable Drivers:0,Acquire or Manage a License Key:0,run:xic:1

基本的には、 コンフ ィギュレーシ ョ ン ファ イルの各オプシ ョ ンは GUI のオプシ ョ ンと同じで、 値 1 はそのオプシ ョンが選択されているこ とを、 値 0 はそのオプシ ョ ンが選択されていないこ とを示します。

インストーラーの実行

こ こまででユーザーのインス トール プリ ファレンスを反映するコンフ ィギュレーシ ョ ン ファ イルを編集したので、次はインス トーラーを実行します。 インス トーラー コマンド ラインの一部と して、 ザイ リ ンクスおよびサードパーティの使用許諾契約を承認し、 WebTalk の契約条件を理解しているこ とを示す必要があ り ます。

ザイリンクス エンドユーザー使用許諾契約 (EULA)

http://japan.xilinx.com/support/documentation/sw_manuals_j/xilinx2016_3/end-user-license-agreement.pdf

サードパーティ  エンドユーザー使用許諾契約 (EULA)

http://japan.xilinx.com/support/documentation/sw_manuals_j/xilinx2016_3/ug763_tplg.pdf

WebTalk 契約条件

[I agree] をク リ ッ クするこ とで、 上記の WebTalk に関する契約条件のセクシ ョ ン 13 を読み、http://japan.xilinx.com/webtalk の WebTalk FAQ を読む機会が与えられたこ とを確認します。 セクシ ョ ン 13(c) に記述される特定の条件が当てはまる場合は、 WebTalk をオフにできるこ とを理解します。 条件が該当しない場合は、 ソフ ト ウェアをアンインス トールするか、 インターネッ トに接続されていないマシンでソフ ト ウェアを使用するこ とで、 WebTalk をディ スエーブルにできます。 該当条件を満たすこ とができない場合、 またはこのよ う

Page 33: Vivado Design Suite - Xilinx...Vivado Design Suite 2016.3 リリース ノート 2 UG973 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com 改訂履歴 次の表に、この文書の改訂履歴を示します。

Vivado Design Suite 2016.1 リ リース ノート 31UG973 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com

第 3 章 : ダウンロードおよびインストール

な情報の伝達を回避するための適切な手順を踏めない場合は、セクシ ョ ン 13(b) に記述された目的でセクシ ョ ン 13(a) で記述された情報をザイ リ ンクスが収集するこ とに同意します。

上記のそれぞれの項目の同意を示すには、 コマンド ライン オプシ ョ ンの -a または --agree を使用します。上記の 1 つがリ ス トに含まれない場合、 または agree オプシ ョ ンが指定されない場合、 エラー メ ッセージが表示されてインス トーラーが停止して、 インス トールができません。

コマンド  ライン例

次は、 コンフ ィギュレーシ ョ ン ファ イルを使用した典型的な新しいインス トールを実行するコマンド ライン例です。

xsetup --agree XilinxEULA,3rdPartyEULA,WebTalkTerms --batch Install --config install_config.txt

ザイ リ ンクスのデフォルト エディシ ョ ン コンフ ィギュレーシ ョ ンの 1 つを使用する場合、 --config オプシ ョ ンを指定する必要はあ り ませんが、 ディ スティネーシ ョ ン ディレク ト リはコンフ ィギュレーシ ョ ン ファ イルに含まれるので、 これをコマンド ラ インで指定する必要があ り ます。

xsetup --agree 3rdPartyEULA,WebTalkTerms,XilinxEULA --batch Install --edition "Vivado System Edition" --location "C:\Xilinx"

上記のコマンドでは、 指定したエディシ ョ ンのデフォルト コンフ ィギュレーシ ョ ン オプシ ョ ンを使用します。 デフォルト コンフ ィギュレーシ ョ ン オプシ ョ ンを確認するには、 上記に示すよ うに –b ConfigGen モードを使用します。 Vivado インス トーラーのバッチ モードは、 アンインス トールとアップグレード (後からツールおよびデバイスを追加) も実行できます。 インス トーラーのバッチ オプシ ョ ンの リ ス トすべてを確認するには、 xsetup -h または xsetup --help を実行します。

アップデートの取得

ザイ リ ンクスでは、 四半期ベースで Vivado Design Suite ツールのアップデート バージ ョ ンを リ リースする予定です。アップデートには、 デバイス サポートのアップデート、 新機能、 バグ修正などが含まれます。 次のセクシ ョ ンでは、ザイ リ ンクス情報センターから ソフ ト ウェア アップデート を入手する方法について説明します。

Xilinx Information Center

Xilinx Information Center (XIC) は、 XilinxNotify に代わる次世代の機能で、 タスクバー (Windows の場合) にあ り、 ザイリ ンクスからの新リ リースおよびアップデートが定期的にチェッ ク されます。 通知を表示したり解除したり、 アップデート をインス トールしたりできます。

また、 XIC からすべてのザイ リ ンクス ツールおよびインス トールをユーザーが管理できるよ うになり ました。 新しい [Manage Installs] タブからはライセンスをアップデート した り、 確認したり、 すべてをアンインス トールしたりできます。

Page 34: Vivado Design Suite - Xilinx...Vivado Design Suite 2016.3 リリース ノート 2 UG973 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com 改訂履歴 次の表に、この文書の改訂履歴を示します。

Vivado Design Suite 2016.1 リ リース ノート 32UG973 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com

第 3 章 : ダウンロードおよびインストール

Vivado Design Suite ツールのアンインストール

アンインス トールする場合、 ザイ リ ンクス インス トール ディ レク ト リからプロジェク ト ファ イルを移動しておかないと、 削除されてしまいます。

注記 : Xilinx Documentation Navigator は、 アンインス トール中に削除されません。 これは、 複数バージ ョ ンのザイ リンクス ツールで共通のスタンドアロン アプリ ケーシ ョ ンです。 必要でなければ、 別途アンインス トールする必要があ り ます。

アンインストール

アンインス トールする場合、 ザイ リ ンクス インス トール ディ レク ト リからプロジェク ト ファ イルを移動しておかないと、 削除されてしまいます。 Documentation Navigator および Xilinx Information Center のアンインス トールに関する情報については、 次を参照してください。

Documentation Navigator のアンインストール

Xilinx Documentation Navigator は、 アンインス トール中に削除されません。 これは、 複数バージ ョ ンのザイ リ ンクス ツールで共通のスタンドアロン アプリ ケーシ ョ ンです。 必要なくなった場合は、 [スタート ] メニューのプログラム グループの [Uninstall DocNav]、 またはコン ト ロール パネルの [プログラムと機能] から別にアンインス トールする必要があ り ます。

X-Ref Target - Figure 3-18

図 3‐18 : Xilinx Information Center (XIC)

Page 35: Vivado Design Suite - Xilinx...Vivado Design Suite 2016.3 リリース ノート 2 UG973 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com 改訂履歴 次の表に、この文書の改訂履歴を示します。

Vivado Design Suite 2016.1 リ リース ノート 33UG973 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com

第 3 章 : ダウンロードおよびインストール

Xilinx Information Center のアンインストール

Xilinx Information Center は、 アンインス トール中に削除されません。 これは、 複数バージ ョ ンのザイ リ ンクス ツールで共通のスタンドアロン アプリ ケーシ ョ ンです。 必要なくなった場合は、 コン ト ロール パネルの [プログラムと機能] から別にアンインス トールする必要があ り ます。

Microsoft Windows でのアンインストール

Vivado Design Suite ツール製品をアンインス トールするには、 [スタート ] メニュー → [Xilinx Design Tools] → [Vivado 2016.3] → [Accessories] → [Uninstall] をク リ ッ ク します。

Linux でのアンインストール 

ザイ リ ンクス製品をアンインス トールするには、 [スタート ] メニューからその製品の [Uninstall] メニューをク リ ッ クします。 たとえば、 Vivado Design Suite をアンインス トールするには、 [Start] → [All Programs] → [Xilinx Design Tools] → [Vivado 2016.3] → [Uninstall] をク リ ッ ク します。

プログラム グループに入力がない場合は、 次のコマンド ライン オプシ ョ ンを使用してアンインス トールします。<install_path>\.xinstall\Vivado_2016.3\ xsetup.exe –Uninstall

[Uninstall] から該当する入力を使用するか、 プログラムのコン ト ロール パネル オプシ ョ ンを変更してもアンインストールできます (Windows の場合)。

Page 36: Vivado Design Suite - Xilinx...Vivado Design Suite 2016.3 リリース ノート 2 UG973 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com 改訂履歴 次の表に、この文書の改訂履歴を示します。

Vivado Design Suite 2016.1 リ リース ノート 34UG973 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com

第 4章

WebTalk

WebTalk 機能を使用する と、 ザイ リ ンクス FPGA デバイス、 ソフ ト ウェアおよび IP の使用に関する統計をザイ リ ンクスに送信できます。 WebTalk で収集および送信された情報は、 お客様にとって重要な機能を向上するこ とに焦点を当てて開発活動に使用し、 お客様の現在および将来のニーズに、 よ り迅速に対応できるよ う活用させていただきます。 WebTalk をオンにする と、 お客様の Vivado® Design Suite ツール、 SDK、 Petalinux の使用に関する情報がザイ リンクスへ提供されます。

WebTalk への参加

次の場合を除いて Webtalk への参加は任意と します。

• WebPack™ Installation ライセンスを使用している。

• プレ リ リースのソフ ト ウェアまたはデバイスを使用している。

このよ うな場合、 プリ ファレンス設定に関わらず、 WebTalk によるデータ収集および送信は常に実行されます。 それ以外の場合は、 WebTalk をオフにする とデータは送信されません。

次の表は、 ザイ リ ンクス ラ イセンス、 WebTalk インス トール プリ ファレンス、 およびユーザーのプリ ファレンス設定に基づいて、 ザイ リ ンクスへ配線後のデザインからのデータがどのよ うに送信されるかについてまとめています。

注記 :デバイスが WebPack の場合、 ツールではまず 初に WebPack ライセンスが検索されます。

表 4‐1 : ビッ トス ト リーム生成または配線デザイン  フローでの WebTalk の動作

アーリー アクセス サポート

ライセンスWebTalk インストール プリファレンス

がオン

WebTalk ユーザー プリファレンスが

オン

ザイリンクへの WebTalk データの

送信

オフ WebPACK X X オン

オフ Edition ライセンス オフ X オフ

オフ Edition ライセンス オン オフ オフ

オフ Edition ライセンス オン オン オン

Page 37: Vivado Design Suite - Xilinx...Vivado Design Suite 2016.3 リリース ノート 2 UG973 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com 改訂履歴 次の表に、この文書の改訂履歴を示します。

Vivado Design Suite 2016.1 リ リース ノート 35UG973 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com

第 4 章 : WebTalk

WebTalk インストールのプリファレンス設定

WebTalk は、 次のよ うに、 インス トール中またはインス トール後にグローバルにオン/オフにできます。 インス トール中は、 [Enable WebTalk to send software, IP and device usage statistics to Xilinx (Always enabled for WebPACK license)] チェッ ク ボッ クスで WebTalk インス トール オプシ ョ ンをオン/オフにできます。

WebTalk インス トール オプシ ョ ンをオンまたはオフにするには、 Tcl コマンド config_webtalk を使用します。

config_webtalk -install on|off

• on : WebTalk をオンにします。

• off : WebTalk をオフにします。

インス トール設定は、 次のディ レク ト リに保存されます。

• Windows : <install dir>/vivado/data/webtalk/webtalksettings

• Linux : <install dir>/vivado/data/webtalk/webtalksettings

注記 : インス トール ディ レク ト リに書き込むには、 管理者権限が必要です。

X-Ref Target - Figure 4-1

図 4‐1 : WebTalk のインストール オプシ ョ ン

Page 38: Vivado Design Suite - Xilinx...Vivado Design Suite 2016.3 リリース ノート 2 UG973 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com 改訂履歴 次の表に、この文書の改訂履歴を示します。

Vivado Design Suite 2016.1 リ リース ノート 36UG973 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com

第 4 章 : WebTalk

WebTalk ユーザー プリファレンスの設定

WebTalk ユーザー オプシ ョ ンは、[Tools] → [Options] → [General] をク リ ッ クする と表示される次の画面でオン/オフにできます。

インス トール後には、 Tcl コマンド config_webtalk を使用する と WebTalk をオンまたはオフにできます。

config_webtalk -user on|off

• on : 現在のユーザーの WebTalk をオンにします。

• off : 現在のユーザーの WebTalk をオフにします。

ユーザー設定は、 次のディ レク ト リに保存されます。

• Windows :

%APPDATA%\Xilinx\Common\<version>\webtalk

説明 :

%APPDATA% は次のとおりです。

X-Ref Target - Figure 4-2

図 4‐2 : WebTalk ユーザー プリファレンス

Page 39: Vivado Design Suite - Xilinx...Vivado Design Suite 2016.3 リリース ノート 2 UG973 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com 改訂履歴 次の表に、この文書の改訂履歴を示します。

Vivado Design Suite 2016.1 リ リース ノート 37UG973 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com

第 4 章 : WebTalk

C:\Users\<user>\AppData\Roaming

• Linux :

%APPDATA%/.Xilinx/Common/<version>/webtalk

説明 :

%APPDATA% は次のとおりです。

/home/<user>

WebTalk インストールおよびユーザー プリファレンスの確認

Tcl コマンド config_webtalk を使用して、 WebTalk の設定を確認するこ と も可能です。 コマンド ライン オプシ ョン -info を使用する と、 インス トール設定およびユーザー設定の値がレポート されます。

config_webtalk -info

収集されるデータの種類

WebTalk では、 お客様のデザイン ネッ ト リ ス ト またはリバースエンジニア リ ングするこ とを可能にするその他の機密情報は収集されません。 ザイ リ ンクスは、 WebTalk を使用して次のデータを収集します。

• ツールのバージ ョ ン

• プラ ッ ト フォーム情報 (OS、 プロセッサの速度および数、 メ イン メモ リ など)

• プロジェク ト ID

• 承認コード

• 生成日

• ターゲッ ト デバイスおよびファ ミ リ情報

収集されるデータ タイプの詳細については、 ザイ リ ンクス デザイン ツール WebTalk のウェブページ [参照 17] を参照してください。 デザインで収集された WebTalk データを確認する場合は、 プロジェク ト ディレク ト リに含まれている usage_statistics_webtalk.xml ファ イルを開きます。 usage_statistics_webtalk.html ファ イルでは、 ザイ リ ンクスに送信されたデータも簡単に確認できます。 また、 次のよ うなザイ リ ンクス ツール用のサブフロー用のデータ コレクシ ョ ン ファ イルも該当する HTML ファ イルと一緒に生成されます。

• usage_statistics_ext_xsim.xml

• usage_statistices_ext_labtools.xml

• usage_statistics_ext_sdk.xml

• usage_statistics_ext_petalinux.xml

Page 40: Vivado Design Suite - Xilinx...Vivado Design Suite 2016.3 リリース ノート 2 UG973 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com 改訂履歴 次の表に、この文書の改訂履歴を示します。

Vivado Design Suite 2016.1 リ リース ノート 38UG973 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com

第 4 章 : WebTalk

データの送信

WebTalk は、 ビッ ト ス ト リーム生成またはデザイン配線後に起動されます。 WebTalk では、 収集したデータが usage_statistics_webtalk.xml ファ イルにまとめられ、 HTTPS (Hypertext Transfer Protocol Secure) ポス ト を介してザイ リ ンクスに送信されます。 デザインをコンパイルするたびに、 前の usage_statistics_webtalk.xml ファ イルの内容が上書きされます。 また、 WebTalk では同等の HTML ファ イル usage_statistics_webtalk.html が書き出され、 ザイ リ ンクスに転送されたデータが何かを簡単に確認できるよ うになっています。 vivado.log (または runme.log) ファ イルも記述され、 ザイ リ ンクスへのファイル送信が正し く完了したかど うかの情報が確認できるよ うになっています。

Page 41: Vivado Design Suite - Xilinx...Vivado Design Suite 2016.3 リリース ノート 2 UG973 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com 改訂履歴 次の表に、この文書の改訂履歴を示します。

Vivado Design Suite 2016.1 リ リース ノート 39UG973 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com

第 5章

ライセンスの取得および管理ザイ リ ンクスの製品ライセンス (Product Licensing) サイ トからは、 ザイ リ ンクス ソフ ト ウェアおよび IP 製品のダウンロード、 ライセンス、 評価などのオンライン サービスを利用できます。 この章では、 製品ライセンス サイ トの FLEXnet ライセンス生成機能ついて説明しています。

ライセンス概要

2 種類の製品ライセンス

ザイ リ ンクス デザイン ツールでは、 次の 2 種類のザイ リ ンクス エンド ユーザー 使用許諾契約が提供されています。

• 証明書ベースのライセンス : これは、 ISE 11.1 リ リースの ISE® Design Suite から導入されたライセンス許諾方法です。 証明書とは、 ザイ リ ンクス製品ライセンス サイ トから発行されるライセンス ファ イル (.lic) のこ とで、ユーザーの入力し りホス ト ID (個別マシンを認識) に基づいて、 指定されたサーバーまたはライセンス ドングルにそれぞれ対応します。 Vivado ツールではランタイム中に有効なライセンス機能をチェッ クするためにこのライセンス証明書にアクセスする必要があるので、 このファイルはマシン上のライセンス検索パスに保存しておく必要があ り ます。

• アクティベーシ ョ ン ラ イセンス : 権限のある各マシンごとにファイルは必要なく、 ク ライアン ト またはサーバーのハード ド ラ イブの信頼されるディ レク ト リに許可証明書が保存されます。 2014 年 4 月よ り後に購入した新しい Vivado ツール ライセンスのほとんどで、 アクティベーシ ョ ン ベース ライセンス という ラ イセンス テクノ ロジが使用されています。 Vivado を管理者権限でインス トールした場合は、 この信頼ス ト レージ エリ アが自動的にインス トールされて、 Windows オペレーティング システムで初期化されるはずです。 されない場合は、その他のアクティベーシ ョ ン ラ イセンスの処理前に信頼ス ト レージ エリ アをインス トールして初期化する必要があ り ます。 詳細は、 「証明書ベースのノード ロ ッ ク ライセンス」 または 「アクティベーシ ョ ン ベースのフローティング ラ イセンス」 を参照してください。 Vivado ツールはこの信頼ス ト レージ ディレク ト リに適切な証明書が含まれていれば、 実行ができるよ うになっています。 アクティベーシ ョ ン ベースのライセンスではライセンス ファイルが使用されないので、 USB ライセンス ドングルとは一緒に使用できません。

注記 :ザイ リ ンクス ソフ ト ウェアの Flex-ID Dongle ライセンスは、Windows プラ ッ ト フォームでのみサポート されます。

証明書ライセンスに関する用語

• ホス ト ID (Host ID) : 証明書ライセンス内の ID で、 この ID を使用してそのコンピューターへのライセンスがまとめられます。 通常の ID は、 ハード ド ラ イブ容量 ID、 イーサネッ ト ポート MAC アドレス、 または USB ドングル ID などです。

• ノード ロ ッ ク ライセンス (Node-Locked License) : 特定マシンに対して製品権利の単一シート分を使用できるようになり ます。

• フローティング ラ イセンス (Floating License) : ネッ ト ワーク サーバーに保存され、 ライセンスはアプリ ケーシ ョンが起動される とチェッ クアウ ト されます。 同時に使用できるユーザーの数は、 購入したライセンスの数によって異なり ます。

• ライセンス リ ホス ト (License Rehosting) : マシンのハード ウェア変更、 ハード ウェア ド ラ イブ エラー、 またはライセンスの別のマシンへの移行の際に、 生成済みライセンスのホス ト ID を変更するこ とです。

Page 42: Vivado Design Suite - Xilinx...Vivado Design Suite 2016.3 リリース ノート 2 UG973 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com 改訂履歴 次の表に、この文書の改訂履歴を示します。

Vivado Design Suite 2016.1 リ リース ノート 40UG973 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com

第 5 章 : ライセンスの取得および管理

• ライセンス削除 (License Deletion) : マシンからライセンスを削除し、 権利をザイ リ ンクス製品ライセンス アカウン トに戻すこ とです。

• 廃棄宣誓書 (Affidavit of Destruction) : リ ホス ト または削除されたライセンスのライセンス ファイル (.lic) を破棄し、 使用しないという こ とをク リ ッ ク して許諾します。

アクテ ィベーシ ョ ン  ライセンスに関する用語

• ク ライアン ト ラ イセンス (Client License) : 特定マシンに対して製品権利の単一シート分を使用できるよ うになります。 これは、 証明書ベースのノード ロ ッ ク ライセンスに該当するアクティベーシ ョ ン ベースのライセンスです。

• サーバー ライセンス (Server License) : 証明書ベースのフローティング ライセンスに該当するアクティベーシ ョン ベースのライセンスです。 ネッ ト ワーク サーバーに保存され、 ライセンスはアプリ ケーシ ョ ンが起動されるとチェッ クアウ ト されます。 同時に使用できるユーザーの数は、 購入したライセンスの数によって異なり ます。

• 信頼ス ト レージ (Trusted Storage) : アクティベーシ ョ ン ライセンスのホス ト情報および権利が保存されるディ レク ト リです。

• リ クエス ト作成 (Request Creation) : アクティベーシ ョ ン ライセンスは、 リ クエス ト /フルフ ィルメン ト システムに基づいています。 新しいライセンスに対する リ クエス トがまず信頼ス ト レージに記録される必要があ り ます。これは、 [Obtain License] 画面の [Connect Now] または [Save Link As] ボタンをク リ ッ クする と Vivado License Manager で自動的に実行されます。 または、 フローティング アクティベーシ ョ ン ライセンスの設定に必要な xlicsrvrmgr ツールに同様のコマンド ラ イン引数を使用しても自動的に実行されます。 リ クエス ト ID が作成され、 それがホス ト情報と共にザイ リ ンクス製品ライセンス サイ トに送信されます。 ライセンスがライセンス サイ トで生成される と、 同じ リ クエス ト ID でフルフ ィルメン ト XML ファ イルが作成されます。 アクティベーシ ョ ン フルフ ィルメン ト XML ファ イルが信頼ス ト レージに読み込まれ、 フルフ ィルメン トの リ クエス ト ID が信頼ス ト レージに保存されたものと一致すれば、 アクティベーシ ョ ンが問題なく実行されます。 ライセンスがアクティベーシ ョ ンされれば、 そのリ クエス トは非アクティベート されて、 別のライセンスの新しいリ クエストができるよ うになり ます。

• ライセンス返却 (Return License) : アクティベーシ ョ ンの場合、 ライセンス リ ホス ト 、 ラ イセンス削除、 廃棄宣言書は必要なくな り ました。 アクティベーシ ョ ンでは、 ユーザーがクライアン ト またはサーバー マシンからザイ リ ンクスへライセンスの返却をするこ とができます。 返却リ クエス トがある と、 ラ イセンスがローカル マシンで無効になり、 返却リ クエス トがザイ リ ンクス製品ライセンス サイ トへ送信されます。 それが処理される と、ユーザーの製品ライセンス アカウン トに権限が戻り、 メ ッセージが信頼ス ト レージに送信され、 マシンから返却ライセンスが削除されます。

ライセンスの互換性

Vivado 2014.1 以降のリ リースでは、証明書ベースとアクティベーシ ョ ン ベースの両方のライセンスが認識されます。ライセンス バージ ョ ンと日付が、 ツールの使用される間有効であれば、 ライセンスが証明書ベースであるかアクティベーシ ョ ン ベースであるかは関係あ り ません。

アクテ ィベーシ ョ ン  ライセンスの違い

信頼ス ト レージ ディレク ト リ を許諾するには、 ザイ リ ンクス製品ライセンス サイ トから ク ライアン ト またはサーバー マシンへアクティベーシ ョ ン レコードを送信する必要があ り ます。 現在のと ころ、 これは暗号化された許諾を含む XML ファ イルを使用して実行されます。 アクティベーシ ョ ン ライセンスを生成する と、 これまでの証明書ベースのライセンス ファ イル (.lic) の場合と同様、 XML ファ イルが電子メールで送信されます。 証明書ベースの .lic ファ イルはザイ リ ンクス ソフ ト ウェアで続けてアクセスされる必要があるので、 有効なライセンス検索パスに保持される必要があ り ます。 XML アクティベーシ ョ ン レコードは、 許諾を信頼ス ト レージ エリ アに読み込むために使用されます。 許諾が読み込まれたら、 XML アクティベーシ ョ ン レコードは必要なくな り ます。

アクティベーシ ョ ン権限に基づいたライセンスを生成するには、 ライセンスをまずク ライアン ト またはサーバー コンピューターの信頼ス ト レージ ディレク ト リで リ クエス トする必要があ り ます。 ク ラ イアン ト (ノード ロ ッ ク ) アクティベーシ ョ ン ライセンス リ クエス トの場合は、Vivado License Manager か xlicclientmgr コマンド ライン ユーティ リティ を使用する必要があ り、 サーバー (フローティング) ライセンス リ クエス トの場合は、 xlicsrvrmgr コマンド ライン ユーティ リ ティ を使用する必要があ り ます。 xlicclientmgr または xlicsrvrmgr ユーティ リ ティの詳細は、

Page 43: Vivado Design Suite - Xilinx...Vivado Design Suite 2016.3 リリース ノート 2 UG973 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com 改訂履歴 次の表に、この文書の改訂履歴を示します。

Vivado Design Suite 2016.1 リ リース ノート 41UG973 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com

第 5 章 : ライセンスの取得および管理

「xlicclientmgr コマンド ライン ユーティ リ ティ」 または 「xlicsrvrmgr コマンド ライン ユーティ リ ティ」 を参照してください。

この リ クエス トが処理されたら、 ザイ リ ンクス製品ライセンス サイ トの URL が生成され、 そこにザイ リ ンクス製品ライセンス サイ トの リ クエス ト ID とマシン特有の ID 情報が含まれます。 この URL は、 ウェブ ブラウザに貼り付けます。 アクティベーシ ョ ン権限があれば、 ザイ リ ンクス製品ライセンス サイ トの [Create New Licenses] タブの [Activation-Based Licenses] セクシ ョ ンに表示されます。

ザイ リ ンクス製品ライセンス サイ ト を直接入力するか、 古いザイ リ ンクス ライセンス マネージャーからアクセスする場合は、 アクティベーシ ョ ン ベースのライセンスを生成するのに必要なマシンの ID が表示されないこ とがあ り ます。 この場合、 ウェブサイ トの [Activation-Based Licenses] 部分がアクティブではなくな り、 証明書ベースのライセンスのみが生成できるよ うになり ます。

アクテ ィベーシ ョ ン  ベース ライセンスの生成/インストール/管理

アクティベーシ ョ ン  ベースのノード  ロック  ライセンス

概要

アクティベーシ ョ ンの例外

次の条件のいずれかを満たす場合、 ライセンス ソ リ ューシ ョ ンにアクティベーシ ョ ン ライセンスは使用できません。 これ以外のライセンス ソ リ ューシ ョ ンについては、 ザイ リ ンクス開発システム カスタマー サービスまでご連絡ください。

• ライセンス ドングルは、 ザイ リ ンクスのアクティベーシ ョ ン ライセンスではサポート されません。 既存のドングルを使用する場合は、 証明書ベースのライセンスのみが使用できます。

• ファ イルがエクスポートできない保護エリ アにライセンスを作成する場合は、 前からあるホス ト ID 構造をサポートする証明書ベースのライセンスの方が向いています。

信頼ストレージの初期化

Windows : Windows を使用していて管理者権限でインス トールする場合、 信頼ス ト レージ エリアが自動的にインストールされて初期化されるはずです。 信頼ス ト レージが初期化されない場合は、 次を実行してください。

1. Windows の [スタート ] メニューの検索ボッ クスに cmd と入力し、 cmd.exe アイコンを右ク リ ッ ク して [管理者と して実行] をク リ ッ ク して、 管理者モードでコマンド ウ ィンド ウを開きます。

2. <Vivado Tools Directory>\Vivado\2016.3\bin\unwrapped\win64.o\installanchorservice.exe. を実行します。

Linux : 信頼ス ト レージは次の手順を実行して、 手動でインス トールして初期化する必要があ り ます。

1. ルート または sudo アクセスを使用してコマンド ライン シェルを開きます。

2. <Vivado Tools Directory>/Vivado/2016.3/bin/unwrapped/lin64.o/install_fnp.sh を実行します。

ユーザー マシンからのノード  ロック  ライセンスのリクエスト

ノード ロ ッ ク (ク ライアン ト ) アクティベーシ ョ ン ライセンスを生成するには、Vivado License Manager の GUI を使用するか、 xlicclientmgr コマンド ライン実行ファイルを使用して、 ザイ リ ンクス ライセンス管理サイ トにアクセスします。 これらのツールのいずれかを使用できず、 ブラウザからザイ リ ンクス ラ イセンス管理ウェブサイ トに直

Page 44: Vivado Design Suite - Xilinx...Vivado Design Suite 2016.3 リリース ノート 2 UG973 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com 改訂履歴 次の表に、この文書の改訂履歴を示します。

Vivado Design Suite 2016.1 リ リース ノート 42UG973 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com

第 5 章 : ライセンスの取得および管理

接アクセスした場合、 すべてのアクティベーシ ョ ン ベース ライセンスが選択できない状態になり ます。 次の手順は、 Vivado License Manager の GUI を使用してザイ リ ンクス ライセンス管理ウェブサイ トにアクセスする方法を示しています。

1. Vivado License Manager を起動します。

a. Windows 7 または Windows 10 : [スタート ] → [すべてのプログラム] → [Xilinx Design Tools] → [Vivado 2016.3] → [Manage Xilinx Licenses] をク リ ッ ク します。

b. Windows 8.1 : スタート画面からすべてのアプリ を リ ス ト して、 [Manage Xilinx Licenses] アプリ を実行します。

c. Linux : コマンド ライン シェルに vlm と入力します。

2. 左のウ ィンド ウ フレームで [Get License] の下の [Obtain License] をク リ ッ ク します。

3. メ イン ウ ィンド ウ フレームで [Get Free Licenses]、 [Start 30-Day Evaluation] または [Get My Purchased Licenses] のいずれかをク リ ッ ク して、 [Connect Now] ボタンをク リ ッ ク します (図 5-1)。

4. デフォルトのウェブ ブラウザーが起動し、 ザイ リ ンクス ログイン画面が表示されます。

注記 : マシンがインターネッ トに接続されていない場合やプロキシの問題がある場合は、 [Save Link As] ボタンをクリ ッ ク して ください。 これによ り、 必要な情報が HTML ファ イルで保存されます。 この HTML ファ イルはインターネッ トに接続されたどのマシンのウェブ ブラウザーでも開く こ とができます。

ザイリンクス ライセンス管理ウェブサイ トでのノード  ロック  アクテ ィベーシ ョン  ライセンスの生成

Vivado License Manager からウェブ ブラウザーを起動しても、[Save Link As] で保存された HTML ファ イルを使用して手動でブラウザーを開いても、 [Sign In To The Xilinx Licensing Site] 画面が開くはずです。

1. xilinx.com のユーザー名およびパスワードを使用してサインインして、 アドレス情報を確認します。

2. 必要であれば、 [Account] ド ロ ップダウン メニューからご自身のライセンスを含むライセンス アカウン ト を選択します。

3. 必要であればウェブページの [Activation Based Licenses] セクシ ョ ンまでスクロール ダウンします (図 5-2)。

4. アクティベーシ ョ ン ラ イセンスを選択します。

注記 : [Activation Based Licenses] セクシ ョ ンまたは [Certificate Based Licenses] セクシ ョ ンのいずれかでライセンスをチェッ ク したら、 その他のフ ィールドは自動的に選択できなくな り ます。 同じライセンス タイプの複数のライセンスは選択できますが、 アクティベーシ ョ ン ラ イセンスおよび証明書ライセンスは、 別々に生成する必要があ り ます。どちらのセクシ ョ ンも選択できるよ うに戻すには、 チェッ ク したライセンスをオフにします。

X-Ref Target - Figure 5-1

図 5‐1 : [Obtain License] ページ

Page 45: Vivado Design Suite - Xilinx...Vivado Design Suite 2016.3 リリース ノート 2 UG973 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com 改訂履歴 次の表に、この文書の改訂履歴を示します。

Vivado Design Suite 2016.1 リ リース ノート 43UG973 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com

第 5 章 : ライセンスの取得および管理

5. [Activate Node-Locked License] ボタンをク リ ッ ク します。

6. ポップアップ ダイアログ ボッ クスで各ページの情報が正しいかど うかを確認し、 ライセンス生成が始まるまで [Next] をク リ ッ ク していきます。

X-Ref Target - Figure 5-2

図 5‐2 :新規ノード  ロック  (クライアン ト ) アクテ ィベーシ ョ ン  ライセンスの作成

Page 46: Vivado Design Suite - Xilinx...Vivado Design Suite 2016.3 リリース ノート 2 UG973 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com 改訂履歴 次の表に、この文書の改訂履歴を示します。

Vivado Design Suite 2016.1 リ リース ノート 44UG973 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com

第 5 章 : ライセンスの取得および管理

ユーザー マシンへのノード  ロック  アクテ ィベーシ ョ ン  ライセンスのインストール

ワンステップ アクテ ィベーシ ョ ン方法

Vivado 2014.3 からは、 Vivado License Manager で [Connect Now] をク リ ッ クする とポーリ ング モードになるよ うになり ました。 約 2 分後、 Vivado License Manager に次のダイアログ ボッ クス (図 5-3) が表示されます。

インターネッ トおよびプロキシ接続が正しければ、 Vivado License Manager でライセンス生成ダイアログ ボッ クス (手順 3) の 後の [Next] ボタンをク リ ッ クする と、 Xilinx_License.xml ファ イルが存在するかど うかが確認されます。Vivado License Manager では、 この XML ファ イルが自動的にダウンロード されてインス トールされ、 ライセンスが即座にアクティベート されて、 [Success] ダイアログ ボッ クスが表示されます。 インス トールしたライセンスは、 一番左のウ ィンド ウ フレームの [Manage License] の下の [View License Status] ページで確認できます。

Xilinx_License.xml ファ イルは、 記録用に電子メールでも送信されますが、 このファイルに対して何かを実行する必要はあ り ません。

手動方法

ワンステップ アクティベーシ ョ ンのポーリ ング モードは約 15 分後に停止します。 ライセンス ファ イルの生成にこれよ り長くかかる場合、 ポーリ ングを手動でキャンセルした場合、 インターネッ トに接続されていないために別のマシンからザイ リ ンクス ラ イセンス管理ウェブサイ トにアクセスした場合は、 手動方法を使用してライセンスをマシンに読み込む必要があ り ます。

1. 電子メールに添付されたアクティベーシ ョ ン フルフ ィルメン ト ファ イル (.xml) をローカルの一時ディレク ト リに保存します。

2. Vivado License Manager を実行します。

3. Vivado License Manager の左側のフレームで [Get License] の下の [Load License] をク リ ッ ク します。

4. [Activate License] ボタンをク リ ッ ク します。

5. アクティベーシ ョ ン フルフ ィルメン ト ファ イル (Xilinx_License.xml) を参照ボタンで選択し、 [開く ] をク リ ッ クします。

6. これでアクティベーシ ョ ン フルフ ィルメン トが信頼ス ト レージに読み込まれ、 検証され、 そのマシンで該当するツールが使用できるよ うになり ます。

X-Ref Target - Figure 5-3

図 5‐3 : [Waiting for Activation License] ダイアログ ボックス

Page 47: Vivado Design Suite - Xilinx...Vivado Design Suite 2016.3 リリース ノート 2 UG973 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com 改訂履歴 次の表に、この文書の改訂履歴を示します。

Vivado Design Suite 2016.1 リ リース ノート 45UG973 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com

第 5 章 : ライセンスの取得および管理

アクテ ィベーシ ョ ン  ベースのフローティング ライセンス

概要

アクティベーシ ョ ンの例外

次の条件のいずれかを満たす場合、 ユーザーのフローティング ラ イセンス サーバーにアクティベーシ ョ ン ラ イセンスは使用できません。 これ以外のライセンス ソ リ ューシ ョ ンについては、 ザイ リ ンクス開発システム カスタマー サービスまでご連絡ください。

• ト ラ イアド ( ト リプル リ ダンダン ト ) フローティング ライセンス サーバー コンフ ィギュレーシ ョ ンは、 ザイ リンクスのアクティベーシ ョ ン ラ イセンスではサポート されません。 ト リプル リ ダンダン ト フローティング サーバーには、 証明書ベースのライセンスを使用する必要があ り ます。

• SUN-OS ベースのアクティベーシ ョ ン フローティング ライセンス サーバーはザイ リ ンクスではサポート されません。 証明書ベースのライセンスでは、 まだ SUN-OS がサポート されています。

• ファ イルがエクスポートできない保護エリ アにライセンスを作成する場合は、 前からあるホス ト ID 構造をサポートする証明書ベースのライセンスの方が向いています。

信頼ストレージの初期化

信頼ス ト レージは、 ユーザーのフローティング ラ イセンス サーバーに手動でインス トールして初期化する必要があり ます。 フローティング ラ イセンスのインス トール、 初期化、 指定に必要なファイルはすべて、 ザイ リ ンクス ダウンロード センターの現在の Vivado リ リースの 「ラ イセンス管理ツール」 セクシ ョ ンのプラ ッ ト フォーム別 ZIP ファイルに含まれます。

Windows :

1. ライセンス管理ツールの ZIP をディ スクに抽出します。 このアーカイブに含まれる lmgrd および xilinxd が実際にライセンスを使用するのに必要となるので、 一時ディ レク ト リ以外のディ レク ト リに保存するこ とをお勧めします。

2. Windows の [スタート ] メニューの検索ボッ クスに cmd と入力し、 cmd.exe アイコンを右ク リ ッ ク して [管理者と して実行] をク リ ッ ク して、 管理者モードでコマンド ウ ィンド ウを開きます。

3. < Server Tools Directory>\<Tools Version>\win64.o\ installanchorservice.exe を実行します。

Linux :

信頼ス ト レージは次の手順を実行して、 手動でインス トールして初期化する必要があ り ます。

1. ライセンス管理ツールの ZIP をディ スクに抽出します。 このアーカイブに含まれる lmgrd および xilinxd が実際にライセンスを使用するのに必要となるので、 一時ディ レク ト リ以外のディ レク ト リに保存するこ とをお勧めします。

2. ルート または sudo アクセスを使用してコマンド ライン シェルを開きます。

3. <Server Tool Directory>/<Tools Version>/lnx64.o/install_fnp.sh を実行します。

ライセンス サーバー マシンからのフローテ ィング ライセンスのリクエスト

フローティング (サーバー ) アクティベーシ ョ ン ベースのライセンスを生成するには、 サーバー マシンから xlicsrvrmgr コマンド ラ イン実行ファイルを使用してザイ リ ンクス ライセンス管理サイ トにアクセスします。 このツールを使用できない場合は、 ブラウザからザイ リ ンクス ラ イセンス管理ウェブサイ トに直接アクセスする と、すべてのアクティベーシ ョ ン ベース ライセンスが選択できない状態になっています。 ザイ リ ンクス ラ イセンス管理アカウン トからフローティング ラ イセンスを生成するには、 次を実行します。

1. サーバー ツール ディ レク ト リ (上記) から xlicsrvrmgr -cr <outputdir>/server_req.xml を実行します。

Page 48: Vivado Design Suite - Xilinx...Vivado Design Suite 2016.3 リリース ノート 2 UG973 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com 改訂履歴 次の表に、この文書の改訂履歴を示します。

Vivado Design Suite 2016.1 リ リース ノート 46UG973 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com

第 5 章 : ライセンスの取得および管理

これで信頼ス ト レージ エリ アにライセンス リ クエス トが作成されて、 ユーザーのサーバーのホス ト ID 情報を含む XML および HTML ファ イルが出力されます。

2. ブラウザで server_req.html ファ イルを開きます。

この HTML ファ イルには、 フローティング ライセンスをユーザーのサーバーにロッ クするのにアクティベーシ ョ ンが必要なホス ト ID 情報を含む URL が効率的に含まれます。

3. ウェブ ブラウザーが起動し、 ザイ リ ンクス ログイン画面が表示されます。

注記 : マシンがインターネッ トに接続されていない場合やプロキシ問題がある場合は、 インターネッ トに接続されているほかのマシンでこの HTML をウェブ ブラウザーで開く こ とができます。

ザイリンクス ライセンス管理ウェブサイ トでのフローテ ィ ング アクテ ィベーシ ョ ン  ライセンスの生成

1. ザイ リ ンクス ラ イセンス サイ トのログイン画面から、 xilinx.com のユーザー名とパスワードを使用してサインインし、 アドレス情報を確認します。

2. 必要であれば、 [Account] ド ロ ップダウン メニューからご自身のライセンスを含むライセンス アカウン ト を選択します。

3. 必要であればウェブページの [Activation Based Licenses] セクシ ョ ンまでスクロール ダウンします (図 5-4)。

注記 : [Activation Based Licenses] セクシ ョ ンまたは [Certificate Based Licenses] セクシ ョ ンのいずれかでライセンスをチェッ ク したら、 その他のフ ィールドは自動的に選択できなくな り ます。 同じライセンス タイプの複数のライセンスは選択できますが、 アクティベーシ ョ ン ラ イセンスおよび証明書ライセンスは、 別々に生成する必要があ り ます。 どちらのセクシ ョ ンも選択できるよ うに戻すには、 チェッ ク したライセンスをオフにします。

4. アクティベーシ ョ ン ラ イセンスを選択して [Activate Floating License] ボタンをク リ ッ ク します。

5. ダイアログ ボッ クスが開き、 [Requested Seats] フ ィールドにこのサーバーに割り当てたいライセンス シート数を指定できます。 デフォルトは 0 ですが、 続行するにはそれ以外の数値を入力する必要があ り ます。

注記 : Vivado の権限を Vivado 2016.3 を起動した後に購入または更新した場合、 フローティング ライセンスで借用機能が使用できるよ うになり ます。 この借用機能の詳細については、 「ラ イセンスの借用」 を参照してください。

X-Ref Target - Figure 5-4

図 5‐4 :新規フローティング (サーバー ) アクテ ィベーシ ョ ン  ライセンスの作成

Page 49: Vivado Design Suite - Xilinx...Vivado Design Suite 2016.3 リリース ノート 2 UG973 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com 改訂履歴 次の表に、この文書の改訂履歴を示します。

Vivado Design Suite 2016.1 リ リース ノート 47UG973 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com

第 5 章 : ライセンスの取得および管理

6. 借用機能がユーザーのライセンスで使用可能になっている場合は、 [Borrowed Seats] という列が右側に表示されます (図 5-5)。 こ こには、 借用可能な リ クエス ト シート数を指定できます。 デフォルトは 0 で、 借用可能なシート数がないこ とを示し、 前の列でリ クエス ト したシート数までの数を入力できるよ うになっています。

7. リ クエス トおよび借用シート数を入力したら、 ライセンス生成が始まるまで [Next] をク リ ッ ク し続けます。

8. Xilinx_License.xml ファ イルが電子メールで送付されます。 このファイルは、 ライセンスのインス トールと指定を終了するのに必要となり ます。

ユーザー マシンへのフローテ ィング アクテ ィベーシ ョ ン  ライセンスのインストールと指定

Xilinx_License.xml ファ イルを受け取ったら、 ローカル ディ レク ト リに保存します。 次の手順は、 ライセンスのインス トール方法とフローティング サーバーへの指定方法を示しています。

1. サーバー ツール ディ レク ト リ (上記) から xlicsrvrmgr -p <response filename i.e.(xilinx_license)>.xml を実行します。

2. これによ り、 ラ イセンス情報がユーザーの信頼ス ト レージ エリ アに保存されます。

3. ライセンスがインス トールされたかど うかは、 信頼ス ト レージ エリ アで xlicsrvrmgr –v “format=long" を実行すると確認できます。

ライセンス ファイルの要件

次の手順を終了する前に、 Flexera の lmgrd ラ イセンス サーバー ユーティ リ ティについて理解しておく点がいくつかあ り ます。 lmgrd およびその他のよ く使用される FLEX サーバー ユーティ リ ティはアクティベーシ ョ ン ベースのフローティング ライセンスの指定と管理にまだ使用されています。 信頼ス ト レージのライセンスは自動的に検出されて lmgrd によ り指定されますが、 lmgrd でライセンス ファ イル (.lic) が指定される必要があ り ます。 アクティベーシ ョ ン ベースのフローティング ライセンスの場合は、 特定のネッ ト ワークの基礎事項を指定するためだけにライセンス ファ イルが必要です。

SERVER <host_name> <host_id> <port> (Xilinx’s default port=2100)USE_SERVERVENDOR xilinxd

ザイ リ ンクス IP や ISE Design Suite のよ うな既存のザイ リ ンクス ライセンス ファ イルを指定するつも りである場合は、 これ以上の処理は必要あ り ません。 アクティベーシ ョ ン ベースのライセンスのみを指定する場合は、 上記のリス トの情報を含む基本的なライセンス ファ イルを作成する必要があ り ます。

4. 上記の 低条件を満たしたライセンス ファ イル .(lic) があるかど うかを確認してください。

X-Ref Target - Figure 5-5

図 5‐5 : フローテ ィングおよび借用可能シートの指定

Page 50: Vivado Design Suite - Xilinx...Vivado Design Suite 2016.3 リリース ノート 2 UG973 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com 改訂履歴 次の表に、この文書の改訂履歴を示します。

Vivado Design Suite 2016.1 リ リース ノート 48UG973 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com

第 5 章 : ライセンスの取得および管理

5. lmgrd を実行してライセンスを指定します。

Windows :

<Server Tool directory>\win64.o\lmgrd -c <path_to_license>\<license filename>.lic –l <path_to_license>\<log filename>.log

Linux :

注記 : Linux ユーザーの場合、 lmgrd コマンドには設定したライブラ リ パスが必要です。 ザイ リ ンクスでは、 これを自動的に設定する lmgrd.sh というシェル スク リプ ト を提供しています。 lmgrd コマンド ライン ツールのみを使用する場合は、 次のよ うなエラー メ ッセージが表示されるこ とがあ り ます。

<Server Tool directory>/lnx64.o/lmgrd.sh -c <path_to_license>/<license file>.lic -l <path_to_license>/<log filename>1.log

重要 : Windows 8.1 マシンをフローティング サーバーと して使用する場合、 またはサーバーからの借用をサポートする場合は、Vivado 2015.x サーバー ツールのダウンロードに含まれる 11.13.0 バージ ョ ンの lmgrd および xilinxd を使用する必要があ り ます。 lmgrd および xilinxd を前のバージ ョ ンの 11.11.0 から 11.13.0 にアップグレード した場合は、 信頼ス ト レージを初期化し直す必要があ り ます。 借用機能を使用しない場合、 または Windows 8.1 マシンを使用しない場合は、 既存の 11.11.0 バージ ョ ンの lmgrd および xilinxd をご使用いただいて問題あ り ません。

ライセンスの借用

Vivado 2015.1 からは、 ローカル マシンの信頼ス ト レージに互換性のあるサーバーからアクティベーシ ョ ン ベースのライセンスを借用するこ とができるよ うになり ました。 これはつま り、 借用リ クエス ト プロセス中に指定した期間、サーバーのライセンス シート カウン トが 1 つ減り、 その分が借用したク ライアン トのローカルの信頼ス ト レージでアクティベート されるこ とを意味します。 これによ り、 借用したク ライアン トが効率的にノード ロ ッ ク アクティベーシ ョ ン ライセンスを使用して、 ネッ ト ワークから取り出すこ とができます。 借用期間が終わる と、 ライセンスがク ライアン ト マシンで自動的にディアクティベート されて、 フローティング サーバーに戻されます。 借用シートが必要なくなれば、 ライセンスをフローティング サーバーに借用期間よ り も早く戻すこ と もできます。

注記 :仮想マシン (VM) から物理マシンへのライセンスの借用は、 現時点ではサポート されていません。

サーバー ライセンスの借用制限

2015 年 4 月よ り も前に発行された既存の Vivado フローティング アクティベーシ ョ ン ベース ライセンスは借用できません。 Vivado の契約期間の更新が 2015 年 4 月よ り も後の場合は、 その更新から新しいフローティング アクティベーシ ョ ン ベースの権限が借用できますが、 借用を可能にするかど うか、 権限の合計の中で何シート分を借用可能にするかなどは、 ライセンスを生成する管理者がライセンス生成プロセス中に決定する必要があ り ます。

ク ライアン トからは、 Vivado License Manager を使用する と ライセンスが借用可能かど うか簡単に確認できます。 これについては、 「ラ イセンスの借用」 を参照してください。

ライセンスの借用

この段階では、 アクティベーシ ョ ン ベースのフローティング サーバーから ノード ロ ッ ク ク ライアン トへの借用だけが可能です。 次の手順は、 Vivado License Manager を使用してライセンスを借用する方法を示しています。

1. Vivado License Manager を開いて左側のウ ィンド ウの [Manage License] の下の [Borrow/Restore License Seat] をクリ ッ ク します。

Page 51: Vivado Design Suite - Xilinx...Vivado Design Suite 2016.3 リリース ノート 2 UG973 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com 改訂履歴 次の表に、この文書の改訂履歴を示します。

Vivado Design Suite 2016.1 リ リース ノート 49UG973 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com

第 5 章 : ライセンスの取得および管理

2. メ イン エリ アでネッ ト ワーク上のすべてのフローティング サーバーが検索されて、 借用可能なアクティベーシ ョ ン ベース ライセンスが含まれているかど うかが表示されます (図 5-6)。

3. 借用するライセンスをク リ ッ ク し、 [Borrow/Restore] ボタンをク リ ッ ク します。

図 5-6 には、 背景は通常の配色でテキス トが淡色表示になったライセンス行があ り ます。 これは、 このライセンスが借用可能ですが、 現時点では借用できないこ とを示します。 この場合、 すべての借用可能なシート分が既に借用されています。 図のよ うに、 行の背景が灰色の場合は、 ライセンスが借用できないこ とを示します。これは、 通常 Flex ソフ ト ウェア サーバーが古いか (11.11.0 または 11.6.0 – 11.13.0 が必要)、 ラ イセンス自体が借用用に設定されていないこ と (例 : 2014.x 時代のフローティング ライセンス) を示します。

4. [VLM - Borrow Confirmation] 画面が表示されます。 この画面には、 ライセンスをどれく らいの期間借用するのか指定する必要があ り ます。 これは、 [Set Borrow Expiration Date] フ ィールド (図 5-7 の黄色部分) で設定します。

X-Ref Target - Figure 5-6

図 5‐6 : [Borrow/Restore License Seat] ページ

Page 52: Vivado Design Suite - Xilinx...Vivado Design Suite 2016.3 リリース ノート 2 UG973 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com 改訂履歴 次の表に、この文書の改訂履歴を示します。

Vivado Design Suite 2016.1 リ リース ノート 50UG973 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com

第 5 章 : ライセンスの取得および管理

デフォルトでは、 現在の日付から 30 日か、 サーバー ライセンスの期限が切れる日付のいずれか (どちらか早い方) になり ます。 ライセンス期限切れの日付よ り も後の借用終了日は入力できません。

5. 確認画面で [Borrow] ボタンをク リ ッ クする と、 サーバーからのライセンスが減り、 ク ラ イアン トのローカル信頼ス ト レージに追加されます。

画面が更新され、 ライセンス シートがリ ス トに追加され、 初の列に [Restore] という値が付きます。

借用したライセンスの返却

借用したライセンスを指定した期間中ずっと借りない場合は、 ローカル ラ イセンス サーバーに返却できます。

1. Vivado License Manager を開いて左側のウ ィンド ウの [Manage License] の下の [Borrow/Restore License Seat] をクリ ッ ク します。

2. 返却するライセンスを選択します。 返却可能なライセンスには [Action] 列に [Restore] と表示されます (図 5-8)。

3. [Borrow/Restore] ボタンをク リ ッ クする と、 確認するダイアログ ボッ クスが表示されます。

4. フローティング ラ イセンス サーバーが使用するポート を指定します。

Vivado License Manager には、 ライセンス サーバーが使用しているポート を検出する機能はあ り ません。 Vivado License Manager にはデフォルトのザイ リ ンクス ライセンス サーバーのポートが表示されますが、 ユーザーのサーバーが別のポート を使用している場合は、 指定する必要があ り ます。 間違ったポート を指定する と、 ロー

X-Ref Target - Figure 5-7

図 5‐7 :借用期間の設定

Page 53: Vivado Design Suite - Xilinx...Vivado Design Suite 2016.3 リリース ノート 2 UG973 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com 改訂履歴 次の表に、この文書の改訂履歴を示します。

Vivado Design Suite 2016.1 リ リース ノート 51UG973 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com

第 5 章 : ライセンスの取得および管理

カル ク ライアン ト マシンのライセンスがディ スエーブルになり ますが、 元の借用期間が切れるまでは、 シートをほかのユーザーが使用できるよ うにはなり ません。

5. [Restore] ボタンをク リ ッ クする と、 ラ イセンスがク ライアン ト マシンで非アクティブ状態になり、 サーバーのライセンス カウン トが 1 つ増えます。

ライセンスの返却

マシンからザイ リ ンクスへ証明書ベースのライセンスを返却する方法については、 「ラ イセンスの変更」 を参照してください。 アクティベーシ ョ ン ベース ラ イセンスは、 Vivado License Manager (ノード ロ ッ ク ) または xlicsrvmrgr コマンド ライン ユーティ リ ティ (フローティング) のいずれかを使用してザイ リ ンクスに返却できます。

ノード  ロック  (クライアン ト ) ライセンスの返却

1. Vivado License Manager を開きます。

2. 左のウ ィンド ウ フレームで [Manage License] の下の [Return License to Xilinx] をク リ ッ ク します。

3. 画面のメ イン エリ アに、 信頼ス ト レージ エリアに現在含まれる ノード ロ ッ ク ライセンスのリ ス トが表示されます。

[Disabled] 列に [No] と表示されている場合は、 ライセンスがアクティブで、 返却可能であるこ とを意味します。[Disabled] 列に [Yes] と表示されている場合は、 返却が既にリ クエス ト されていますが、 完了していない (アカウン トが認識されていない) こ とを意味します。

4. 返却するライセンスをク リ ッ ク して、 メ イン エリ アの一番下の [Details] セクシ ョ ンを確認して、 ライセンスの内容を確認します。

5. [Return] をク リ ッ ク します。

X-Ref Target - Figure 5-8

図 5‐8 :返却ポートの設定

Page 54: Vivado Design Suite - Xilinx...Vivado Design Suite 2016.3 リリース ノート 2 UG973 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com 改訂履歴 次の表に、この文書の改訂履歴を示します。

Vivado Design Suite 2016.1 リ リース ノート 52UG973 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com

第 5 章 : ライセンスの取得および管理

6. 確認メ ッセージが表示されます (図 5-9)。

アクティベーシ ョ ン ラ イセンスを返却する場合は、 Vivado License Manager からザイ リ ンクス ライセンス管理ウェブサイ トに連絡されて、 権限がアカウン トに戻されるよ り も前に、 信頼ス ト レージ エリ アでまずディ スエーブルにマーク されて、 ユーザー マシンで使用できないよ うにな り ます。 これはやり直すこ とができないので、 実行前にインターネッ トへの接続があるかど うかを確認しておいてください。

7. Vivado License Manager からはザイ リ ンクス ライセンス管理ウェブサイ トに連絡され、 ユーザー アカウン トにライセンスが自動的に戻されます。

フローテ ィ ング (サーバー ) ライセンスの返却

フローティング ライセンス サーバーをザイ リ ンクスに返却するには、 xlicsrvrmgr ユーティ リ ティ を使用する必要があ り ます。

1. 返却リ クエス ト を作成します。

xlicsrvrmgr –cr <return request.xml> -r <fulfillment ID>

–cr (create request) オプシ ョ ンと –r (return request) オプシ ョ ンの両方を使用します。 フルフ ィルメン ト ID は、xlicsrvrmgr –v “format=long” コマンドを実行する と取得できます。

2. ザイ リ ンクスに返却リ クエス ト を送信します。

X-Ref Target - Figure 5-9

図 5‐9 :ザイリンクスへのライセンスの返却

Page 55: Vivado Design Suite - Xilinx...Vivado Design Suite 2016.3 リリース ノート 2 UG973 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com 改訂履歴 次の表に、この文書の改訂履歴を示します。

Vivado Design Suite 2016.1 リ リース ノート 53UG973 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com

第 5 章 : ライセンスの取得および管理

xlicsrvrmgr –returnTransaction “request=<return request.xml>” “response=<response filename.xml>” “proxy=<proxy:port>”

このコマンドによ り、 返却リ クエス トの XML ファ イルがザイ リ ンクスへ送信され、 返却がされて、 アカウン トに返却されたシートが戻り、 返信用の XML が生成されます。 これは手順 3 で使用します。

3. 返信された XML ファ イルを次のよ うに処理する と、 ディアクティベート されたライセンスがローカル サーバーの信頼ス ト レージから削除されます。

xlicsrvmgr –p <response filename.xml>

証明書ベース ライセンスの生成/インストール

証明書ベースのライセンスの場合は、 ライセンスをロ ッ クする Flexera ホス ト ID (イーサネッ ト MAC ID、 ド ラ イブ シ リアル番号またはドングル ID) がわかっている限り、 ザイ リ ンクス ユーティ リ ティの 1 つからザイ リ ンクス ライセンス管理サイ トにアクセスする必要はなく、 直接 http://japan.xilinx.com/getlicense にアクセスできます。 ログインしてアカウン ト を選択したら、 「製品選択」 に示すよ うに製品を選択できます。

[Create New Licenses] タブで 1 つまたは複数のライセンスを選択し、 生成するライセンス ファ イル (ク ライアン ト /ノード ロ ッ クまたはサーバー /フローティング) に対して [Generate License] をク リ ッ ク します。

次に示す手順では、 フローティングの証明書ベースのライセンスを生成します。 このプロセスには、 その他すべての証明書ベースのライセンス生成フローが含まれます。

Page 56: Vivado Design Suite - Xilinx...Vivado Design Suite 2016.3 リリース ノート 2 UG973 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com 改訂履歴 次の表に、この文書の改訂履歴を示します。

Vivado Design Suite 2016.1 リ リース ノート 54UG973 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com

第 5 章 : ライセンスの取得および管理

証明書ベースのノード  ロック  ライセンス

ライセンス ファイルを生成する と、 [email protected] から メールが届きます。

1. このメールに添付されたライセンス ファ イル (.lic) をローカルの一時ディ レク ト リに保存します。

2. Vivado License Manager を実行します。

° Windows 7 または Windows 10 : [スタート ] → [すべてのプログラム] → [Xilinx Design Tools] → [Vivado 2016.3] → [Manage Xilinx Licenses] をク リ ッ ク します。

° Windows 8.1 : スタート画面からすべてのアプリ を リ ス ト して、 [Manage Xilinx Licenses] アプリ を実行します。

° Linux : コマンド ライン シェルに vlm と入力します。

3. Vivado License Manager の左側の [Getting a License] を展開し、 [Load License] をク リ ッ ク します。

X-Ref Target - Figure 5-10

図 5‐10 :証明書ベースのフローテ ィング ライセンスの生成

Page 57: Vivado Design Suite - Xilinx...Vivado Design Suite 2016.3 リリース ノート 2 UG973 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com 改訂履歴 次の表に、この文書の改訂履歴を示します。

Vivado Design Suite 2016.1 リ リース ノート 55UG973 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com

第 5 章 : ライセンスの取得および管理

4. 証明書ライセンス ファ イルを受け取った場合は、 [Load License] 画面の [Copy License] ボタンをク リ ッ ク します。

5. 保存したライセンス ファ イル (Xilinx.lic) を参照ボタンで選択し、 [開く ] をク リ ッ ク します。

6. これでライセンス ファ イルが <ホーム ド ラ イブ (通常は C)>:\.Xilinx (Windows) または <Home>/.Xilinx ディ レク トリにコピーされ、 ザイ リ ンクス ツールから自動的に認識されるよ うにな り ます。

証明書ベースのフローテ ィング ライセンス

1. 各製品ライセンスに必要なシート数を選択します。

これは、 フローティング ラ イセンスの場合にのみ選択します。 すべてのノード ロ ッ ク ラ イセンスは、 1 シートのみずつになり ます。 製品権限に対して使用可能なシート数は、 システムで自動的に維持されます。 [Requested Seats] フ ィールドはデフォルトでは 0 になっていますが、 こ こには製品権限で残っているシート数までどの数値でも入力できます。 すべてのシートが生成される と、 製品が製品権限の表から削除されます。

2. システム情報を入力します。

フローティングの証明書ベースのライセンスの場合、 初のフ ィールドは [Redundancy] で、 [Triple Redundant] サーバー コンフ ィギュレーシ ョ ン (別名、 ト ラ イアド ) を選択する と、 ライセンス マネージャー ソフ ト ウェアに対するフェイル オーバーが実行されるので、 3 つのサーバーのうち 2 つが実行されている限り、 ライセンス マネージャーは実行され続けます。 これは、 ノード ロ ッ ク ライセンスの場合は関係あ り ません。

システム情報は、 Vivado License Manager 内のリ ンクから製品ライセンス サイ トにアクセスした場合、 [Host ID] ド ロ ップダウン リ ス トに自動的に表示されます。 システム情報が入力されていない場合や別のホス ト を追加する場合は [Add a host] を選択し、 ホス ト を追加します。

ホス ト ID とは、 ソフ ト ウェアまたは IP のライセンスが与えられたマシンを識別する値で、 ホス ト ID タイプには、 MAC アドレス、 ハード ド ラ イブのシ リ アル番号、 ドングル ID などを選択できます。

アクティベーシ ョ ン ベースのライセンスの場合、 すべての必要なシステム情報が Vivado License Manager またはコマンド ライン ツールからウェブ ブラウザーの URL を使用して渡されます。 ク ライアン ト またはサーバー ベースのアクティベーシ ョ ン ラ イセンスのいずれかの場合は、 ホス ト情報を手動で入力する必要はあ り ません。

注記 :すべてのホス ト ID タイプがどのオペレーティング システムでもサポート されるわけではあ り ません。 ホス ト ID を取得するには、 ライセンス ホス ト となるマシンで Vivado License Manager を実行するのが一番簡単な方法です。

3. コ メン ト を追加します。

コ メン ト を追加する と、 管理者がデザイン ツールや IP のライセンスをユーザー間でどのよ うに分けたかなどの記録を残すこ とができます。

4. [Next] をク リ ッ ク します。

X-Ref Target - Figure 5-11

図 5‐11 : [Add a host] 画面

Page 58: Vivado Design Suite - Xilinx...Vivado Design Suite 2016.3 リリース ノート 2 UG973 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com 改訂履歴 次の表に、この文書の改訂履歴を示します。

Vivado Design Suite 2016.1 リ リース ノート 56UG973 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com

第 5 章 : ライセンスの取得および管理

次のよ うなライセンス リ クエス ト を確認するフォームが表示されます。

5. 選択を確認します。

6. 問題がなければ、 [Next] をク リ ッ ク します。

エンドユーザー使用許諾契約

ザイ リ ンクス デザイン ツールと無償 IP のエンド ユーザー使用許諾契約 (EULA) は、 製品のインス トール プロセス中に許諾されます。 この使用許諾契約のコピーは、 <install directory>/.xinstall/Vivado_2016.3/data/ unified_xilinx_eulas.txt にあ り ます。

IP 製品のライセンスを精製する場合は、 ライセンス ファ イルを生成する前に関連する IP 製品の EULA を許諾する必要があ り ます。

サードパーティ  ライセンス

サードパーティ ライセンスのコピーは、<install_directory>/.xinstall/Vivado_2016.3/data/unified_3rd_party_eula.txt にあ り ます。

X-Ref Target - Figure 5-12

図 5‐12 : ライセンス リクエストの確認

Page 59: Vivado Design Suite - Xilinx...Vivado Design Suite 2016.3 リリース ノート 2 UG973 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com 改訂履歴 次の表に、この文書の改訂履歴を示します。

Vivado Design Suite 2016.1 リ リース ノート 57UG973 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com

第 5 章 : ライセンスの取得および管理

ライセンス生成の確認

ライセンス生成プロセスが終了したら、 次のよ うな確認メ ッセージが表示されます。

ライセンス生成を確認する電子メールも送信されます。 このメ ッセージには、 生成したライセンス ファ イルが添付されます。 アドレス ブッ クに [email protected] を信頼する送信者と して追加しておいてください。

電子メールでライセンスが受け取れなかった場合は、 ザイ リ ンクス ラ イセンス サイ トから直接ダウンロード して ください。 詳細は、 「ザイ リ ンクス製品ライセンス サイ トでのライセンス管理」 を参照して ください。

証明書ベースのフローテ ィング ライセンスの指定

既存の FLEXnet ライセンス サーバーに証明書ベースのライセンスを使用する場合は、[email protected] から送付されたライセンス ファ イルの内容を FLEXnet サーバーの既存のライセンス ファ イルにコピーします。

既存の FLEXnet ライセンス サーバーにアクティベーシ ョ ン ベースのライセンスを使用する場合は、 xlicsrvrmgr -p <responseFIleName> コマンドを使用して、 信頼ス ト レージにライセンスを読み込みます。

注記 : フローティング ライセンス サーバーを再起動して、 新しいザイ リ ンクス ライセンスを有効にします。

新しいライセンス サーバーの場合

1. ザイ リ ンクス ダウンロード センター (http://japan.xilinx.com/download/index.htm) からサーバーの OS に 適なザイリ ンクス FLEXnet ライセンス ユーティ リ ティ をダウンロード します。

2. これらのユーティ リ ティ を保存したディ レク ト リで解凍します。 このディ レク ト リは、 アプリ ケーシ ョ ンの検索パスに置く こ とをお勧めします。

3. FLEXnet ユーティ リ ティ をインス トールしたら、 次のコマンドを実行して、 フローティング ラ イセンス サーバーを起動します。

° Linux

- <Server Tool directory>/lnx64.o/lmgrd.sh -c <path_to_license>/<license file>.lic -l <path_to_license>/<log filename>1.log

X-Ref Target - Figure 5-13

図 5‐13 : ライセンス生成の確認

Page 60: Vivado Design Suite - Xilinx...Vivado Design Suite 2016.3 リリース ノート 2 UG973 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com 改訂履歴 次の表に、この文書の改訂履歴を示します。

Vivado Design Suite 2016.1 リ リース ノート 58UG973 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com

第 5 章 : ライセンスの取得および管理

° Windows

- <Server Tool directory>\win64.o\lmgrd -c <path_to_license>\<license filename>.lic –l <path_to_license>\<log filename>.log

クライアン ト  マシンからのフローテ ィング ライセンスの指定

1. Vivado License Manager (VLM) を実行します。

2. [Manage Xilinx Licenses] タブをク リ ッ ク します。

3. port@server の形式でライセンス サーバーへのネッ ト ワーク パスを XILINXD_LICENSE_FILE フ ィールドに入力します。

4. [Set] ボタンをク リ ッ ク します。 デフォルトのザイ リ ンクス ポート番号は 2100 です。

Linux OS の場合、 Vivado License Manager (VLM) を使用してライセンス環境変数を設定できません。 環境変数フ ィールドは読み出し専用なので、 淡色表示になり、 [Set] ボタンも表示されません。 環境変数は、 適切な OS シェルおよびコマンドを使用して設定する必要があ り ます。

Page 61: Vivado Design Suite - Xilinx...Vivado Design Suite 2016.3 リリース ノート 2 UG973 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com 改訂履歴 次の表に、この文書の改訂履歴を示します。

Vivado Design Suite 2016.1 リ リース ノート 59UG973 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com

第 5 章 : ライセンスの取得および管理

ユーザー マシンでのライセンスの管理

Vivado License Manager

Vivado® License Manager (VLM) は、 ノード ロ ッ ク (ク ラ イアン ト ) アクティベーシ ョ ン ライセンスおよび証明書ベース ライセンス用のツールです。 アクティベーシ ョ ン ベース ラ イセンスを使用したライセンス サーバーの場合は、「xlicsrvrmgr コマンド ライン ユーティ リ ティ」 セクシ ョ ンを参照してください。 Vivado License Manager は、 Vivado エディシ ョ ンおよび多くのスタンドアロン ツールをインス トールする と インス トールされます。

Vivado License Manager は次の手順で開く こ とができます。

• Linux の場合は、 ザイ リ ンクス環境が読み込まれたコマンド ライン シェルに VLM と入力します。

• Windows 7 または Windows 10 の場合は、 [スタート ] → [Xilinx Design Tools] → [Vivado 2016.3] → [Manage Xilinx licenses] をク リ ッ ク します。

• Windows 8.1 の場合は、 スタート画面からすべてのアプリ を リ ス ト して、 [Manage Xilinx Licenses] アプリ を実行します。 Vivado License Manager は、 Vivado で [Help] → [Obtain A License Key] または [Help] → [Manage License] をク リ ッ ク しても実行できます。

Vivado License Manager が使用される通常のタスクは、 次のとおりです。

X-Ref Target - Figure 5-14

図 5‐14 : Vivado License Manager

Page 62: Vivado Design Suite - Xilinx...Vivado Design Suite 2016.3 リリース ノート 2 UG973 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com 改訂履歴 次の表に、この文書の改訂履歴を示します。

Vivado Design Suite 2016.1 リ リース ノート 60UG973 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com

第 5 章 : ライセンスの取得および管理

• ライセンスの取得 (Obtain License) : 複数のライセンス オプシ ョ ンから選択し、 ザイ リ ンクス製品ライセンス サイ トにアクセスし、 ライセンス生成プロセスを終了します。 アクティベーシ ョ ン ベースの権限のライセンスを生成するには、 Vivado License Manager を使用してザイ リ ンクス製品ライセンス サイ トにアクセスする必要があり ます。 [Obtain a License] 画面でインターネッ ト接続がある場合は [Connect Now] を、 ない場合は [Save Link As] をク リ ッ ク します。 [Save Link As] をク リ ッ クする と、 URL のパラ メーターを使用して Vivado License Manager が通常ザイ リ ンクス製品ライセンス サイ トに渡す情報が、 後で使用できるよ うに HTML ファ イルに保存されます。

• ライセンス ステータスの表示 (Viewing License Status) : どのライセンスがローカル マシンで表示されるかを確認できます。 これはライセンス問題をデバッグする際に便利です。

• ライセンスのローカル マシンへの読み込み (Load License) : 証明書ライセンス .lic) またはアクティベーシ ョ ン フルフ ィルメン ト ファ イル (.xml) を受信したら、 マシンの適切なディ レク ト リに保存します。 詳細な手順については、 該当するライセンス タイプの 「ラ イセンス キーのインス トール」 セクシ ョ ンを参照してください。

• ザイ リ ンクスへのライセンスの返却 (Return License to Xilinx) : ライセンスがローカル マシンに必要なくなったら、 ザイ リ ンクスに返却して、 権限をライセンス アカウン トに戻します。

• ライセンス検索ディ レク ト リの表示および設定 (Windows の場合) (License Search Location) : Vivado では、 複数のデフォルト ディレク ト リから ラ イセンスが検索されます。 ラ イセンスがマシン外やフローティング ライセンス サーバー上にある場合、 ライセンスへのパスを指定する必要があ り ます。

推奨 : ザイ リ ンクス ライセンス ファ イルのディ レク ト リは XILINXD_LICENSE_FILE 環境変数で指定するこ とをお勧めします。 LM_LICENSE_FILE も使用はできますが、 これは主にザイ リ ンクス以外またはレガシー ラ イセンス パスを使用するための変数です。

xlicclientmgr コマンド  ライン  ユーティ リテ ィ

xlicclientmgr は、 アクティベーシ ョ ン ライセンス リ クエス ト作成するか、 ク ライアン ト (ノード ロ ッ ク ) コンピューターの信頼ス ト レージ エリ アを管理するユーティ リ ティです。 サーバー (フローティング) コンピューターの信頼スト レージ ディレク ト リでの作成と保存については、 次の xlicsrvrmgr ユーティ リ ティの詳細を参照してください。

xlicclientmgr には、 グラフ ィカルな Vivado License Manager ユーティ リ ティ と同じ機能が多く提供されていますが、アクティベーシ ョ ン ラ イセンスに限定されます。 xlicclientmgr はザイ リ ンクス ツール インス トールの <Install Directory>\Vivado\2016.3\bin ディ レク ト リに含まれます。

重要な xlicclientmgr コマンド  オプシ ョ ン

• -help all : xlicclientmgr の使用情報が表示されます。

• -v or -v “format=long”: マシンの信頼ス ト レージ ディレク ト リの内容のリ ス ト または詳細リ ス トが表示されます。

• -cr <XML RequestFileName> [-r fulfillmentID] : 信頼ス ト レージにリ クエス ト を作成します。 これは、 ザイ リ ンクスからアクティベーシ ョ ン ラ イセンスを リ クエス トするためのコマンドで、 XML 形式でアクティベーシ ョ ン リ クエス トが作成され、 ザイ リ ンクス製品ライセンス サイ トで使用する情報と一緒に URL が含まれる HTML ファ イルも作成されます。 –r オプシ ョ ンを使用する と、 指定したフルフ ィルメン ト ID を含むライセンスをザイ リ ンクスに返却する リ クエス トが作成されます。

• -p <responseFIleName> : XML ファ イルを信頼ス ト レージに送り ます。 これは、 基本的に Vivado License Manager の [Load License] 画面の [Activate License] ボタンを実行したのと同じコマンドです。

• -returnTransaction "request=<requestFileName>" "response=<responseFileName>" "proxy=<host:port> [<proxy userId> <proxy passwd>]": ローカル マシンからザイ リ ンクス製品ライセンス サイ トへ返却リ クエス トが送信されます。

注記 :返却リ クエス トは、 XML ファ イル形式で既に作成されている必要があ り ます。 この返却リ クエス トは、xlicclientmgr に -cr および -r オプシ ョ ンを使用して既に作成されているはずです。

Page 63: Vivado Design Suite - Xilinx...Vivado Design Suite 2016.3 リリース ノート 2 UG973 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com 改訂履歴 次の表に、この文書の改訂履歴を示します。

Vivado Design Suite 2016.1 リ リース ノート 61UG973 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com

第 5 章 : ライセンスの取得および管理

xlicsrvrmgr コマンド  ライン  ユーティ リテ ィ

xlicsvrmgr はアクティベーシ ョ ン ライセンス リ クエス ト を作成するか、 フローティング ライセンス サーバー コンピューターの信頼ス ト レージ エリ アに作成しするユーティ リ ティです。 アクティベーシ ョ ン ベースの権限に基づいてフローティング ラ イセンスを生成する場合は、 xlicsvrmgr ユーティ リ ティ をまず実行して OMS ウェブサイ トにアクセスする必要があ り ます。 Vivado License Manager では、 ク ライアン ト (ノード ロ ッ ク ) アクティベーシ ョ ンの ト ランザクシ ョ ンのみがサポート されます。 フローティング サーバー ラ イセンスのリ クエス トには、 xlicsrvrmgr を使用する必要があ り ます。

xlicsrvrmgr は、 http://japan.xilinx.com/download/index.htm の License Management Tools フ ィールドからダウンロードできます。 このユーティ リ ティは、 ザイ リ ンクス ツール インス トール ディレク ト リの <Install Directory>\Vivado\2016.3\bin にも含まれます。

xlicsrvrmgr を初めて実行する前の手順

xlicsrvrmgr をフローティング ラ イセンス サーバーで実行するのが初めての場合は、 アクティベーシ ョ ン権限の保存されるコンピューターの信頼ス ト レージ エリ アがまずインス トールされて、 初期化されているこ とを確認してください。 ライセンス管理ツールのダウンロードには、 OS 別の初期化ユーティ リ ティが含まれます。 ライセンス管理ツールが解凍された <OS><bitwidth>.o ディ レク ト リ (例 : c:\servertools\win64.o\) から、 次のコマンドを実行します。

• Windows : installanchorservice.exe xilinxd Xilinx-Design-Suite-Software

• Linux : install_fnp.sh

重要な xlicsrvrmgr コマンド  オプシ ョ ン

• -help all : xlicsrvrmgr の使用情報が表示されます。

• -v or -v “format=long”: マシンの信頼ス ト レージ ディレク ト リの内容のリ ス ト または詳細リ ス トが表示されます。

• -cr <XML RequestFileName> [-r fulfillmentID] : 信頼ス ト レージにリ クエス ト を作成します。

これは、 ザイ リ ンクスからアクティベーシ ョ ン ラ イセンスを リ クエス トするためのコマンドで、 XML 形式でアクティベーシ ョ ン リ クエス トが作成され、 ザイ リ ンクス製品ライセンス サイ トで使用する情報と一緒に URL が含まれる HTML ファ イルも作成されます。 –r オプシ ョ ンを使用する と、指定したフルフ ィルメン ト ID を含むライセンスをザイ リ ンクスに返却する リ クエス トが作成されます。

注記 : フローティング ライセンス サーバー用のアクティベーシ ョ ン ライセンスを新し く作成する場合は、 この -cr オプシ ョ ンを実行して、 結果の HTML ファ イルの URL を使用してザイ リ ンクス製品ライセンス サイ トにアクセスする必要があ り ます。 製品ライセンス サイ トに直接アクセスするか、 リ ンクをク リ ッ ク してアクセスする場合は、 ウェブサイ トの [Activation] セクシ ョ ンが非アクティブになり ます。

• -p <responseFIleName> : XML ファ イルを信頼ス ト レージに送り ます。

• -returnTransaction "request=<requestFileName>" "response=<responseFileName>" "proxy=<host:port> [<proxy userId> <proxy passwd>]": ローカル マシンからザイ リ ンクス製品ライセンス サイ トへ返却リ クエス トが送信されます。

注記 :返却リ クエス トは、 XML ファ イル形式で既に作成されている必要があ り ます。 この返却リ クエス トは、xlicclientmgr に -cr および -r オプシ ョ ンを使用して既に作成されているはずです。

ザイリンクス製品ライセンス サイ トの使用

ザイ リ ンクス製品ライセンス (Product Licensing) サイ トでは、 証明書ベースとアクティベーシ ョ ン ベースの両方のライセンスが生成できます。 このサイ トでは、 証明書ベースのライセンスが変更できるほか、 ライセンス発注に関する情報も含まれます。 前述のよ うに、 アクティベーシ ョ ン ラ イセンスの作成には、 Vivado License Manager からザイ リ

Page 64: Vivado Design Suite - Xilinx...Vivado Design Suite 2016.3 リリース ノート 2 UG973 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com 改訂履歴 次の表に、この文書の改訂履歴を示します。

Vivado Design Suite 2016.1 リ リース ノート 62UG973 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com

第 5 章 : ライセンスの取得および管理

ンクス製品ライセンス サイ トにアクセスするか、 適切なコマンド ライン ユーティ リ ティ を使用する必要があ り ます。

製品ライセンス サイ トには、 生成するライセンスの種類によってさまざまな方法でアクセスできます。

• 証明書ベースのライセンスを使用する製品を購入した場合は、 注文確認メールに含まれる リ ンクをク リ ッ ク して ください。 この リ ンクをク リ ッ クする と、 購入した製品の権利情報を含むアカウン トに直接アクセスできます。

• アクティベーシ ョ ン ベースのライセンスを使用する製品を購入した場合は、 Vivado License Manager か xlicclientmgr または xlicsrvrmgr を使用して、 ライセンス リ クエス ト を生成します。 ライセンス リ クエス ト情報は Vivado License Manager またはコマンド ラ イン ツールから URL を介して送信され、 製品権限を含むアカウントへアクセスできるよ うになり ます。

• Vivado® Design Suite 製品を評価するには、http://japan.xilinx.com/products/design_tools/vivado/vivado/vivado-webpack.htm にアクセスしてください。

• IP 製品を評価する場合は、 http://japan.xilinx.com/ipcenter の該当する IP 製品ページの [評価] リ ンクをク リ ッ ク します。

• 製品ライセンス サイ トには、 http://japan.xilinx.com/getlicense から直接アクセスできます。 この方法でサイ トにアクセスする場合は、 アクティベーシ ョ ン ベースのライセンスは作成できませんが、 証明書ベースのライセンスは作成でき、 ライセンスのアカウン ト管理の機能も実行できます。

ザイ リ ンクス製品ライセンス サイ トにアクセスするには、 まず登録するか、 登録情報を入力する必要があ り ます。

X-Ref Target - Figure 5-15

図 5‐15 :ザイリンクス製品ライセンス サイ ト  ‐ サインイン  ページ

Page 65: Vivado Design Suite - Xilinx...Vivado Design Suite 2016.3 リリース ノート 2 UG973 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com 改訂履歴 次の表に、この文書の改訂履歴を示します。

Vivado Design Suite 2016.1 リ リース ノート 63UG973 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com

第 5 章 : ライセンスの取得および管理

5. まず、 ザイ リ ンクスのサイ トにサインインする必要があ り ます。 既にザイ リ ンクス ユーザー アカウン ト をお持ちの場合は、 ユーザー ID とパスワードを入力して、 登録情報が正しいかど うかを確認します。 アカウン ト をまだ作成していない場合は、 [Create Account] ボタンをク リ ッ ク し、 アカウン ト を作成してください。

製品選択

購入済みまたは評価する製品のライセンスを、 次の手順で生成します。

1. [Account] ド ロ ップダウン リ ス トから製品ライセンス アカウン ト を選択します。

注記 :評価版または無償の製品を使用する場合は、 このド ロ ップダウン リ ス トは表示されません。

2. キッ ト と一緒に購入したソフ ト ウェアまたは IP 製品ライセンスのバウチャー コードがある場合は、 それを入力します (オプシ ョ ン)。

3. 製品権限の表に評価版または無償の IP 製品の権限を追加します (オプシ ョ ン)。

4. 製品権限の表から製品を選択します。

これらの権限は証明書ベースまたはアクティベーシ ョ ン ベースのライセンスの 2 つのカテゴ リに大き く分類されます。 選択可能な製品権限のタイプは、 [Full] (購入済み)、 [No Charge] (無償)、 [Evaluation] (評価版) のいずれかになります。 フル ライセンスおよび無償ライセンスの場合は、 1 年間の有効期限があ り ます。 デザイン ツールの評価版は 30 日、 IP の評価版は 120 日間の有効期限があ り ます。

フローティング/サーバーおよびノード ロ ッ ク /ク ライアン ト ライセンスは、 同じライセンス ファ イルにまとめることはできません。 1 つのライセンス タイプのみを含む権限を選択する と、 [Generate] ボタンをク リ ッ ク したと きに、も う一方のライセンス タイプが選択できなくな り ます。 同様に、 証明書ベースおよびアクティベーシ ョ ン ベースの権限は同時に生成できません。 1 つのライセンス エリアで権限を選択する と、 残りのライセンス生成中にも う一方のライセンス エリ アが非アクティブになり ます。

デザイン ツールの場合、 表の [Available Seats] に購入したライセンスの総数が表示されます。 IP の場合、 ライセンスはサイ トの契約に従って管理されます。

表の [Status] に 「Current」 と表示されている場合は、 その製品が保証期間内にあるこ とを示し、 「Expired」 と表示されている場合は、 その保証期間が終了したこ とを示しています。 [Available Seats] の数がまだある場合は、 「Current」または 「Expired」 の製品のライセンスを生成できます。

Vivado Design Suite: 30-Day System Edition は Xilinx Design Tools ツール セッ トすべての機能にアクセスできる評価版です。 この製品は、 製品ライセンス アカウン トに自動的に含まれます。

デザイン ツールおよび IP 製品ライセンスの製品バウチャーは、 ザイ リ ンクスまたはパートナーの開発ボードまたはデザイン キッ ト と共に送信されます。 製品バウチャー カードを所持している場合は、 カードに記述されたバウチャー コードをテキス ト フ ィールドに入力し、 [Redeem Now] ボタンをク リ ッ ク します。 これで、該当するデザイン ツールまたは IP 製品が表に追加され、 ライセンス キーが生成できるよ うにな り ます。

X-Ref Target - Figure 5-16

図 5‐16 :新規ライセンスの作成

Page 66: Vivado Design Suite - Xilinx...Vivado Design Suite 2016.3 リリース ノート 2 UG973 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com 改訂履歴 次の表に、この文書の改訂履歴を示します。

Vivado Design Suite 2016.1 リ リース ノート 64UG973 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com

第 5 章 : ライセンスの取得および管理

ページ内の [Add Evaluation and No Charge IP Cores] セクシ ョ ンで [Search Now] ボタンをク リ ッ クする と、 次のよ うなページが表示され、 評価版および無償の IP を検索して製品リ ス トに追加できます。

ザイリンクス製品ライセンス サイ トでのライセンス管理

ザイ リ ンクス製品ライセンス サイ トでは、 生成したライセンスが記録されます。 [Manage Licenses] タブには、 その製品ライセンス アカウン トで生成したライセンスすべてが表示されます。

X-Ref Target - Figure 5-17

図 5‐17 : IP 製品の選択画面

Page 67: Vivado Design Suite - Xilinx...Vivado Design Suite 2016.3 リリース ノート 2 UG973 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com 改訂履歴 次の表に、この文書の改訂履歴を示します。

Vivado Design Suite 2016.1 リ リース ノート 65UG973 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com

第 5 章 : ライセンスの取得および管理

[Manage Licenses] タブからは、 必要に応じて次を実行できます。

既存ライセンスの確認と取得

ユーザーのアカウン トから生成したライセンスに関する情報は、 マスター ビューと詳細ビューに表示されます。 上部の表 (マスター ビュー ) で行をク リ ッ クする と、 そのライセンスの詳細情報が下部の表 (詳細ビュー ) に表示されます。 詳細ビューの表には、 次の情報が含まれます。

• ファ イルで有効にした製品権限のリ ス ト

• ファ イルに関連するコ メン ト

この表からは、 次が実行できます。

• ダウンロード : ラ イセンスまたはアクティベーシ ョ ン フルフ ィルメン ト ファ イルが電子メールで届かなかった場合は、 こ こからダウンロード して ください。

• 電子メール : ラ イセンスまたはアクティベーシ ョ ン フルフ ィルメン ト ファ イルがご本人または別のユーザーに送信されます。

• 表示 : 実際のライセンス ファ イルを表示できます。

• 削除 (証明書ベースのライセンスのみ) : ライセンス ファ イルを削除できます。 ファ イルを削除する と、 権限が [Create New License] タブに表示されるよ うにな り、 別のホス ト ID 用にライセンスを再生成可能になり ます。

• 許諾したエンド ユーザー ライセンス契約を表示 (IP のみ)

ライセンスの変更

アクティベーシ ョ ン ベースのライセンスはザイ リ ンクス製品ライセンス サイ トでは変更できません。 アクティベーシ ョ ン ベースのライセンスを変更するには、 まず Vivado License Manager の [Return License to Xilinx] ページを使用するか、 適切なコマンド ラ インのライセンス マネージャーで返却リ クエス ト を作成します。 アクティベーシ ョ ン ベー

X-Ref Target - Figure 5-18

図 5‐18 : ライセンスの管理

Page 68: Vivado Design Suite - Xilinx...Vivado Design Suite 2016.3 リリース ノート 2 UG973 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com 改訂履歴 次の表に、この文書の改訂履歴を示します。

Vivado Design Suite 2016.1 リ リース ノート 66UG973 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com

第 5 章 : ライセンスの取得および管理

スのライセンスを返却する と、ザイ リ ンクス製品ライセンス サイ トの [Create New Licenses] タブのシート数が返却した分増えます。 これで、 別のマシンに変更する場合や、 同じマシンでシート数を増やしたり、 別の機能やその他の変更を加える場合に、 新しいアクティベーシ ョ ン ベースのライセンスを生成できるよ うにな り ました。

既存の証明書ベースのライセンスを変更するには、 マスター ビューでそのライセンス ファ イルを選択します。 証明書ベースのライセンスは、 次の方法で変更できます。

ライセンス ファイル全体の削除およびアカウン トへの権限の返却

1. [Manage Licenses] タブ (65 ページの図 5-18) で削除するライセンスを選択します。

2. ライセンス ファ イルの詳細の左側にある [Delete] ボタンをク リ ッ ク します。

3. [Accept] ボタンをク リ ッ ク し、 廃棄宣誓書 (Affidavit of Destruction) を受諾します。

注記 : これによ り、 ラ イセンス ファ イル全体からすべてのライセンス シートが削除され、 ユーザー アカウン トに権限が戻されます。

リホスト  : ライセンス ファイルのノード  ロックまたはライセンス サーバー ホスト  ID の変更

1. [Manage Licenses] タブ (図 5-18) でホス ト を変更するライセンスを選択します。

2. [Modify License] ボタンをク リ ッ ク します。 [Modify License] 画面が表示されます。

3. セクシ ョ ン 2 の System Information を確認します。

4. ド ロ ップダウン リ ス トおよびテキス ト ボッ クスをそれぞれ使用し、 ホス ト ID またはホス ト名を変更または追加します。

5. [Next] ボタンを 2 回押し、 [Accept] ボタンを押して廃棄宣誓書を受諾します。

既存のライセンスのシート数の追加

1. [Manage Licenses] タブ (図 5-18) でシート を追加するライセンス ファ イルを選択します。

2. [Modify License] ボタンをク リ ッ ク します。 [Modify License] 画面が表示されます。

3. セクシ ョ ン 1 の Product Selection を確認します。

4. フローティング ラ イセンスの場合、 [Requested Seats] フ ィールドを変更して権限内の 大シート数までシート を追加できます。

5. [Next] を 2 回ク リ ッ ク します。 シート を追加するのに廃棄宣誓書 (Affidavit of Destruction) の受諾は必要あ り ません。

既存の製品ライセンスシート数の削除

1. [Manage Licenses] タブ (図 5-18) でシート を削除するライセンス ファ イルを選択します。

2. [Modify License] ボタンをク リ ッ ク します。 [Modify License] 画面が表示されます。

3. セクシ ョ ン 1 の Product Selection を確認します。

4. フローティング ラ イセンスの場合、 [Requested Seats] フ ィールドを変更して、 このライセンス ファ イルで権利のあるシート数を削減できます。

5. [Next] ボタンを 2 回押し、 [Accept] ボタンを押して廃棄宣誓書を受諾します。

ライセンス キー ファイルへの別の製品ライセンスの追加

1. [Manage Licenses] タブ (図 5-18) で機能/権限を追加するライセンス ファ イルを選択します。

2. [Modify License] ボタンをク リ ッ ク します。 [Modify License] 画面が表示されます。

Page 69: Vivado Design Suite - Xilinx...Vivado Design Suite 2016.3 リリース ノート 2 UG973 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com 改訂履歴 次の表に、この文書の改訂履歴を示します。

Vivado Design Suite 2016.1 リ リース ノート 67UG973 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com

第 5 章 : ライセンスの取得および管理

3. セクシ ョ ン 1 の Product Selection を確認します。

4. ライセンス ファ イルに追加する新しい権限ののチェッ ク ボッ クスをオンにします。

5. [Next] を 2 回ク リ ッ ク します。 機能を追加するのに廃棄宣誓書 (Affidavit of Destruction) の受諾は必要あ り ません。

ライセンス キー ファイルからの製品ライセンスの削除

1. [Manage Licenses] タブ (図 5-18) で機能/権限を削除するライセンス ファ イルを選択します。

2. [Modify License] ボタンをク リ ッ ク します。 [Modify License] 画面が表示されます。

3. セクシ ョ ン 1 の Product Selection を確認します。

4. ライセンス ファ イルから削除する権限ののチェッ ク ボッ クスをオンにします。

5. [Next] ボタンを 2 回押し、 [Accept] ボタンを押して廃棄宣誓書を受諾します。

変更中にホス トの変更数を超えたこ とを示すメ ッセージが表示される場合は、 [email protected] まで電子メール (英語) で追加のホス ト変更 (rehost) オプシ ョ ンを請求してください。

削除したライセンス コンポーネン トの再請求

製品ライセンスは、 次のいずれかが実行される と削除されます。

• ライセンス サーバー ホス トの変更

• 既存の製品ライセンスシート数の削除

• ライセンス キー ファ イルから製品ライセンスの削除

シート を削除したり、 証明書ベースのライセンス ファ イルから製品を削除した場合、 その使用権限が元に戻るか、ライセンス アカウン トのシート数が増えます。 既存ライセンス ファ イルから削除したシート数と同じ数分、 ザイ リンクス製品ライセンス サイ トの [Create New Licenses] タブのシート数が増えます。

ライセンスを再発行する前に、 まず廃棄宣誓書を許諾します。 この法的契約は、 無効になった製品ライセンスが使用されていないこ とを確認するために必要です。

発行数は、 ユーザーごとに記録されます。 製品ライセンスはメジャー リ リースごとに管理者は 5 回、 エンド ユーザーは 3 回再発行できます。

ライセンス キー ファイルの変更点

製品権限に対してライセンスが生成されるたびに、 FLEXnet でインク リ メン ト行および対応するパッケージ行がライセンス キー ファ イルに追加されます。 ライセンス ファイルが既存の製品権利のシート を有効にしたり追加するために修正される と、 インク リ メン ト またはパッケージ行がライセンス ファ イルに追加されます。

ライセンス ファイルのホス トが変更されたり、 シート数や製品権利が削除される と、 対応するインク リ メン ト行が修正されたライセンス ファ イルからは削除されます。

Page 70: Vivado Design Suite - Xilinx...Vivado Design Suite 2016.3 リリース ノート 2 UG973 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com 改訂履歴 次の表に、この文書の改訂履歴を示します。

Vivado Design Suite 2016.1 リ リース ノート 68UG973 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com

第 5 章 : ライセンスの取得および管理

古いライセンス

リ リース 10.1 以前のバージ ョ ンのラ イセンスが必要な場合は、 [Legacy Licensing] タブをク リ ッ ク します。

各バージ ョ ンで次の手順を実行します。

10.1 以前のバージ ョ ン

1. バージ ョ ンを選択します。 連絡先情報を確認する画面が表示されます。

2. 必要な情報を記述し、 登録 ID を取得します。 登録 ID は画面に表示されるほか、 記録用に電子メールにも送信されます。

3. ザイ リ ンクス ダウンロード センターで左側の [Version] 列の下の [Archive] リ ンクをク リ ッ ク します。

4. ダウンロード中に登録 ID を入力する画面が表示されたら入力して、 ダウンロードを終了します。

ユーザーのライセンス アカウン ト

製品ライセンスのアカウン ト

デザイン ツールまたは IP 製品をザイ リ ンクスから購入する と、 ライセンスを購入したこ とにな り、 その製品のアップデート を使用する権利が 1 年間あり ます。 ザイ リ ンクス デザイン ツールおよび IP 製品を使用するライセンスは、そのライセンスの状況によって異なり ます。 ライセンスは、 次の要件で決ま り ます。

• 購入した製品

• 購入したシート数

• ライセンス タイプ (証明書またはアクティベーシ ョ ン ベース、 フローティングまたはノード ロ ッ ク )

• 製品使用期間 (製品アップデートは 1 年間有効)

このサイ トからは、 購入したデザイン ツールおよび IP の製品権利だけでなく、 無償の製品や評価版 製品などのライセンスにもアクセスできます。 フル ラ イセンスおよび無償ライセンスの場合は、 1 年間の有効期限があ り ます。 デザイン ツールの評価版は 30 日、 IP の評価版は 120 日間の有効期限があ り ます。

製品権限からライセンスを生成する と、 ウェブサイ トでライセンス ファ イルが生成されます。 ライセンスを有効にする と、 ウェブサイ トでライセンス ファ イルが生成されます。 ラ イセンス ファ イルをインス トールする と、 購入または評価中のソフ ト ウェアおよび IP の使用が有効になり ます。 ライセンスやライセンス ファ イルはザイ リ ンクス ウェブサイ トで管理します。

X-Ref Target - Figure 5-19

図 5‐19 :古いライセンス

Page 71: Vivado Design Suite - Xilinx...Vivado Design Suite 2016.3 リリース ノート 2 UG973 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com 改訂履歴 次の表に、この文書の改訂履歴を示します。

Vivado Design Suite 2016.1 リ リース ノート 69UG973 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com

第 5 章 : ライセンスの取得および管理

ライセンス アカウン トは、 ザイ リ ンクス ソフ ト ウェア購入リ ス トに掲載されている各ユーザーに与えられ、 エンド ユーザーまたは管理者のいずれかと して登録されます。 管理者が 1 人の場合は同じライセンス アカウン トですべての購入を管理できます。 企業の場合は、 異なる管理者によって複数のアカウン ト を持つこ とができるので、 社内で予算の異なる別のプロジェク ト を実行している複数のデザイン チームがある場合などに使用する と、 便利です。

注記 : ライセンスは、 期限切れの製品権限に対しても生成はできますが、 使用期間が終わるまでのバージ ョ ンまでしか使用できません。 ライセンスの期限が切れた後に製品アップデート をする と、 次にツールを使用する と きにライセンス エラー メ ッセージが表示されます。

ザイリンクス デザイン  ツールでの LogiCORE IP ライセンスの生成

LogiCORE™ IP および購入済みデザイン ツール ライセンスはすべて、 製品ライセンス サイ トにログインする と リ スト されます。 現時点では、 すべての IP で証明書ベースのライセンスが生成されるよ うになっています。 評価用ライセンスおよび無償 IP のライセンスも同じサイ トから入手できます。すべての証明書ベースのデザイン ツールおよび IP のライセンスが 1 度で生成でき、 1 つのライセンス ファ イルがメールで送信されます。

ユーザー タイプとアクシ ョ ン

製品ライセンス サイ トには、 カスタマー アカウン ト管理者、 エンド ユーザー、 および無償ユーザーの 3 タイプのユーザー権限があ り ます。

カスタマー アカウン ト管理者

カスタマー アカウン ト管理者は、 たとえば CAD ツールの管理者などがなり ます。 各アカウン トに、 必ず 1 人のカスタマー アカウン ト管理者が必要です。 カスタマー アカウン ト管理者は、 複数のアカウン ト を管理できます。

カスタマー アカウン ト管理者には、 次のよ うな権限があ り ます。

• ザイ リ ンクス デザイン ツールおよび IP 製品のノード ロ ッ クまたはフローティング ライセンスの生成

• アカウン トへのユーザーの追加と削除

• ほかのユーザーへの管理者権限の割り当て

カスタマー アカウン ト管理者の情報は、 製品注文の過程で送付先と して指定され、 管理者の電子メール宛に購入した製品のダウンロードおよびライセンス情報などが送付されます。 カスタマー アカウン ト管理者が電子メールのリンクをク リ ッ クするこ とで、 購入した製品へのアクセスができるよ うになり ます。

エンド  ユーザー

製品ライセンス アカウン トにエンド ユーザーを追加する と、 エンジニアやデザイン チーム メ ンバーがそれぞれ柔軟にライセンス キーを管理および生成できるよ うにな り ます。 エンド ユーザーは、 アカウン ト内でノード ロ ッ ク付きライセンス ファイルを生成できるほか、 評価版の入手、 デザイン ツールや IP 製品の無料ライセンス ファ イルも生成できます。 カスタマー アカウン ト管理者は、 エンド ユーザーがフローティング ラ イセンスを生成できるよ うに、 エンド ユーザーのアカウン ト を設定できます。 ただし、 エンド ユーザーには、 次のよ うな制限があ り ます。

• デフォルトの設定のままではフローティング ラ イセンス ファ イルを生成できません。 この権限は、 カスタマ アカウン ト管理者が設定できます。

• ほかのユーザーが生成したライセンス ファ イルは表示されません。

• ほかのユーザーをサイ トから追加または削除できません。

無償ユーザー

無償ユーザーは、 次を実行できます。

• Vivado System Edition の 30 日間無償の評価版ライセンス キーを生成できます。

Page 72: Vivado Design Suite - Xilinx...Vivado Design Suite 2016.3 リリース ノート 2 UG973 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com 改訂履歴 次の表に、この文書の改訂履歴を示します。

Vivado Design Suite 2016.1 リ リース ノート 70UG973 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com

第 5 章 : ライセンスの取得および管理

• Vivado HLS の 30 日間無償の評価版ライセンスを生成できます。

• 評価版および無償の IP 製品のライセンス キーを精製できます。

• ISE および Vivado 両方の WebPACK™ 機能を使用可能にする WebPACK ツール ライセンスを生成できます。

どのタイプのユーザーでも、 製品の電子ダウンロードができます。

注記 :ザイ リ ンクス デザイン ツール製品エディシ ョ ンのフル バージ ョ ンのライセンスを既に持っている場合は、 それ以外のザイ リ ンクス デザイン ツール製品エディシ ョ ンまたは IP を試用できます。 これらのライセンスは同じアカウン トで入手できるよ うになっています。

ザイリンクス ユーザー アカウン ト情報の変更

重要 : ザイ リ ンクス ユーザー アカウン トの社名、 住所、 電子メールなどの情報は、 常に 新の状態にしてください。

会社メール アドレスの変更

1. http://japan.xilinx.com にアクセスします。

2. サイン インします。

3. 会社メール アドレスの [プロフ ィールを更新] リ ンクをク リ ッ ク し、 [個人情報] を展開表示します。

4. 新しい法人電子メール アドレスを [新しい会社 E メール アドレス] に入力します。

5. [プロフ ィールに保存] ボタンを押して変更を保存します。

ツールおよび IP の購入情報

[Order] タブには、 アカウン トの購入情報が表示されます。

Page 73: Vivado Design Suite - Xilinx...Vivado Design Suite 2016.3 リリース ノート 2 UG973 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com 改訂履歴 次の表に、この文書の改訂履歴を示します。

Vivado Design Suite 2016.1 リ リース ノート 71UG973 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com

第 5 章 : ライセンスの取得および管理

• ザイ リ ンクスの注文番号が画面左側に表示されます。

• 特定のオーダーをク リ ッ クする と、 右側にその詳細が表示されます。

• 1 度に選択できるのは、 1 つのみです。

• 電子メールまたはダウンロードで製品を取得した場合でも、 配達住所情報が表示されます。

ユーザー アクセスの管理

製品ライセンス アカウン トの管理責任は、 別のユーザーに移行するこ と もできるほか、 共有するこ と もできます。アカウン トへのユーザーの追加や削除は、 [Manage Users] タブから実行します。

X-Ref Target - Figure 5-20

図 5‐20 : [Orders] タブ

Page 74: Vivado Design Suite - Xilinx...Vivado Design Suite 2016.3 リリース ノート 2 UG973 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com 改訂履歴 次の表に、この文書の改訂履歴を示します。

Vivado Design Suite 2016.1 リ リース ノート 72UG973 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com

第 5 章 : ライセンスの取得および管理

ユーザーの追加

製品ライセンス アカウン トにユーザーを追加するには、 次を実行します。

• 新しいユーザーの会社の電子メール アドレスを入力します。

• 管理者権限を与える場合は、 [Add as a full administrator] チェッ ク ボッ クスをオンにします。 フローティング ライセンスを生成する権限を与え、 管理者権限を与えない場合は、 [Allow Floating Licenses] チェッ ク ボッ クスをオンにします。

注記 :指定する電子メール アドレスは、 ザイ リ ンクス アカウン ト を作成する際にそのユーザーが指定したものと同じである必要があ り ます。 別のアドレスを使用する と、 ログインしたと きにそのユーザーが正し く認識されない可能性があ り ます。

ユーザーが既に製品ライセンス サイ トにアクセスしたこ とのある場合、 名前が自動的にユーザー リ ス トに表示されます。 サイ トにアクセスしたこ とがない場合は、 名前のと ころに [Not Yet Registered] と表示されます。 登録すれば、名前の箇所が埋ま り ます。

アカウン ト管理者が管理者権限のないエンド ユーザーにライセンス ファ イルを管理できるよ うにするこ と もできます。管理者権限のないエンド ユーザー ([Add as full administrator] と [Allow Floating Licenses] チェッ ク ボッ クスの両方をオフにした場合) は、 次の機能が使用できます。

• ノード ロ ッ ク ライセンスのみ生成可能

• 自分自身で生成したライセンス ファ イルのみ表示および修正可能

• ユーザーの管理は不可

[Allow Floating Licenses] のみをオンにした場合は、 フローティング ライセンス ファ イルの生成はできますが、 その他の制限はそのままです。 管理者権限にはフローティング ラ イセンスの生成が既に含まれるため、 両方のチェッ ク ボッ クスをオンにするこ とはできません。

ユーザーの削除

管理者権限またはフローティング ラ イセンス生成権限は、 該当するユーザーの [Adminstrator] または [Floating] チェッ ク ボッ クスをオフにする と、 無効にできます。

ユーザーをアカウン トから削除するには、 該当するユーザーの [Delete] ボタン をク リ ッ ク します。

X-Ref Target - Figure 5-21

図 5‐21 :ユーザーの管理

Page 75: Vivado Design Suite - Xilinx...Vivado Design Suite 2016.3 リリース ノート 2 UG973 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com 改訂履歴 次の表に、この文書の改訂履歴を示します。

Vivado Design Suite 2016.3 リ リース ノート 73UG973 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com

第 6章

以前のバージ ョ ンのリ リース ノート

リ リース ノート  2016.2

新機能

Vivado® Design Suite 2016.2 および 『UltraFast 設計手法ガイ ド (Vivado Design Suite 用)』 (UG949) [参照 1] の新しいバー

ジ ョ ンが入手可能になり ました。

Vivado Design Suite 2016.2 では、Virtex® UltraScale+™ および防衛グレード Kintex® UltraScale™ デバイスがサポート さ

れています。

デバイス サポート

次の UltraScale+ デバイスをサポート :

• Virtex UltraScale+ デバイス : XCVU3P、 XCVU5P、 XCVU7P、 XCVU9P

次の UltraScale デバイスをサポート :

• 防衛グレード Kintex UltraScale FPGA: XQKU040、 XQKU060、 XQKU095、 XQKU115

Vivado Design Edition ツール

消費電力

• UltraScale+ 用 Xilinx Power Estimator (XPE):

° D2104 パッケージを次のパーツとパッケージの組み合わせで サポート : XCVU9P-FSGD2104、

XCVU11P-FSGD2104、 および XCVU13P-FIGD2104。

° Virtex UltraScale+ デバイスの VCCINT 電流チェッ ク : VCCINT 電源電流がパッケージでサポート される 大

値を超えるかど うかをチェッ ク します。 大値を超える場合は、 電流値が赤で表示されます。

• UltraScale 用 Xilinx Power Estimator (XPE) および Vivado 消費電力解析機能 ([Report Power] コマンド ) で次の

Kintex UltraScale 防衛グレード パーツをサポート :

° Kintex UltraScale 防衛グレード パーツ XQKU040、 XQKU060、 および XQKU095 用のミ リ タ リ (-1M) スピー

ド グレード。

° XQKU115 デバイス

Page 76: Vivado Design Suite - Xilinx...Vivado Design Suite 2016.3 リリース ノート 2 UG973 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com 改訂履歴 次の表に、この文書の改訂履歴を示します。

Vivado Design Suite 2016.3 リ リース ノート 74UG973 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com

第 6 章: 以前のバージョ ンのリ リース ノート

IP (Intellectual Property)

• サンプル デザインの GT

° AXI イーサネッ トおよび 10G/25G イーサネッ ト サブシステムをイネーブル

° GT ウ ィザード GUI 内でト ランシーバー設定を管理可能 ( ト ランシーバーを調整するのに も安全な方法)。

° ト ランシーバー設定を安全に編集可能で、 コアまたはト ランシーバーを設定を失わずにアップグレード可能。

° ト ランシーバーがコアの外部にあるので、 ト ランシーバーをほかのコアと共有しやすい。

重要な情報

デバイス サポート

重要: UltraScale+ プロダクシ ョ ン評価パーツをターゲッ ト とするチェッ クポイン ト を開く と、 次の警告メ ッセージが

表示されます。 Production Evaluation speedfiles are provided in advance of production release and are

intended to closely approximate production level performance.Once production speedfiles

become available, the design will need to be rerun with the production speedfiles.

(日本語訳: プロダクシ ョ ン評価スピード ファ イルはプロダクシ ョ ン リ リースに先立って提供されており、 プロダク

シ ョ ン レベル パフォーマンスを近似したものです。 プロダクシ ョ ン スピード ファ イルが提供されるよ うになった

ら、 プロダクシ ョ ン スピード ファ イルを使用してデザインを再実行する必要があ り ます。 )

シミ ュレーシ ョ ン

シミ ュレーシ ョ ンのエクスポート  (export_simulation):

次のオプシ ョ ンが廃止されています。

 

表 6‐1:廃止されたオプシ ョ ン

オプシ ョ ン名 デフォルトの動作 ユーザーの操作 理由

-ip_netlist False なし 高速のシ ミ ュレーシ ョ ンは純粋な RTL での

み可能。 export_simulation は 高速のシ

ミ ュレーシ ョ ン パフォーマンスを得られるス

ク リプ ト を記述するよ う 適化されている。

-language Mixed 混合言語シ ミ ュレータ

が必要

シ ミ ュレーシ ョ ン パフォーマンスを 高速に

するため、 export_simulation では IP RTL

の言語のみを使用。

-single_step • True (Cadence IES)

• False (Cadence IES

以外)

この変更に注意 Cadence IES シ ミ ュレーシ ョ ンは混合言語 RTL

シ ミ ュレーシ ョ ンを処理可能な irun スク リプ ト

を提供。 ほかのシ ミ ュレータでシングル ス

テップを使用する場合はゲート レベルのシ

ミ ュレーシ ョ ン ネッ ト リ ス トが必要。

Page 77: Vivado Design Suite - Xilinx...Vivado Design Suite 2016.3 リリース ノート 2 UG973 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com 改訂履歴 次の表に、この文書の改訂履歴を示します。

Vivado Design Suite 2016.3 リ リース ノート 75UG973 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com

第 6 章: 以前のバージョ ンのリ リース ノート

統合シミ ュレーシ ョ ン  (launch_simulation)

• Vivado 2016.1 から、 スク リプ トのみを生成する機能 ([Generate Scripts Only]) は廃止され、 IDE から削除されてい

ます。

• [Export Simulation] 機能を代わりに使用してください。 この機能を使用する と、 Vivado (IP および IP インテグ

レーター ) からファ イルをエクスポート し、 外部検証環境で使用できます。

Vivado Design Suite 資料のアップデート

Vivado Design Suite 2016.2 では、 ツールのリ リース時にリ リースされない資料があ り ます。 DocNav で [Update

Catalog] ボタンをク リ ッ ク し、 2016.2 の 新の資料を確認して ください。

注記: DocNav は 32 ビッ ト アプリ ケーシ ョ ンであ り、 Linux では 32 ビッ ト ライブラ リ をインス トールしないと機能

しません。

既知の問題

Vivado Design Suite の既知の問題は、 アンサー 66830 を参照してください。

リ リース ノート  2016.1

新機能

Vivado® Design Suite HLx Edition バージ ョ ン 2016.1 では、 UltraScale™ デバイスの QoR (結果の品質) が 10% 以上向上

しており、 UltraScale+™ デバイスで SmartConnect テク ノ ロジが拡張されています。 これらの SmartConnect の拡張に

よ り、 数百万個のロジッ ク セルを使用する高集積デザインにおけるシステム インターコネク トのボ トルネッ クが解

消されます。 その結果、 現在出荷されている UltraScale+ デバイスでは、 公表されている競合 14nm FinFET テク ノ ロ

ジよ り もパフォーマンスが 14% 高く、 1 スピード グレード向上しています。

このパフォーマンスの飛躍を可能にするザイ リ ンクス SmartConnect テク ノ ロジの拡張点は、 次のとおりです。

• UltraScale+ の新しい詳細な 下位クロ ッ ク遅延挿入機能によ り、 時間の借用および有益なスキュー 適化手法

を有効化。 この機能は、 クロ ッ クのデスティネーシ ョ ン エッジを移動し、 使用可能なタイ ミ ング スラ ッ クをパ

フォーマンス ク リ ティカル パスにシフ トするこ とによ り、 ク ロ ッ ク周波数を向上します。

• パイプライン解析およびリ タイ ミ ングによ り、 デザインにパイプライン段を追加して自動レジスタ リ タ イ ミ ン

グ 適化を適用し、 パフォーマンス (特にクロ ッ ク周波数) をさ らに向上

• AXI ベースの IP およびペリ フェラル用の新しいシステム接続である AXI SmartConnect IP を導入。 この IP は、

IP 統合中にカスタム接続ネッ ト ワークを合成し、 ク ロスバー インターコネク ト よ り も小さいエリ ア フッ トプ リ

ン トで広いシステム帯域幅を達成できます。 AXI SmartConnect IP は、 Vivado Design Suite 2016.1 の Vivado IP イ

ンテグレーターでアーリー アクセスで使用可能です。

Page 78: Vivado Design Suite - Xilinx...Vivado Design Suite 2016.3 リリース ノート 2 UG973 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com 改訂履歴 次の表に、この文書の改訂履歴を示します。

Vivado Design Suite 2016.3 リ リース ノート 76UG973 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com

第 6 章: 以前のバージョ ンのリ リース ノート

Vivado WebPACK をライセンス ファイルなしで使用可能

これまでは、 Vivado WebPACK を使用するのに無償の FLEX ライセンスを入手する必要があ り ました。 2016.1 から

は、 WebPACK デバイスをターゲッ ト とする場合はライセンス ファ イルは必要あ り ません。 2016.1 では WebPACK に

Vivado デバッグ IP も含まれており、 これにも FLEX ライセンス ファ イルは不要です。

デバイス サポート

この リ リースでは、 次のデバイスが新たにサポート されています。

ライセンス

2016.1 リ リースから、 Vivado の契約期間を更新する と、 アクティベーシ ョ ン ベースのライセンスではなく、 証明書

ベースのライセンス ファ イル (.lic) が送付されます。 アクティベーシ ョ ン ベースのライセンスを希望される場合

は、 ザイ リ ンクスの開発システム カスタマー サービスまでご連絡ください。

言語テンプレート

この リ リースでは、 Vivado 言語テンプレートが拡張されています。 プロジェク ト を開かなくても、 Getting Started

ページからテンプレートにアクセスできます。

UltraFast 設計手法チェ ックのレポート

この リ リースでは、 UltraFast 設計手法チェッ クを実行するコマンド ([Report Methodology]) が追加されています。 エ

ラボレート済みデザイン、 合成済みデザイン、 インプリ メン ト済みデザインで設計手法チェッ クを実行できます。

この新しいコマンドは、 [Report DRC] コマンドの設計手法ルールデッ クに置き換わるものです。

表 6‐2: Vivado 2016.1 のデバイス サポート

製品レベル Vivado 2016.1 のデバイス サポート

新規デバイス

次の UltraScale+ デバイスをサポート :

• Zynq® UltraScale+ MPSoC デバイス :

° XCZU9EG、 XCZU2EG、 XCZU3EG、 XCZU6EG、 XCZU15EG

• Kintex® UltraScale+ デバイス :

° XCKU9P、 XCKU13P

パブリ ック  アクセス

次のデバイスをプロダクシ ョ ンでサポート

• Kintex UltraScale デバイス (-1L のみ):

° XCKU085、 XCKU115

• Virtex UltraScale デバイス (-3 のみ):

° XCVU065、 XCVU125、 XCVU440

ビッ トス ト リームの生成 • すべての UltraScale デバイスでビッ ト ス ト リーム生成をイネーブル。

Page 79: Vivado Design Suite - Xilinx...Vivado Design Suite 2016.3 リリース ノート 2 UG973 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com 改訂履歴 次の表に、この文書の改訂履歴を示します。

Vivado Design Suite 2016.3 リ リース ノート 77UG973 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com

第 6 章: 以前のバージョ ンのリ リース ノート

Vivado クイック  ヘルプ

• ツールから直接ヘルプにアクセス :

° ド ッキング可能なウ ィンド ウ

° ダイアログ ボッ クス

° ウ ィザード

• DocNav およびオンライン ビデオにリ ンク。

• 各リ リースで新しい内容を追加。

Vivado HL Design Edition ツール

Vivado HLS

• ISE でのみ使用可能な以前のデバイス アーキテクチャは、 このバージ ョ ンの Vivado HLS ではターゲッ トにでき

ません。 これらのデバイスを使用するには、 以前のバージ ョ ンの Vivado HLS が必要です。

• タイ ミ ング見積も り を向上するため調整。

• C/RTL 協調シ ミ ュレーシ ョ ン後に起動する Vivado 波形ビューアーで、 波形をデザイン入力および出力、 ブロッ

ク レベル I/O でグループ化。

• 新しいメモ リ ジェネレーターを活用するため新しいリ ソース コア オプシ ョ ン XPM_MEMORY を追加。 これに

よ り、 UltraScale+ アーキテクチャに含まれる UltraRAM をターゲッ トにするこ とが可能です。

RTL 合成

• グローバル リ タ イ ミ ング (レジスタ調整) によ りデザイン パフォーマンスを向上。

• VHDL-2008 をデフォルトでイネーブル (ソース ファ イルの言語オプシ ョ ンでタイプを設定するのみ)。

• グローバル合成をアサートするオプシ ョ ンによ り、 エラボレーシ ョ ンで VHDL スタティ ッ ク チェッ クを算出。

• グローバル シフ ト レジスタ LUT (SRL) セル抽出オプシ ョ ンによ り、 このセルの推論をオフに設定可能。 LUT

RAM の使用率が高いデザインでリ ソースを解放するのに有益で、 パフォーマンスを向上できる場合があり ます。

• 推論された RAM のカスケード されるブロッ ク数を制御する合成オプシ ョ ン (UltraScale および UltraScale+ アー

キテクチャのブロ ッ ク RAM および UltraRAM ブロッ クに適用可能)。

• XDC ファ イルを変更せずに、 タイ ミ ングを考慮せずに合成を実行する非タイ ミ ング ド リブン グローバル オプ

シ ョ ンを追加。 Vivado RTL 合成でベースラインのエリアおよびパフォーマンスを取得するのに有益です。

パーシャル リコンフ ィギュレーシ ョ ン

• UltraScale デバイスをすべてサポート。 Kintex UltraScale KU025 のサポートが追加され、 Virtex UltraScale VU440

のサポート も要望に応じて追加できます。

• 『Vivado Design Suite チュート リ アル: パーシャル リ コンフ ィギュレーシ ョ ン』 (UG947) [参照 7] に次の 2 つの演

習を追加

1. KCU105 をターゲッ ト とする UltraScale ベース チュート リ アル デザイン。

2. KC705 をターゲッ ト とするデザインでの Partial Reconfiguration Controller IP の使用を示すサンプル デザイン。

Page 80: Vivado Design Suite - Xilinx...Vivado Design Suite 2016.3 リリース ノート 2 UG973 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com 改訂履歴 次の表に、この文書の改訂履歴を示します。

Vivado Design Suite 2016.3 リ リース ノート 78UG973 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com

第 6 章: 以前のバージョ ンのリ リース ノート

• リ コンフ ィギャラブル モジュールのチェッ クポイン トでインターフェイス配線をキャプチャ。 これによ り、 異

なる リ コンフ ィギャラブル パーティシ ョ ンからのモジュールを組み合わせて任意のフル コンフ ィギュレーシ ョ

ンを作成するこ とが可能となり、 route_design を使用してこれらを統合する必要はあ り ません。

• 7 シ リーズおよび Zynq デザインのパーシャル ビッ ト ス ト リームに、 スタティ ッ ク グ リ ッチが発生しないよ うに

するブランキング コマンドを自動的に挿入。

• Partial Reconfiguration Decoupler IP をプロダクシ ョ ン ステータスに変更。

• 詳細は、 『Vivado Design Suite ユーザー ガイ ド : パーシャル リ コンフ ィギュレーシ ョ ン』 (UG909) [参照 6] のこの

セクシ ョ ンを参照してください。

Tandem コンフ ィギュレーシ ョ ン

• UltraScale デバイスをすべてサポート (KU025 および VU440 を追加)。

• すべての UltraScale デバイスで Tamdem コンフ ィギュレーシ ョ ンをフ ィールド アップデートする [Tandem with

Field Updates] 機能を完全にサポート。 このソ リ ューシ ョ ンでは、 Tandem PROM または Tandem PCIe の 2 段階高

速コンフ ィギュレーシ ョ ンと、 パーシャル リ コンフ ィギュレーシ ョ ンを使用してユーザー アプリ ケーシ ョ ン全

体をアップデートする機能が組み合わされています。

° IP サンプル デザインで定義済みデザイン構造、 フロアプラン、 コンパイル スク リプ ト を提供。

° リ コンフ ィギュレーシ ョ ン可能なアップデート領域内でのデバッグを可能にする Debug Bridge IP を追加。

この リ リースでは、 この IP はフ ィールド アップデート使用ケースでのみサポート されます。

° Tandem コンフ ィギュレーシ ョ ンおよびパーシャル リ コンフ ィギュレーシ ョ ンは通常同じ UltraScale デザイ

ンでサポート され、 フ ィールド アップデート使用ケース以外のすべてに PR ライセンスが必要です。

• フ ィールド アップデート を含む Tandem コンフ ィギュレーシ ョ ンのすべてのバリエーシ ョ ンおよび PCIe を介す

るパーシャル リ コンフ ィギュレーシ ョ ンを AXI Bridge for PCI Express IP および DMA Subsystem for PCI Express

IP に追加。

• Tandem コンフ ィギュレーシ ョ ンの詳細は、 『UltraScale Architecture Gen3 Integrated Block for PCI Express 製品ガイ

ド』 (PG156: 英語版、 日本語版) を参照してください。

Vivado 物理インプリ メンテーシ ョ ン

• 配置および配線アルゴ リズムの Fmax が平均 10 ~ 11% 向上、 次の面で顕著に改善:

° SSI デバイスの配置 (特に次の点):

- 配線の密集を削減。

- ロジッ クを SLR に分割し、 SLR をまたぐ状況を 小限に抑え、 SLR をまたぐク リティカル パスを回避。

- SLR 内および複数の SLR を駆動するク リ ティカル パス ロジッ クを複製。

° 高速に長距離を移動するためパイプライン レジスタの間隔のバランス調整。

° ファブ リ ッ ク ロジッ ク リ ソースで駆動されるグローバル ク ロ ッ ク バッファーを 適に配置するアルゴ リ

ズムを導入。

° ホールド違反を修正する配線およびセッ ト アップとホールドのバランス調整。

• Vivado 配線でプログラム可能な UltraScale+ ク ロ ッ クの 下位ド ライバーを詳細に調整して有益なスキューを作

成するこ とによ り、 セッ ト アップおよびホールドのスラ ッ クを増加し、 Fmax を平均 3 ~ 4% 向上。

• 配線の -directive オプシ ョ ンおよびインプリ メンテーシ ョ ン ス ト ラテジをアップデート し、 すべてのデバイ

ス ファ ミ リでパフォーマンス オプシ ョ ンを引き続き提供。 詳細は、 『Vivado Design Suite ユーザー ガイ ド : イン

プ リ メンテーシ ョ ン』 (UG904) [参照 10] を参照してください。

Page 81: Vivado Design Suite - Xilinx...Vivado Design Suite 2016.3 リリース ノート 2 UG973 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com 改訂履歴 次の表に、この文書の改訂履歴を示します。

Vivado Design Suite 2016.3 リ リース ノート 79UG973 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com

第 6 章: 以前のバージョ ンのリ リース ノート

• CLOCK_BUFFER_TYPE プロパティで BUFG および BUFGCE の値をサポート し、 XDC 制約を使用してグローバ

ル バッファーの挿入をイネーブル。 バッファーの挿入は opt_design で実行されます。 これによ り、 デザイン

ソースまたはネッ ト リ ス ト を変更せずにグローバル バッファーを挿入できます。

• 物理 適化 (phys_opt_design) にク リ ティカル パスの 適化という新しい 適化フェーズを追加。 この 適

化は、 -directive Explore オプシ ョ ンを使用した場合に物理 適化の 後に実行されます。 物理 適化は、

ク リ ティカルの程度にかかわらず、 ク ロ ッ ク グループごとの WNS を削減するため、 すべての終点クロ ッ ク グ

ループのタイ ミ ングが満たされていない 悪のク リティカル パスに対して実行されます。

• 物理 適化レポート : phys_opt_design の実行後に新しいレポート コマンド report_phys_opt を実行する

と、 phys_opt_design コマンドによ りデザイン パフォーマンスがどれだけ向上したかを示すネッ ト リ ス ト レ

ベルでの各物理 適化の詳細情報を取得できます。 phys_opt_design コマンドのログ ファ イルにも、 各 適

化フェーズのタイ ミ ングへの影響を示す表形式のサマリが含まれます。 これは、 phys_opt_design コマンド

を繰り返し実行する場合に次の実行で 適化が も効果的に実行されるよ うにするために有益です。

• レポート コマンド report_clock_utilization を大幅に変更し、 異なるクロ ッ ク リ ソースのレポートが一

貫したものになるよ うにし、 関連のクロ ッ クに関する情報および柔軟性の高い UltraScale および UltraScale+ の

クロ ッキング アーキテクチャの使用を解析するのに必要な詳細情報を提供。

Vivado 消費電力

• 新しい消費電力制約アドバイザーによ り、 消費電力解析に使用するスイッチング アクティビティを特定したり修

正したり し、 アクティビティがないまたは非常に低い大きな領域が検出されないために消費電力が少なめに見積

もられる可能性を低減。 消費電力制約アドバイザーは [Tools] メニューから起動でき、 大量の論理オブジェク トか

らスイ ッチング アクティビティが消費電力に大き く影響するものを検索して特定するのに非常に有益です。

• 見積も りの質および使いやすさを向上した新しい XPE (Xilinx Power Estimator) を提供:

° UltraRam ([URAM]) シート : デザイン入力に一致するよ う新しい列 ([Latency]、 [Data Width]、 [Input Toggle

Rate]、 および [Output Toggle Rate]) を追加してモデルおよび UI を向上。

° [URAM] および [BRAM] シート : [Write Rate] を [Write Enable] に置き換え。 [Write Rate] は書き込みのイネー

ブル レート をパーセン トで表していましたが、 [Write Enable] はブロ ッ ク RAM が書き込まれている時間を

パーセン トで表します。 この変更によ り、 仕様が理解しやすく、 Vivado の [Report Power] コマンド と一貫し

たものになっています。 2016.1 よ り前のバージ ョ ンで生成した XPE ファ イルをインポートする と、 自動的

に変換されます。

° [PS] シート : 全体的に電力ド メ インごとの構成を改善し、 不足している電源レールを追加するこ とによ り使

いやすさを大幅に向上。

° [GT] シート、 QPLL を共有するチャネル: 部分的に使用されているクワッ ドの精度を向上。

° Vivado report_power からインポート した場合の [Logic] シート : ロジッ クを Vivado のクロ ッ ク名および

信号レートではなく ク ロ ッ ク周波数に一致したラベルのクロ ッ ク ド メ インでグループ化。 これによ り、 イ

ンポート されたロジッ クをク ロ ッ ク ド メ インに関連付けやすくなっています。

• Vivado 消費電力解析 (report_power) の実行時間を大幅に削減 (配線後のデザインで平均 33% 高速化)。

• 消費電力レポート を保存して Vivado IDE で開く こ とが可能。 インプ リ メン ト済みデザインを開く と、 保存され

たグラフ ィカル消費電力レポートが自動的に復元されます。 グラフ ィカル レポート を生成するため

report_power を実行し直す必要がないので、 実行時間が削減されます。

• UltraScale+ デバイスで消費電力 適化をイネーブルにし、 消費電力を全体的に削減。 消費電力の実際の削減量

はデザインによって異なり ます。 消費電力 適化の詳細は、 『Vivado Design Suite ユーザー ガイ ド : 消費電力解析

と 適化』 (UG907) [参照 11] を参照してください。

Page 82: Vivado Design Suite - Xilinx...Vivado Design Suite 2016.3 リリース ノート 2 UG973 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com 改訂履歴 次の表に、この文書の改訂履歴を示します。

Vivado Design Suite 2016.3 リ リース ノート 80UG973 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com

第 6 章: 以前のバージョ ンのリ リース ノート

PS 消費電力レポート

• 信号の消費電力の精度:

° Vivado の report_power で信号の消費電力の精度を大幅に改善し、 report_power と XPE の相関性を

向上。

° 信号の消費電力は、 XPE の [Logic] シートの [Routing Complexity] という新しい列を使用して制御されます。

この列は、 ロジッ ク セルごとの平均配線リ ソースを示します。 デフォルト値は 8 で、 複雑性が高い場合は

10 です。 値 12 は複雑性が非常に高いこ とを示し、 通常配線が密集しているデザインに使用します。

report_power から結果をインポートする と、 デザインの配線に基づいて [Routing Complexity] も インポー

ト されます。

Vivado IP インテグレーター : PCIe 設計アシスタンス

• KCU105 ハードウェアで XDMA をサポート。

• XDMA ライセンスが必要。

Vivado IP インテグレーター

• デフォルトで HDL モジュール リ ファレンス フローをオン

° IP パッケージャーを使用せずに BD に HDL を簡単に追加

° AXI インターフェイスを自動推論

° ソース ファ イルはプロジェク ト外に配置可能

° ソース ツ リーまたはキャンバスで右ク リ ッ ク してアクセス可能

• 64 ビッ トのアドレス指定をサポート (以前は 63 ビッ ト までをサポート )

• BD をパッケージする際の ELF フローの使いやすさを向上

° MicroBlaze または MicroBlaze MCS を含む BD をパッケージ可能

• BD 合成中の IP のキャッシュを向上

° ヒ ッ ト を検索するためのメモ リ使用量を削減

° ヒ ッ ト時間を 大 100 分の 1 に短縮 (2015.3 リ リースでは 45 ~ 60 秒、 2016.1 リ リースでは 0.5 ~ 4.0 秒)

• 次のボードで PCIe 設計アシスタンスを向上:

° アルファデータ ADM-PCIE-7V3

° Kintex UltraScale アルファデータ ボード

° Kintex UltraScale KCU105 評価プラ ッ ト フォーム

° Virtex-7 VC709 評価プラ ッ ト フォーム

° Virtex UltraScale VCU108 評価プラ ッ ト フォーム

Vivado シミ ュレータ

• エラボレーシ ョ ンのランタイム パフォーマンスを 大 3 倍向上。

• 波形デバッグの使いやすさを向上。

° シ ミ ュレータの再起動機能を向上。

- GUI、 ブレークポイン ト、 信号設定、 マーカーを保持。

Page 83: Vivado Design Suite - Xilinx...Vivado Design Suite 2016.3 リリース ノート 2 UG973 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com 改訂履歴 次の表に、この文書の改訂履歴を示します。

Vivado Design Suite 2016.3 リ リース ノート 81UG973 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com

第 6 章: 以前のバージョ ンのリ リース ノート

Vivado シミ ュレーシ ョ ン フロー

• シ ミ ュレーシ ョ ンのエクスポート :

° Manage IP および標準プロジェク トで使用可能

° 5 つのシ ミ ュレータ ベンダーすべてをサポート

° 非プロジェク ト モードでシ ミ ュレーシ ョ ン サポート を提供

° 適なシ ミ ュレーシ ョ ン スク リプ ト を開始点と して生成

° カスタマー シ ミ ュレーシ ョ ン環境に簡単に組み込むこ とが可能

• すべてのベンダー用のシ ミ ュレーシ ョ ン スク リプ ト を IP 生成の一部と して作成

Vivado デバッグ

• デザイン フローの改善点:

° 合成後の ILA コア挿入後のインク リ メンタル配置配線

- 結果 (タイ ミ ング ク ロージャ、 配置) を保持しながら 1 日に実行可能なデバッグ回数を増加

- プロジェク ト モードおよび非プロジェク ト モードの両方でサポート

° ECO GUI 内で ILA プローブに接続されている信号を置換

- 配線チェッ クポイン ト (.dcp) でのみ可能

- マイナーなデバッグ変更を加えるのに 速の方法

- デザインを 大限保持

• デバッグ ILA の改善点:

° よ り多くのコンパレータで ILA をサポート

- ILA コアでプローブ ポート ごとに 16 個までのコンパレータをサポート

° ILA ポートのプローブを手動作成

- 連結されたプローブのビッ ト を分離またはグループ化

- 物理的なプローブ ポート、 定数値、 またはこれらの組み合わせにマップされたプローブを作成

- list_hw_samples Tcl コマンドで ILA プローブのサンプル値を取得

• デバッグの改善点:

° 実行時間を短縮

- [Waveform] ウ ィンド ウに ト リガー ボタンを追加

- [Waveform] ウ ィンド ウで右ク リ ッ ク メニューから ILA データをエクスポート

- ILA データを波形と一致した基数でエクスポート

° 1 つのデザインで複数のデバッグ ハブをサポート

° メモ リ キャ リブレーシ ョ ンのデバッグ

- LRDIMM インターフェイスをサポート

- QDRIV および QDRII で書き込みマージン解析をサポート

- キャ リブレーシ ョ ン段階の警告メ ッセージをわかりやすく変更

Page 84: Vivado Design Suite - Xilinx...Vivado Design Suite 2016.3 リリース ノート 2 UG973 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com 改訂履歴 次の表に、この文書の改訂履歴を示します。

Vivado Design Suite 2016.3 リ リース ノート 82UG973 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com

第 6 章: 以前のバージョ ンのリ リース ノート

Vivado デバイス プログラマ

• Tcl を使用して SVF (Serial Vector Format) ファ イルを生成可能。

• コンフ ィギュレーシ ョ ン メモ リ デバイスのチェッ クサムを検証可能。

• ハードウェア接続の安定性を向上。

プログラムの改善点 (AES および RSA セキュリテ ィ  キー )

• eFuse プログラムのダイアログ ボッ クスで AES および RSA キーの両方をサポート

• 128 ビッ トの USER レジスタをサポート

• UltraScale 制御レジスタをサポート

• バッテ リ バッ クアップ式 RAM (BBR) のダイアログ ボッ クスで DPA 保護をサポート

プログラムの改善点

• 書き込みコンフ ィギュレーシ ョ ン メモ リの IDE ダイアログ ボッ クス

° ハードウェア マネージャーおよび Vivado プロジェク トで使用可能

° ビッ ト ス ト リームの生成後にコンフ ィギュレーシ ョ ン メモ リ を生成するオプシ ョ ン

• シ リアル ベクター ファ イルの生成

° Tcl インターフェイスを向上

° オフラインでのボード サポート

° チェーンでサードパーティ デバイスをサポート

• ケーブル ポーリ ングをイネーブル

° ケーブルを取り外して取り付け直したと きにハード ウェア セッシ ョ ンに再接続

Vivado HL System Edition ツール

Vivado System Generator for DSP

• ボード認識によ り、 Vivado ツールでユーザー ボードを含むすべてのボードの JTAG 協調シ ミ ュレーシ ョ ンが可

能。 これをバース ト MCode 協調シ ミ ュレーシ ョ ンと組み合わせるこ とによ り、 シ ミ ュレーシ ョ ン速度を 45 倍

高速になり ます。

• FFT の向上によ り DSP ブロッ クが簡略化され、 モデリ ングの向上によ りシ ミ ュレーシ ョ ン時間を短縮。

• リ ソース解析からモデルへのクロスプローブによ り、 使用率の点で改善が必要な階層およびブロッ クを簡単に

特定。

• 詳細は、 『Vivado Design Suite ユーザー ガイ ド : System Generator を使用したモデルベースの DSP デザイン』

(UG897) [参照 9] を参照してください。

Page 85: Vivado Design Suite - Xilinx...Vivado Design Suite 2016.3 リリース ノート 2 UG973 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com 改訂履歴 次の表に、この文書の改訂履歴を示します。

Vivado Design Suite 2016.3 リ リース ノート 83UG973 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com

第 6 章: 以前のバージョ ンのリ リース ノート

VIPP

• DislayPort サブシステム

° ビデオ PHY への 2 バイ トおよび 4 バイ ト インターフェイスを提供。

° ネイティブ ビデオ入力/出力または AXI-S をサポートするオプシ ョ ン

° HDCP 1.3 繰り返しモード

• HDMI サブシステム

° ネイティブ ビデオ入力/出力または AXI-S をサポートするオプシ ョ ン

° オプシ ョ ンの HDCP1.4 または HDCP2.2 暗号化

° 3D ビデオ サポート

• ビデオ処理サブシステム

° インターレーサーのみ、 スケーラーのみ、 色空間コンバーターのみ、 色差再サンプリ ングのみの機能をイ

ンプ リ メン トする追加のコンフ ィギュレーシ ョ ン オプシ ョ ン

° UltraScale+ のサポート を追加

• Video Mixer LogiCORE

° ビデオ層を ミ キシングする新規 IP、 各層で 4K までの解像度が可能

° 8 層ミ キシングとブロ ッ ク RAM ベースのロゴ挿入をサポート

• MIPI DSI tx サブシステム

° MIPI ベースのディ スプレイ シ リ アル インターフェイス用の新規 IP

° UltraScale+ デバイスをサポート

• ビデオ PHY

° DisplayPort および HDMI 設定に GTP のサポート を追加

メモリ  IP

• 容量の大きいメモ リ をサポート (128GB DIMM)

° DDR4 の LRDIMM および 3DS RDIMM

• QDRIV を追加

• PingPong PHY を追加

• Samsung デバイスを追加

• DBI (Data Bus Invert) のサポートによ り消費電力を削減

• セルフ リ フレッシュおよび保存キャ リブレーシ ョ ン (保存復元)

° キャ リブレーシ ョ ン時間の短縮に有益

• ATG ( ト ラフ ィ ッ ク ジェネレーター ) を GUI で選択可能

• 新しい I/O プランニング機能

° DCI カスケード、 ハーフ バンク、 diff_term のサポート を追加

Page 86: Vivado Design Suite - Xilinx...Vivado Design Suite 2016.3 リリース ノート 2 UG973 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com 改訂履歴 次の表に、この文書の改訂履歴を示します。

Vivado Design Suite 2016.3 リ リース ノート 84UG973 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com

第 6 章: 以前のバージョ ンのリ リース ノート

DisplayPort LogiCORE v6.1

• DP159 制御を追加。

イーサネッ ト  IP

• 40G/50G Ethernet Subsystem

° 新しい切り替え可能な 40G/50G イーサネッ ト

• MAC + BASE-R/KR/CR

° 10G/25G Ethernet Subsystem

• 新しい 25G Reed-Solomon Forward Error Correction (RS-FEC) のオプシ ョ ン機能

° 50G RS-FEC

• 新しい 50G Reed-Solomon Forward Error Correction (RS-FEC)

テキスト  エディ ターの改善点

• コード折りたたみ (HDL)

° 言語特定のキーワードに基づいてコードを折りたたみ

• リ アルタイムの構文チェッ ク (HDL)

° コードを入力していく と構文チェッ クが実行される

° エラーに下線を表示

• キーワード補完 (HDL)

° キーワードを入力していく と、 候補のキーワードが表示される

• テキス ト エディ ターの設定をそれ専用の [Tools] → [Options] セクシ ョ ンに移動

ザイリンクス パラメーター指定マクロ  (XPM)

• も一般的な HDL フローの使用ケース用の単純で軽量のインラインでカスタマイズ可能なソ リ ューシ ョ ン

• ライブラ リに分割:

° XPM_MEMORY および XPM_CDC

• ユーザーは 上位 XPM ラ イブラ リのみを宣言

• 残りは Vivado によ り自動化

° XPM の合成およびシ ミ ュレーシ ョ ン サポート を提供

° インクルード ファ イルや mem init ファ イルなどの関連ファイルを処理

Page 87: Vivado Design Suite - Xilinx...Vivado Design Suite 2016.3 リリース ノート 2 UG973 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com 改訂履歴 次の表に、この文書の改訂履歴を示します。

Vivado Design Suite 2016.3 リ リース ノート 85UG973 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com

第 6 章: 以前のバージョ ンのリ リース ノート

ECO 操作

• インプ リ メンテーシ ョ ン後のネッ ト リ ス ト を変更

• ECO イネーブル

° デザインへの影響を 小限に抑えて小さな変更を適用

° ILA プローブへの変更

° 配置配線はインク リ メンタルに実行されるので繰り返し実行時間が短縮

• チェッ クポイン ト (DCP) のレイアウ ト と して提供

• ECO GUI の機能

° ECO ツールバーから次を実行:

- ネッ ト リ ス トの変更

- インク リ メンタル配置配線

- レポート

- 出力ファイル

° スク ラ ッチパッ ドで次のステート をモニター

- ネッ ト リ ス トの接続性

- 配置および配線

スタテ ィ ッ ク  タイ ミング解析 (STA)

• report_methodology コマンドに新しいクロ ッ ク設計手法チェッ クを追加

• バス スキューをサポート

° set_bus_skew: 非同期クロ ッ ク ド メ インをまたぐバスのスキューを制限

° report_bus_skew: 非同期クロ ッ ク ド メ インをまたぐバスのスキューの要件をレポート

• タイマーの改善点

° デザイン チェッ クポイン トの読み込み時間を短縮

° ラ ッチベースのタイ ミ ング解析を向上

° ク ロ ッ ク スキュー 適化 (有益なスキュー )

Page 88: Vivado Design Suite - Xilinx...Vivado Design Suite 2016.3 リリース ノート 2 UG973 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com 改訂履歴 次の表に、この文書の改訂履歴を示します。

Vivado Design Suite 2016.3 リ リース ノート 86UG973 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com

第 6 章: 以前のバージョ ンのリ リース ノート

重要な情報

既存 IP のアップデート

次の表に、 2016.1 リ リースでの既存の IP のアップデート を示します。

 

Vivado Design Suite 資料のアップデート

Vivado Design Suite 2016.1 では、 ツールのリ リース時にリ リースされない資料があ り ます。 DocNav で [Update

Catalog] ボタンをク リ ッ ク し、 2016.1 の 新の資料を確認して ください。

インストール

Vivado 2015.1 リ リースから、 Linux でのインス トールにルート または sudo 権限は必要なくな り ました。 これまでは、

ケーブル ド ラ イバーをイネーブルにするのにこれらの権限が必要でした。 このリ リースでは、 ケーブル ド ラ イバー

はルート /sudo コマンド シェルから別のスク リプ ト を実行して手動でインス トールする必要があ り ます。 Linux ケー

ブル ド ラ イバーのインス トールの詳細は、 「ケーブル ド ラ イバーのインス トール」 を参照してください。

ライセンス

アクティベーシ ョ ン ラ イセンスは、 USB ドングル、 SOLARIS OS 上で実行しているフローティング サーバー、 また

はト リプル リ ダンダン ト サーバー コンフ ィギュレーシ ョ ンではサポート されていません。

IP の既知の問題および変更リスト

IP の既知の問題は、 『IP リ リース ノート ガイ ド』 (XTP025) [参照 12] を参照してください。

表 6‐3: アップデートの内容

既存の IP アップデートの内容

PCI Express® • Gen3 PCI Express ハード ブロ ッ クの AXI-MM サポート (Virtex-7 XT/HT)。

• UltraScale FPGA デバイスのユーザー ガイ ドのパッケージ移行セクシ ョ ン。

• UltraScale FPGA デバイスの Tandem PCIe®/PROM サポート (ベータ )。

• GT ウ ィザードをアップグレード

• PLL およびコア ク ロ ッ クを選択する GUI オプシ ョ ンをアップデート

• Tandem PCIe で追加のデバイス /パッケージをサポート

Aurora • UltraScale のライン レート を 25G に拡張

IBERT for UltraScale • すべての UltraScale IBERT コアでエラーがすべてレポート されない問題を修正。

• 非常に長いテス ト または深いスキャンを実行する場合は、 IP を再生成する必要が

あ り ます。

Page 89: Vivado Design Suite - Xilinx...Vivado Design Suite 2016.3 リリース ノート 2 UG973 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com 改訂履歴 次の表に、この文書の改訂履歴を示します。

Vivado Design Suite 2016.3 リ リース ノート 87UG973 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com

第 6 章: 以前のバージョ ンのリ リース ノート

32 ビッ ト  OS サポートの削除

Vivado 2015.1 から、 32 ビッ ト OS およびアプリ ケーシ ョ ンのサポートは、 デザイン入力およびインプリ メンテー

シ ョ ン フローすべてで削除されています。Windows 7 および Red Hat Enterprise Linux 6 の 32 ビッ トは、Vivado 2015.1

Lab Edition ではサポート されます。 Lab Edition は、 プログラムおよびデバッグ ツールの無償のエディシ ョ ンです。

シミ ュレーシ ョ ン

• シ ミ ュレーシ ョ ンのエクスポート (export_simulation):

° この リ リースでは、 このコマンドは完全に非プロジェク ト モードのコマンドに変更されており、 オプシ ョ

ンが追加されています。

° 適切なオプシ ョ ンの組み合わせを判断するため、 [Export Simulation] ダイアログ ボッ クスを使用するこ とを

お勧めします。

° 次のオプシ ョ ンが廃止されています。

• ライブラ リ セルの取得 (get_lib_cells):

° この Tcl コマンドでは、 デバイスのネイティブ プリ ミ ティブのみが返されます。

° ターゲッ トが変更されたコンポーネン トは返されません。

既知の問題

Vivado Design Suite の既知の問題は、 アンサー 66830 を参照してください。

Page 90: Vivado Design Suite - Xilinx...Vivado Design Suite 2016.3 リリース ノート 2 UG973 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com 改訂履歴 次の表に、この文書の改訂履歴を示します。

Vivado Design Suite 2016.1 リ リース ノート 66UG973 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com

付録 A

その他のリソースおよび法的通知

ザイリンクス リソース

アンサー、 資料、 ダウンロード、 フォーラムなどのサポート リ ソースは、 次のザイ リ ンクス サポート サイ ト を参照してください。

ソリューシ ョ ン  センター

デバイス、 ツール、 IP のサポートについては、 ザイ リ ンクス ソ リ ューシ ョ ン センターを参照して ください。 ト ピックには、 デザイン アシスタン ト、 アドバイザリ、 ト ラブルシュート ヒ ン ト などが含まれます。

Documentation Navigator およびデザイン  ハブ

Xilinx Documentation Navigator を使用する とザイ リ ンクスの資料、 ビデオ、 およびサポート リ ソースにアクセスして情報をフ ィルター、 検索できます。 Xilinx Documentation Navigator (DocNav) を開くには、 次のいずれかを実行します。

• Vivado IDE で [Help] → [Documentation and Tutorials] をク リ ッ ク します。

• Windows で [スタート ] → [すべてのプログラム] → [Xilinx Design Tools] → [DocNav] をク リ ッ ク します。

• Linux コマンド プロンプ トに 「docnav」 と入力します。

ザイ リ ンクス デザイン ハブでは資料やビデオへのリ ンクがデザイン タスクおよびト ピッ クごとにま とめられており、 これらを使用する とキーコンセプ ト を学ぶこ とができ、 よ くある質問を解決できます。 デザイン ハブにアクセスするには、 次のいずれかを実行します。

• Xilinx Documentation Navigator で [Design Hubs View] タブをク リ ッ ク します。

• ザイ リ ンクス ウェブサイ トのデザインハブ ページを参照します。

ライセンスおよびエンド  ユーザー ライセンス契約

ザイ リ ンクスは、 ザイ リ ンクス デザイン ツールで次のサードパーティ ベンダーのライセンスを使用する許諾を受けています。 各ライセンスは、 該当するソフ ト ウェアのみに適用されるもので、 その他に適用されるものではあ り ません。 サードパーティの所有するライセンスは英文のまま記載しています。 ラ イセンス ファ イルを生成する前に、ザイ リ ンクス デザイン ツールおよびサードパーティ製品のエンド ユーザー ライセンス契約 (EULA) を許諾しておく必要があ り ます。

Page 91: Vivado Design Suite - Xilinx...Vivado Design Suite 2016.3 リリース ノート 2 UG973 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com 改訂履歴 次の表に、この文書の改訂履歴を示します。

Vivado Design Suite 2016.1 リ リース ノート 67UG973 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com

付録 A : その他のリソースおよび法的通知

サードパーティ ライセンスの詳細および EULA については、 エンドユーザー使用許諾契約を参照して ください。

ザイ リ ンクス デザイン ツール ライセンスの詳細および EULA については、http://japan.xilinx.com/cgi-bin/docs/rdoc?v=2016.3;d=end-user-license-agreement.pdf を参照してください。

参考資料

注記 :日本語版のバージ ョ ンは、 英語版よ り古い場合があ り ます。

1. 『UltraFast 設計手法ガイ ド (Vivado Design Suite 用)』 ((UG949))

2. 『UltraFast 高生産性設計手法ガイ ド』 (UG1197: 英語版、 日本語版)

3. 『UltraFast エンベデッ ド デザイン設計手法ガイ ド』 (UG1046: 英語版、 日本語版)

4. 『Vivado Design Suite ユーザー ガイ ド : ロジッ ク シ ミ ュレーシ ョ ン』 (UG900)

5. 『Vivado Design Suite ユーザー ガイ ド : 高位合成』 (UG902)

6. 『Vivado Design Suite ユーザー ガイ ド : パーシャル リ コンフ ィギュレーシ ョ ン』 (UG909)

7. 『Vivado Design Suite チュート リ アル : パーシャル リ コンフ ィギュレーシ ョ ン』 (UG947)

8. 『Vivado Design Suite ユーザー ガイ ド : 階層デザイン』 (UG905)

9. 『Vivado Design Suite ユーザー ガイ ド : System Generator を使用したモデル ベースの DSP デザイン』 (UG897)

10. 『Vivado Design Suite ユーザー ガイ ド : インプリ メンテーシ ョ ン』 (UG904)

11. 『Vivado Design Suite ユーザー ガイ ド : 消費電力解析と 適化』 (UG907)

12. 『IP リ リース ノート ガイ ド』 (XTP025)

13. 『USB ケーブル インス トール ガイ ド』 (UG344)

14. 『Platform Cable USB II データシート 』 (DS593)

15. 『Parallel Cable IV データシート 』 (DS097)

16. ザイ リ ンクス ダウンロード センター (http://japan.xilinx.com/support/download/index.htm)

17. Xilinx Design Tools WebTalk ページ (http://japan.xilinx.com/webtalk/index.htm)

18. Vivado Design Suite ビデオ チュート リ アル

19. Vivado Design Suite の資料

ト レーニング リソース

ザイ リ ンクスでは、 本書に含まれるコンセプ ト を説明するさまざまな ト レーニング コースおよびオンライン ビデオを提供しています。 次のリ ンクから関連する ト レーニング リ ソースを参照してください。

1. Vivado Design Suite 入門ワークシ ョ ップ ト レーニング コース

2. Vivado デザイン ツール フロー ト レーニング コース

3. Vivado での FPGA 設計導入

Page 92: Vivado Design Suite - Xilinx...Vivado Design Suite 2016.3 リリース ノート 2 UG973 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com 改訂履歴 次の表に、この文書の改訂履歴を示します。

Vivado Design Suite 2016.1 リ リース ノート 68UG973 (v2016.3) 2016 年 10 月 5 日 japan.xilinx.com

付録 A : その他のリソースおよび法的通知

お読みください : 重要な法的通知本通知に基づいて貴殿または貴社 (本通知の被通知者が個人の場合には 「貴殿」、 法人その他の団体の場合には 「貴社」。 以下同じ ) に開示される情報 (以下 「本情報」 といいます) は、 ザイ リ ンクスの製品を選択および使用するこ とのためにのみ提供されます。 適

用される法律が許容する 大限の範囲で、 (1) 本情報は 「現状有姿」、 およびすべて受領者の責任で (with all faults) とい う状態で提供

され、 ザイ リ ンクスは、 本通知をもって、 明示、 黙示、 法定を問わず (商品性、 非侵害、 特定目的適合性の保証を含みますがこれ

らに限られません)、 すべての保証および条件を負わない (否認する ) ものと します。 また、 (2) ザイ リ ンクスは、 本情報 (貴殿または

貴社による本情報の使用を含む) に関係し、 起因し、 関連する、 いかなる種類 ・ 性質の損失または損害についても、 責任を負わな

い (契約上、 不法行為上 (過失の場合を含む)、 その他のいかなる責任の法理によるかを問わない) ものと し、 当該損失または損害に

は、 直接、 間接、 特別、 付随的、 結果的な損失または損害 (第三者が起こした行為の結果被った、 データ、 利益、 業務上の信用の

損失、 その他あらゆる種類の損失や損害を含みます) が含まれるものと し、 それは、 たとえ当該損害や損失が合理的に予見可能で

あったり、 ザイ リ ンクスがそれらの可能性について助言を受けていた場合であったと しても同様です。 ザイ リ ンクスは、 本情報に

含まれるいかなる誤り も訂正する義務を負わず、 本情報または製品仕様のアップデート を貴殿または貴社に知らせる義務も負いま

せん。 事前の書面による同意のない限り、 貴殿または貴社は本情報を再生産、 変更、 頒布、 または公に展示してはなり ません。 一

定の製品は、 ザイ リ ンクスの限定的保証の諸条件に従う こ と となるので、 http://japan.xilinx.com/legal.htm#tos で見られるザイ リ ンク

スの販売条件を参照してください。 IP コアは、 ザイ リ ンクスが貴殿または貴社に付与したライセンスに含まれる保証と補助的条件

に従う こ とにな り ます。 ザイ リ ンクスの製品は、 フェイルセーフと して、 または、 フェイルセーフの動作を要求するアプリ ケー

シ ョ ンに使用するために、 設計されたり意図されたり していません。 そのよ うな重大なアプリ ケーシ ョ ンにザイ リ ンクスの製品を

使用する場合のリ スク と責任は、 貴殿または貴社が単独で負う ものです。 http://japan.xilinx.com/legal.htm#tos で見られるザイ リ ンク

スの販売条件を参照してください。

自動車用のアプリケーシ ョ ンの免責条項

オートモーティブ製品 (製品番号に 「XA」 が含まれる ) は、 ISO 26262 自動車用機能安全規格に従った安全コンセプ ト または余剰性

の機能 ( 「セーフティ設計」 ) がない限り、 エアバッグの展開における使用または車両の制御に影響するアプリ ケーシ ョ ン ( 「セー

フティ アプリ ケーシ ョ ン」 ) における使用は保証されていません。 顧客は、 製品を組み込むすべてのシステムについて、 その使用

前または提供前に安全を目的と して十分なテス ト を行う ものと します。 セーフティ設計なしにセーフティ アプリ ケーシ ョ ンで製品

を使用する リ スクはすべて顧客が負い、 製品の責任の制限を規定する適用法令および規則にのみ従う ものと します

© Copyright 2012-2016 Xilinx, Inc. Xilinx、 Xilinx のロゴ、 Artix、 ISE、 Kintex、 Spartan、 Virtex、 Vivado、 Zynq、 およびこの文書に含

まれるその他の指定されたブランドは、 米国およびその他各国のザイ リ ンクス社の商標です。 すべてのその他の商標は、 それぞれ

の保有者に帰属します。

この資料に関するフ ィードバッ クおよびリ ンクなどの問題につきましては、 [email protected] まで、 または各ページの

右下にある [フ ィードバッ ク送信] ボタンをク リ ッ クする と表示されるフォームからお知らせください。 フ ィードバッ クは日本語で

入力可能です。 いただきましたご意見を参考に早急に対応させていただきます。 なお、 このメール アドレスへのお問い合わせは受

け付けており ません。 あらかじめご了承ください。