vivado design suite...vivado design suite 2014 リリース ノート japan.xilinx.com 2 ug973...

63
Vivado Design Suite ユーザー ガイド リリース ノート、 インストールお よびライセンス UG973 (v2014.1) 2014 4 2

Upload: others

Post on 16-Aug-2020

2 views

Category:

Documents


0 download

TRANSCRIPT

Page 1: Vivado Design Suite...Vivado Design Suite 2014 リリース ノート japan.xilinx.com 2 UG973 (v2014.1) 2014 年 4 月 2 日 改訂履歴 次の表に、この文書の改訂履歴を示します。

Vivado Design Suite ユーザー ガイド

リ リース ノート、 インス トールおよびライセンス

UG973 (v2014.1) 2014 年 4 月 2 日

Page 2: Vivado Design Suite...Vivado Design Suite 2014 リリース ノート japan.xilinx.com 2 UG973 (v2014.1) 2014 年 4 月 2 日 改訂履歴 次の表に、この文書の改訂履歴を示します。

Vivado Design Suite 2014 リ リース ノート japan.xilinx.com 2UG973 (v2014.1) 2014 年 4 月 2 日

改訂履歴

次の表に、 この文書の改訂履歴を示します。

 

日付 バージョ ン 改訂内容

2014 年 4 月 2 日 2014.1 「ダウンロードおよびインス トール」 および 「ラ イセンスの取得および管理」 の内容を刷新

Page 3: Vivado Design Suite...Vivado Design Suite 2014 リリース ノート japan.xilinx.com 2 UG973 (v2014.1) 2014 年 4 月 2 日 改訂履歴 次の表に、この文書の改訂履歴を示します。

Vivado Design Suite 2014 リ リース ノート japan.xilinx.com 3UG973 (v2014.1) 2014 年 4 月 2 日

目次

第 1章 : リ リース ノート  2014.1新機能 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5

重要な情報 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12

既知の問題 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12

第 2章 : アーキテクチャ  サポートおよび要件オペレーティング システム . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13

アーキテクチャ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13

互換性のあるサードパーティ ツール . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14

システム要件 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 15

第 3章 : ダウンロードおよびインストールVivado Design Suite ツールのダウンロード . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18

Vivado Design Suite ツールのインス トール . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 19

その他のツールおよびデバイスの追加 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 30

ネッ ト ワーク インス トール . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 31

アップデートの取得 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 33

Vivado Design Suite ツールのアンインス トール . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 34

第 4章 : WebTalkWebTalk への参加. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 35

WebTalk インス トールのプリ ファレンス設定 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 35

WebTalk ユーザー プリ ファレンスの設定 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 36

WebTalk インス トールおよびユーザー プリ ファレンスの確認 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 38

収集されるデータの種類 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 38

データの送信 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 38

第 5章 : ライセンスの取得および管理ラ イセンス概要 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 39

ユーザー マシンでのライセンスの管理 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 41

ザイ リ ンクス製品ライセンス サイ トの使用 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 44

ラ イセンス キーの作成 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 45

ラ イセンスの生成 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 48

ラ イセンス キーのインス トール . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 51

証明書ベースのノード ロ ッ クまたはアクティベーシ ョ ン ベースのク ライアン ト ライセンスのインス トール . . 51

フローティング ライセンス . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 52

ザイ リ ンクス製品ライセンス サイ トでのライセンス管理 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 53

古いライセンス . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 56

ユーザーのライセンス アカウン ト . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 56

ユーザー タイプとアクシ ョ ン . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 57

ザイ リ ンクス ユーザー アカウン ト情報の変更 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 58

Send Feedback

Page 4: Vivado Design Suite...Vivado Design Suite 2014 リリース ノート japan.xilinx.com 2 UG973 (v2014.1) 2014 年 4 月 2 日 改訂履歴 次の表に、この文書の改訂履歴を示します。

Vivado Design Suite 2014 リ リース ノート japan.xilinx.com 4UG973 (v2014.1) 2014 年 4 月 2 日

ツールおよび IP の購入情報 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 58

ユーザー アクセスの管理 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 59

付録 A : その他のリソースおよび法的通知ザイ リ ンクス リ ソース . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 66

ソ リ ューシ ョ ン センター . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 66Xilinx Documentation Navigator . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 66

ラ イセンスおよびエンド ユーザー ラ イセンス契約 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 66

参考資料 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 67

法的通知 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 67

Send Feedback

Page 5: Vivado Design Suite...Vivado Design Suite 2014 リリース ノート japan.xilinx.com 2 UG973 (v2014.1) 2014 年 4 月 2 日 改訂履歴 次の表に、この文書の改訂履歴を示します。

Vivado Design Suite 2014 リ リース ノート japan.xilinx.com 5UG973 (v2014.1) 2014 年 4 月 2 日

第 1章

リ リース ノート  2014.1

新機能Vivado® Design Suite 2014.1 は、 実行時間の短縮、 結果の品質 (QoR) の向上、 UltraFast 設計手法の自動化、 Vivado 高位合成 (HLS) による OpenCL カーネルのハードウェアの高速化によ り、 生産性を向上します。

デバイス サポート

この リ リースでは、 Kintex® UltraScale™ デバイスが公式に使用可能になっています。

 

ザイリンクス Tcl Store

ザイ リ ンクスでは、 設計者の生産性をさらに向上するこ とを目的と して、 ツール コマンド言語 (Tcl) スク リプ ト を共有するためのオープン ソースのリポジ ト リのホスティ ングを開始しました。 ザイ リ ンクス Tcl Store は、 開発コ ミ ュニティが Tcl スク リプ ト を共有し、 簡単に検索できるよ うにします。 これらのスク リプ トは Vivado Design Suite の機能を拡張し、生産性をおよび使いやすさを向上します。 リポジ ト リにパブリ ッシュされた Tcl コードはすべて無償で、BSD に基づくオープン ソース ライセンス モデルによ り再配布可能です。

ザイ リ ンクス Tcl ス ト アは Vivado 統合設計環境 (IDE) からアクセス可能で、 複数の Tcl スク リプ ト をグループ化したアプ リ をツールから直接選択してダウンロードできます。 これらのアプ リ をインス トールする と、 ビル ト インのVivado コマンド と同様に表示されます。 Vivado では、 Tcl の標準パッケージ機能を使用して異なるバージ ョ ンのアプリがサポート されており、新しいバージ ョ ンがリ リースされた場合に、 マウスをク リ ッ クするだけでアップグレードできます。

表 1‐1 : Vivado 2014.1 のデバイス サポート

製品レベル Vivado 2014.1 のデバイス サポート

量産

• Artix®-7 :

° XC7A35T および XC7A50T• XA Artix-7 :

° XA7A50T、 XA7A35T、 および XA7A75T• Zynq®-7000 :

° XC7Z015

パブリ ック  アクセス• Kintex UltraScale :

° XCKU035、 XCKU040、 XCKU060、 および XCKU075

アーリー アクセス

• Kintex UltraScale SSI デバイス :

° XCKU100 および XCKU115• Virtex® UltraScale デバイス :

° XCVU065、 XCVU080、 XCVU095、 XCVU125、 および XCVU160• これらのデバイスを使用するには、最寄の販売代理店または FAE にご連

絡ください。

Send Feedback

Page 6: Vivado Design Suite...Vivado Design Suite 2014 リリース ノート japan.xilinx.com 2 UG973 (v2014.1) 2014 年 4 月 2 日 改訂履歴 次の表に、この文書の改訂履歴を示します。

Vivado Design Suite 2014 リ リース ノート japan.xilinx.com 6UG973 (v2014.1) 2014 年 4 月 2 日

第 1 章 : リ リース ノート  2014.1

ザイ リ ンクス Tcl Store は、 Linux のよ うに、 ユーザー コ ミ ュニティによ り開発およびサポート される便利な Tcl スクリプ ト を簡単に検索および使用できるよ うにするこ とを目的と しています。 Tcl スク リプ トは IDE のボタンをク リ ックするよ り も多少高度ですが、 簡単に学ぶこ とができます。 Tcl API からの特定のコマンドの詳細を説明する資料およびユーザー ガイ ドは、 japan.xilinx.com/support から入手できます。

ライセンス

2014.1 の新規購入から、 Vivado ライセンスでアクティベーシ ョ ン ベースのライセンス スキームが使用されます。 アクティベーシ ョ ン ベースのライセンスは、セキュ リ ティが強固で、返却機能もあ り、今後ライセンスに関する向上を導入しやすくなり ます。 ライセンス情報の詳細は、 「ラ イセンスの取得および管理」 を参照してください。

プロジェク ト構造

• スタンドアロン プロジェク ト .xpr ファ イルをソース制御にチェッ ク インしてプロジェク ト を再作成できるようにするこ とによ り、 リ ビジ ョ ン管理サポート を向上

• 物理制約およびコンフ ィギュレーシ ョ ン制約によ り合成 run がアップデート必要な状態にならないよ う、 XDCファ イルの制約管理を向上

• メ ッセージを非表示にする Tcl コマンドに正規表現のサポート を追加

• Vivado で Xilinx Platform Studio (XPS) はサポート されなくな り ました。

Vivado System Edition 製品

Vivado 高位合成

• AXI4 のサポートが向上し、 マスターおよびスレーブ Lite インターフェイスを INTERFACE 指示子を使用して直接指定可能

• 自動ループ パイプラインおよび浮動小数点計算用の結合演算子によ り QoR (結果の品質) を向上

• マ ト リ ッ クス演算用に新しい線形代数ライブラ リ を追加

• C/RTL 協調シ ミ ュレーシ ョ ンに必要なディ スク容量を削減

• C/RTL 協調シ ミ ュレーシ ョ ンのデフォルト シ ミ ュレータを Vivado に設定

System Generator for DSP

• 複数のクロ ッ クおよび非同期クロ ッ ク乗せ換えをサポートするこ とによ り機能を向上

• MATLAB® 変数と Wavescope 信号ビューアーの間でクロスプローブを可能にするこ とによ り解析を高速化

• VC707 および KC705 ボードでイーサネッ ト ポイン ト ツー ポイン ト をサポートするこ とによ りハード ウェア協調シ ミ ュレーシ ョ ン機能を向上

• MATLAB 2014.a をサポート

° 重要なインス トール情報は、 アンサー 59236 を参照してください。

Send Feedback

Page 7: Vivado Design Suite...Vivado Design Suite 2014 リリース ノート japan.xilinx.com 2 UG973 (v2014.1) 2014 年 4 月 2 日 改訂履歴 次の表に、この文書の改訂履歴を示します。

Vivado Design Suite 2014 リ リース ノート japan.xilinx.com 7UG973 (v2014.1) 2014 年 4 月 2 日

第 1 章 : リ リース ノート  2014.1

Vivado Design Edition ツール

パーシャル リコンフ ィギュレーシ ョ ン

• 次のデバイスをサポート

° すべての Virtex-7 HT デバイス (7VH870T および 7VX580T)

° すべての Zynq All Programmable SoC デバイス (7Z100 および 7Z015 を追加)

° 3 つの Artix-7 デバイス (7A200T、 7A100T、 および 7A75T)

• パーシャル BIT ファ イルの暗号化をネイティブ サポート

• フロアプラン機能でパーシャル リ コンフ ィギュレーシ ョ ン設計規則が自動的に考慮されるよ う向上。Pblock を作成する際に SNAPPING_MODE プロパティを設定する と、 有効な リ コンフ ィギャラブル境界に自動的に揃えられます。

• 詳細は、 『Vivado Design Suite ユーザー ガイ ド : パーシャル リ コンフ ィギュレーシ ョ ン』 (UG909) および 『VivadoDesign Suite チュート リ アル : パーシャル リ コンフ ィギュレーシ ョ ン』 (UG947) を参照してください。

ザイリンクス PCIe IP の Tandem コンフ ィギュレーシ ョ ン

Tandem コンフ ィギュレーシ ョ ンは、 PCIe® デザインの高速コンフ ィギュレーシ ョ ンで、 オープン PCIe システム内での列挙に関する要件を満たすためのザイ リ ンクスのソ リ ューシ ョ ンです。 2014.1 の新機能は次のとおりです。

• Zynq SoC デバイスのサポート を追加

° Tandem PROM のみをサポート

° 7Z045 および 7Z030 デバイスをサポート

• 次の 4 つのデバイスをプロダクシ ョ ンでサポート

° 7K420T

° 7VX330T

° 7VX415T

° 7VX980T

• IP インテグレーターに Tandem Configuration IP コアを追加。 このコアは AXI-Stream 用で、 IP インテグレーター内でデザインに追加できます。

• 詳細は、 PCI® Express IP の製品ガイ ド (Gen2 PCIe IP の場合は PG054、 Gen3 PCIe IP の場合は PG023) を参照してください。

統合設計環境 (IDE)

• Getting Started ページを変更

° 最近のプロジェク トおよびチェッ クポイン トへの直接アクセス

° ハードウェア マネージャーを開く リ ンクおよびザイ リ ンクス Tcl Store へのリ ンクを追加

• タイ ミ ング制約ウ ィザード : ク ロ ッ クのタイ ミ ング制約、I/O 制約、クロ ッ ク乗せ換え制約を作成するためのウ ィザード

• LSF を使用して共有サーバー管理ソフ ト ウェアを読み込んだ run の実行を統合サポート (Linux のみ)

• 回路図の拡大機能によ りバス接続のビッ ト レベルの詳細を表示可能

• 階層ソース ビュー (HSV) のアイコンを簡略化するこ とによ り ソース タイプをよ り簡単に識別可能

• UltraScale MicroBlaze™ ベース デザインのブロ ッ ク RAM を初期化する新しい updatemem コマンドを追加

Send Feedback

Page 8: Vivado Design Suite...Vivado Design Suite 2014 リリース ノート japan.xilinx.com 2 UG973 (v2014.1) 2014 年 4 月 2 日 改訂履歴 次の表に、この文書の改訂履歴を示します。

Vivado Design Suite 2014 リ リース ノート japan.xilinx.com 8UG973 (v2014.1) 2014 年 4 月 2 日

第 1 章 : リ リース ノート  2014.1

Vivado IP フロー

• Linux で LSF をサポート

° 実行時間を短縮するため、LSF (Load Sharing Facility) を利用して複数の OOC (Out-Of Context) run を複数のマシンで並列実行可能

• IP フローの作成およびパッケージ化

° IP パッケージャーのサマリ を簡略化

° IP カスタマイズ Tcl の自動生成

° IP カタログで重複 IP を検出

° ポートおよびインターフェイスの統合表示

° パラ メーターの処理を向上

- 表示されるパラ メーターと非表示パラ メーターを明確に識別

- カスタマイズのみのパラ メーターを作成可能

° IP のカスタマイズ

- 表示およびレイアウ トの制御

- ページの命名をサポート

- ウ ィジェッ ト を明示的に制御

° Create Peripheral Wizard

- 割り込みのサポート を追加

- BFM デモ デザインを向上

- JTAG2AXI ベースのデモ デザインを追加

Vivado IP インテグレーター

• 新しい信号タブでド ラ ッグ アンド ド ロ ップでの接続を可能にし、 デザインでクロ ッ クおよびリセッ ト ド メ インを表示および制御可能

° ク ロ ッ クまたはリセッ トのグループを一度に接続可能

° 複数のクロ ッ ク ド メ インを含むデザインを簡単に表示可能

• 新しい自動ボード インターフェイス タブによ り、 サポート される開発ボードで使用可能なインターフェイスにすばやく接続可能

• BD ソースの作成および管理にリモート ロケーシ ョ ンをサポート

• 設計アシスタンスに、デフォルト ド メ インの代わりにユーザーがクロッ ク ド メ インを指定するオプシ ョ ンを追加

• IP のアップグレード中、 IP インテグレーターで IP ポート /インターフェイス名の変更が認識され、 自動的に変更されたポート /インターフェイス名に接続されるよ う向上。 Vivado 2013.4 では、 ク リ ティカル警告が表示されていました。

• Concat IP ブロ ッ クを v1.0 から v2.0 にアップグレード

° バージ ョ ン 1.0 の xlconcat ブロ ッ クでは、 入力から出力への順序が反転されます。

° バージ ョ ン 2.0 では、 出力上の入力信号の順序が保持されます。

注記 : v1.0 から v2.0 にアップグレードする と、入力接続が記述し直され、出力上の信号の順序が保持されます。

• シンボル上の接続されたピンを非表示にしてダイアグラムを簡略化可能。このオプショ ンは、[Block Design Options]の [Layers] タブにあり ます。

• リセッ トがクロッ クに同期化されるよ う、設計アシスタンスで各クロッ ク ド メ インに対して固有の Processor SystemReset ブロッ クを自動的に作成

• ダイアグラムを理解しやすくするため、 IP インテグレーターで複数のブロ ッ クに新しいシンボルを使用

Send Feedback

Page 9: Vivado Design Suite...Vivado Design Suite 2014 リリース ノート japan.xilinx.com 2 UG973 (v2014.1) 2014 年 4 月 2 日 改訂履歴 次の表に、この文書の改訂履歴を示します。

Vivado Design Suite 2014 リ リース ノート japan.xilinx.com 9UG973 (v2014.1) 2014 年 4 月 2 日

第 1 章 : リ リース ノート  2014.1

• Zynq PS のさまざまな PLL のクロ ッ ク逓倍値および分周値にアクセスでき、 ユーザーが制御可能

Vivado 物理インプリ メンテーシ ョ ン

• パフォーマンスおよび実行時間の向上

° すべてのデバイス ファ ミ リで Fmax を平均 5% 向上

° 2013.4 と比較してインプリ メンテーシ ョ ンの実行時間を平均 5% 高速化

° 配置配線マルチスレッ ド機能で 8 個までの CPU を使用可能

° 7 シ リーズ FPGA SSIT デバイスで Fmax を平均 2.5% 向上

• タイ ミ ング ク ロージャのため 7 シ リーズ FPGA デバイスの配線後に物理最適化 (phys_opt_design) を実行可能。詳細は、 『Vivado Design Suite ユーザー ガイ ド : インプリ メンテーシ ョ ン』 (UG904) を参照してください。

• 次の 3 つのインプリ メンテーシ ョ ン ス ト ラテジを追加 :

° Performance_ExplorePostRoutePhysOpt (Performance_Explore に配線後の phys_opt_design を追加)

° Flow_RunPostRoutePhysOpt (FlowRunPhysOpt に配線後の phys_opt_design を追加)

° Performance_Retiming (よ り積極的に複製と リ タイ ミ ングを実行)

注記 :配置の -directive オプシ ョ ンは現在のと ころ UltraScale ではサポート されていません。

• インタラ クティブ配線をイネーブル/ディ スエーブルにする route_design -re_entrant オプシ ョ ンは廃止される予定です。通常モードを使用するかインタラ クティブ モードを使用するかは、配線機能によ り自動的に選択されます。

• メモリ配列をインプリ メン トするのに使用された論理リ ソースの詳細をレポートする report_ram_utilizationコマンドを追加

• LUTNM、 HLUTNM、 および SOFT_HLUTNMLUT を使用した LUT ペアを合成後に組み合わせ可能

• opt_design : opt_design の -directive オプシ ョ ンにブロッ ク RAM の消費電力の最適化をスキップするNoBramPowerOpt を追加

• 実行時間を短縮するため、 配置および配線のタイ ミングサマリのデフォルト を変更しました。 2013.4 では、 配置および配線の両方で WNS を含むタイ ミ ング サマリがレポート されていました。2014 では、report_timing_summaryコマンドでプロジェク トのタイ ミング サマリ メ ッセージがレポート されます。 タイ ミ ングが満たされていない場合は、 メ ッセージはク リティカル警告になります。

° 配置では、 スタティ ッ ク タイ ミ ング エンジンを呼び出すのではなく、 内部タイ ミ ング予測に基づいてタイミ ング サマリがレポート されます。

° 配線でも内部タイ ミ ング予測に基づいてタイ ミ ング サマリがレポート されますが、実際のタイ ミ ングよ り悪い見積も りである可能性があるので、 実際の WNS が正であるのに配線でレポート される WNS が負となるこ と もあ り ます。report_timing または report_timing_summary を使用して、実際のタイ ミ ングを確認する必要があ り ます。

° 2013.4 のコマンドの動作に戻してタイ ミ ング サマリのレポートにスタティ ッ ク タイ ミ ング エンジンが使用されるよ うにするには、 place_design および route_design コマンドで -timing_summary オプシ ョンを使用します。

Send Feedback

Page 10: Vivado Design Suite...Vivado Design Suite 2014 リリース ノート japan.xilinx.com 2 UG973 (v2014.1) 2014 年 4 月 2 日 改訂履歴 次の表に、この文書の改訂履歴を示します。

Vivado Design Suite 2014 リ リース ノート japan.xilinx.com 10UG973 (v2014.1) 2014 年 4 月 2 日

第 1 章 : リ リース ノート  2014.1

スタテ ィ ッ ク  タイ ミング解析

• タイ ミ ング制約ウ ィザード : ク ロ ッ ク、 I/O、 および CDC のタイ ミ ング制約を作成するためのウ ィザード

• 改善点 :

° check_timing : high、 medium、 または info と分類された問題のみを表示でき、 重要な問題を修正するこ とに集中するこ とが可能

° 次の 3 つのタイ ミ ング DRC を追加

- 組み合わせループを検出

- ほかの制約が優先されたために無効になった set_max_delay -datapath_only 制約を検出

- ギガビッ ト ト ランシーバー (GT) で生成されたクロ ッ クの無効な波形を検出

• report_exceptions コマンドに、 例外の総数、 セッ ト アップが適用されるエンドポイン トの総数、 ホールドおよび最小パルス幅チェッ クを含む表を生成する -summary オプシ ョ ンを追加

RTL 合成

• ハミ ング エンコードを使用したエラー検出と修正を含む有限ステート マシンのセーフ ステート インプリ メンテーシ ョ ンを向上

• 読み出し と書き込みのポート ビッ ト幅が非対称の RAM インターフェイスをサポート (複数のブロ ッ クにマップ可能)

• ロジッ クのレベル数、 新しい XOR、 三項加算器、 大型等価コンパレータの最適化を考慮するエリ ア最適化により結果の品質 (QoR) を向上

• 前置加算器で減算器をサポート して複素乗算器インターフェイスをイネーブルにするこ とによ り DSP の推論を向上

• 推論された XOR を UltraScale DSP48 ブロ ッ クにマップ可能

• 推論されるブロ ッ クの数を制御するグローバル オプシ ョ ン max_bram および max_dsp を追加

• clock_buffer_type および io_buffer_type 属性を追加

• 新しい設計手法 DRC メ ッセージ

Vivado デバッグ

• ILA コアの QoR を向上 : 基本およびアドバンス ト リ ガーで 300MHz 以上をサポート

• 波形の複数のウ ィンド ウ

• 繰り返し ト リガー

• ト リ ガー ステート マシンの構文チェッ ク

• デバッグ コアの実行時間を短縮

• システム モニターのアナログ データ表示

• 使いやすさを向上

° デバッグ ハブのクロ ッ ク分周制御

° デバッグ コアを作成するウ ィザードを向上

° Flow Navigator にデバッグ作成ウ ィザードを追加

Send Feedback

Page 11: Vivado Design Suite...Vivado Design Suite 2014 リリース ノート japan.xilinx.com 2 UG973 (v2014.1) 2014 年 4 月 2 日 改訂履歴 次の表に、この文書の改訂履歴を示します。

Vivado Design Suite 2014 リ リース ノート japan.xilinx.com 11UG973 (v2014.1) 2014 年 4 月 2 日

第 1 章 : リ リース ノート  2014.1

Vivado デバイス プログラマ

• フラ ッシュ作成および間接プログラム機能

• iMPACT と比較して SPI プログラムを 1.7 倍高速化

• iMPACT と比較して BPI プログラムを 1.9 倍高速化

• コンフ ィギュレーシ ョ ン時間を予測する Tcl コマンド calc_config_time を追加

• リモート ハードウェア サーバーのスタンドアロン インス トール

SDK

• ボードへの任意の接続に対してターゲッ ト接続機能を導入。 これには、 次の変更も含まれます。

° JTAG コンフ ィギュレーシ ョ ン設定機能は廃止予定です。

° FPGA のプログラムおよびデバッグ起動などのすべての機能は、 ターゲッ ト接続に含まれます。

• SDK ログを メ イン インターフェイスに表示可能

• 新しいパフォーマンス解析機能を追加。 この機能を使用する と、 ARM® パフォーマンスおよび AXI パフォーマンスをタブ モードおよびビジュアル モードの両方で表示できます。

• 新しい BSP ソースの再生成機能によ り、 BSP を必要に応じて再生成可能。 BSP は自動的に生成されていましたが、 これでいつでも再生成できます。

Send Feedback

Page 12: Vivado Design Suite...Vivado Design Suite 2014 リリース ノート japan.xilinx.com 2 UG973 (v2014.1) 2014 年 4 月 2 日 改訂履歴 次の表に、この文書の改訂履歴を示します。

Vivado Design Suite 2014 リ リース ノート japan.xilinx.com 12UG973 (v2014.1) 2014 年 4 月 2 日

第 1 章 : リ リース ノート  2014.1

重要な情報

既存 IP のアップデート

次の表に、 2014.1 リ リースでの既存の IP のアップデート を示します。

 

Vivado Design Suite 資料のアップデート

Vivado Design Suite 2014.1 では、 ツールのリ リース時にリ リースされない資料があ り ます。 ツールのリ リース後、 数週間のうちにリ リースされる予定です。 DocNav で [Update Catalog] ボタンをク リ ッ ク し、 2014.1 の最新の資料を確認してください。

IP の既知の問題および変更リスト

• IP の既知の問題は、 『IP リ リース ノート ガイ ド』 (XTP025) [参照 6] を参照してください。

• 2014.1 でのザイ リ ンクス IP コアの詳細な変更リ ス トは、 アンサー 59464 を参照してください。

既知の問題Vivado Design Suite の既知の問題は、 アンサー 55120 を参照してください。

表 1‐2 :既存 IP のアップデート

既存の IP 既存 IP のアップデート

10G Ethernet MAC • PFC (Priority Flow Control) を追加

• CRC レイテンシを削減

• UltraScale Kintex デバイスのサポート を追加

AXI 10G Ethernet MAC • PFC (Priority Flow Control) を追加

• CRC レイテンシを削減

• 1588 ハードウェア タイムスタンプ透過クロ ッ ク サポート を追加

Tri-mode Ethernet MAC • PFC (Priority Flow Control) を追加

• UltraScale Kintex デバイスのサポート を追加

1000BASE-X/SGMII • UltraScale Kintex デバイスのサポート を追加

AXI Ethernet • 1588 ハードウェア タイムスタンプ透過クロ ッ ク サポート を追加

• UltraScale Kintex デバイスのサポート を追加

PCI Express • UltraScale Kintex を公式サポート

• すべての幅/スピードをサポート

• ルート ポート をイネーブル

• IPI サポート

Aurora • UltraScale Kintex をサポート

• IPI サポート

Send Feedback

Page 13: Vivado Design Suite...Vivado Design Suite 2014 リリース ノート japan.xilinx.com 2 UG973 (v2014.1) 2014 年 4 月 2 日 改訂履歴 次の表に、この文書の改訂履歴を示します。

Vivado Design Suite 2014 リ リース ノート japan.xilinx.com 13UG973 (v2014.1) 2014 年 4 月 2 日

第 2章

アーキテクチャ  サポートおよび要件

オペレーティング システムザイ リ ンクスでサポート される OS は、 x86 および x86-64 プロセッサ アーキテクチャの OS のみです。

Microsoft Windows サポート

• Windows XP Professional (32 ビッ トおよび 64 ビッ ト )、 英語版/日本語版

• Windows 7 および 7 SP1 Professional (32 ビッ トおよび 64 ビッ ト )、 英語版/日本語版

• Windows 8.1 Professional (64 ビッ ト )、 英語版/日本語版

Linux サポート

• Red Hat Enterprise Workstation 5.8 - 5.10 (32 ビッ トおよび 64 ビッ ト )

• Red Hat Enterprise Workstation 6.4 - 6.5 (32 ビッ トおよび 64 ビッ ト )

• SUSE Linux Enterprise 11 (32 ビッ トおよび 64 ビッ ト )

• Cent OS 6.4 および 6.5 (64 ビッ ト )

アーキテクチャ次の表は、 Vivado® Design Suite WebPACK™ ツールとその他の Vivado Design Suite エディシ ョ ンのコマーシャル製品のアーキテクチャ サポート を リ ス ト しています。 コマーシャル製品以外 :

• ザイ リ ンクス オートモーティブ デバイスはすべて Vivado Design Suite WebPACK ツールでサポート されます。

• ディフェンス グレードのザイ リ ンクス FPGA デバイスは、 同等のコマーシャル パーツ サイズのサポート されるエディシ ョ ンでサポート されます。

表 2‐1 : アーキテクチャ  サポート

Vivado WebPACK ツールVivado Design Suite

(全エディシ ョ ン)

Zynq™ デバイス Zynq-7000 AP SoC デバイス

• XC7Z010、XC7Z015、XC7Z020、XC7Z030Zynq-7000 デバイス

• すべて

Virtex® FPGA Virtex-7 FPGA

• なしVirtex UltraScale FPGA

• なし

Virtex-7 FPGA

• すべてVirtex UltraScale FPGA

• すべて

Send Feedback

Page 14: Vivado Design Suite...Vivado Design Suite 2014 リリース ノート japan.xilinx.com 2 UG973 (v2014.1) 2014 年 4 月 2 日 改訂履歴 次の表に、この文書の改訂履歴を示します。

Vivado Design Suite 2014 リ リース ノート japan.xilinx.com 14UG973 (v2014.1) 2014 年 4 月 2 日

第 2 章 : アーキテクチャ  サポートおよび要件

互換性のあるサードパーティ  ツール

Kintex™ FPGA Kintex-7 FPGA

• XC7K70T、 XC7K160TKintex UltraScale FPGA

• なし

Kintex-7 FPGA

• すべてKintex UltraScale FPGA

• すべて

Artix™ FPGA Artix-7 FPGA

• X C 7 A 3 5 T、 X C 7 A 5 0 T、 X C 7 A 7 5 T、XC7A100T、 XC7A200T

Artix-7 FPGA

• すべて

表 2‐2 :互換性のあるサードパーティ  ツール

サードパーティ  ツール

Red Hat Linux

Red Hat Linux‐64

SUSE LinuxWindows XP 

32 ビッ ト

Windows XP 

64 ビッ ト

Windows‐7 

32 ビッ ト

Windows‐7 

64 ビッ ト

シミ ュレーシ ョ ン

Mentor Graphics Questa SIM SE/DE (10.2a)

○ ○ ○ ○ ○ ○ ○

Mentor Graphics Questa SIM PE (10.2a) 該当なし 該当なし 該当なし ○ ○ ○ ○

Mentor Graphics Questa Advanced Simulator(10.2a)

○ ○ ○ ○ ○ ○ ○

Cadence Incisive Enterprise Simulator (IES) (12.2-016)

○ ○ ○ 該当なし 該当なし 該当なし 該当なし

Synopsys VCS および VCS MX (2013.06-SP1)

○ ○ ○ 該当なし 該当なし 該当なし 該当なし

The MathWorks MATLAB® および Simulink® with Fixed-Point Toolbox (2013a、 2013b)

○ ○ ○ ○ ○ ○ ○

Aldec Active-HDL (9.2sp1)a 該当なし 該当なし 該当なし ○ ○ ○ ○

Aldec Riviera-PRO (2014.02) ○ ○ ○ ○ ○ ○ ○

合成b

Synopsys Synplify/Synplify Pro (H-2013.03)c

○ ○ ○ ○ ○ ○ ○

表 2‐1 : アーキテクチャ  サポート

Vivado WebPACK ツールVivado Design Suite

(全エディシ ョ ン)

Send Feedback

Page 15: Vivado Design Suite...Vivado Design Suite 2014 リリース ノート japan.xilinx.com 2 UG973 (v2014.1) 2014 年 4 月 2 日 改訂履歴 次の表に、この文書の改訂履歴を示します。

Vivado Design Suite 2014 リ リース ノート japan.xilinx.com 15UG973 (v2014.1) 2014 年 4 月 2 日

第 2 章 : アーキテクチャ  サポートおよび要件

システム要件このセクシ ョ ンでは、 システム メモ リ要件、 ケーブル インス トールおよびその他の要件および推奨事項について説明します。

演習には、 MATLAB 2014b (またはそれ以降) および Vivado Design Suite 2014.1 (またはそれ以降) が必要です。

推奨されるシステム メモリ

Vivado Design Suite ツールの推奨メモ リについては、 http://japan.xilinx.com/design-tools/vivado/memory.htm を参照してください。

OS と使用可能なメモリ

Microsoft Windows および Linux® OS のアーキテクチャ場合、 ザイ リ ンクスプ ログラムに使用できる メモ リの最大容量に制限があ り、 大規模デバイスおよび複雑なデバイスを作成する際に問題となる こ とがあ り ます。 Vivado DesignSuite には最適化メモ リが含まれており、 ザイ リ ンクス ツール用に RAM を増加できるよ うになっています。

Windows XP Professional 32 ビッ ト

ザイ リ ンクス アプリ ケーシ ョ ンでは、 Windows 32 ビッ ト システムでメモリ増加機能が取り入れられるよ うになっていますが、 ユーザーの方でもよ り大規模なメモ リ を使用できるよ うに Windows 設定を変更する必要があ り ます。

標準の Windows では、ザイ リ ンクスのプロセスに使用できる最大メモ リ容量は 2GB ですが、Windows XP Professionalの場合は、 RAM を 3 GB まで増加できるよ うになっています。 Vivado Design Suite にはこのオプシ ョ ンがビルト インされていますが、 Windows XP OS にも修正を加えないと、 メモ リは拡張できません。 拡張するには boot.ini ファ イルの startup 行の終わりに /3GB を追加する必要があ り ます。

Mentor Graphics Precision RTL/Plus (2012c)

○ ○ ○ ○ ○ ○ ○

等価性チェ ック

Cadence Encounter Conformal (9.1)d ○ ○ ○ 該当なし 該当なし 該当なし 該当なし

OneSpin 360 (2013_12) ○ ○ ○ 該当なし 該当なし 該当なし 該当なし

a. 注記 : Aldec シ ミ ュレータのサポートは Aldec 社よ り提供されています。

b. 注記 : RTL ソースには暗号化されたファイルが含まれる可能性があるので、 ほとんどの Vivado IP は Vivado 合成でのみ合成できます。 これらの IP をサードパーティ合成フローで使用するには、 Vivado ツールから合成済みネット リ ス ト を最適な形式でエクスポート して、 サードパーティ合成プロジェク トで使用できるよ うにします。

c. 注記 : Synplify Overlay またはサービス パッ クの利用については、 Synopsys 社にお問い合わせください。

d. 注記 : Cadence Encounter Conformal は、 Synopsys 社の Synplify を使用した場合にのみ RTL2Gate 用にサポート されます。

表 2‐2 :互換性のあるサードパーティ  ツール

サードパーティ  ツール

Red Hat Linux

Red Hat Linux‐64

SUSE LinuxWindows XP 

32 ビッ ト

Windows XP 

64 ビッ ト

Windows‐7 

32 ビッ ト

Windows‐7 

64 ビッ ト

Send Feedback

Page 16: Vivado Design Suite...Vivado Design Suite 2014 リリース ノート japan.xilinx.com 2 UG973 (v2014.1) 2014 年 4 月 2 日 改訂履歴 次の表に、この文書の改訂履歴を示します。

Vivado Design Suite 2014 リ リース ノート japan.xilinx.com 16UG973 (v2014.1) 2014 年 4 月 2 日

第 2 章 : アーキテクチャ  サポートおよび要件

ザイ リ ンクス アプリ ケーシ ョ ンで 3GB サポート を使用する前に、 マイ クロ ソフ トのサポート技術情報 #328269 を参照してください。 Windows XP サービス パッ ク 1 にアップグレード し、 /3GB オプシ ョ ンを使用する場合、マイ クロ ソフ トからのパッチをインス トールしていないとマシンを再起動できません。詳細については、 アンサー 17905 を参照してください。

変更を加える前に、 次を参照してください。

• 4GT RAM チューニン グのアプ リ ケーシ ョ ン使用については、 Microsoft Bulletin Q17193 を参照してください。

• boot.ini フ ァ イルの修正方法については、 Microsoft Bulletin Q289022 を参照してください。

Linux

32 ビッ トの Red Hat Enterprise Linux では、 大規模メモ リのカーネルを使用して各プロセスに 4GB 割り当てるこ とができます。 詳細については、 Red Hat のサポート サイ ト (http://www.redhat.com/docs/manuals/enterprise/) を参照して ください。

ケーブル インストール要件

ザイ リ ンクス デザイン ツールでターゲッ ト ハードウェアをプログラムおよびコンフ ィギュレーシ ョ ンするには、 ハイ パフォーマンスなケーブル、 Platform Cable USB II が必要です。

注記 :デバッグまたはプログラムに使用されていた Xilinx Parallel Cable IV は、 サポート されなくなっています。

Platform Cable USB II をインス トールする場合は、 少なく と も USB 1.1 ポートが必要にな り ます。 最適なパフォーマンスには、 USB 2.0 ポートで Platform Cable USB II を使用するこ とをお勧めします。

ケーブルは、 Windows XP Professional、 Windows 7、 Redhat Linux Enterprise、 SUSE Linux Enterprise 11 の 32 ビッ トおよび 64 ビッ ト バージ ョ ンで公式にサポート されています。 その他の注意点は、 次を参照してください。

• ルート ディレク ト リへの権限が必要です。

• SUSE Linux Enterprise 11 : Platform Cable USB II が正し く動作するためには、fxload ソフ ト ウェアパ ッ ケージが必要です。 fxload パッケージは SUSE Linux Enterprise 11 には自動的にインス トールされないので、 そのユーザーまたはシステム管理者がインス トールしておく必要があ り ます。

• Linux LibUSB サポート : LibUSB パッケージに基づく Platform Cable USB II のサポートは、 ザイ リ ンクスの Webサイ トから入手できます。 詳細は、 アンサー 29310 を参照してください。

ザイ リ ンクス ケーブルに関するその他の情報は、 次のマニュアルを参照してください。

• 『USB ケーブル インス トール ガイ ド』 (UG344) [参照 7]

• 『Platform Cable USB II データシート 』 (DS593) [参照 8]

• 『Parallel Cable IV データシート 』 (DS097) [参照 9]

装置とアクセス権

次の表は、 関連装置、 アクセス権、 ネッ ト ワーク接続の条件を示しています。

表 2‐3 :装置とアクセス権の要件 

項目 要件

ディ レク ト リのアクセス権 編集するデザインフ ァ イルが含まれるディ レ ク ト リすべての書き込み権が必要です。

モニター 解像度 1024x768 ピクセル以上の 16 ビッ ト カ ラー VGA をお勧めします。

Send Feedback

Page 17: Vivado Design Suite...Vivado Design Suite 2014 リリース ノート japan.xilinx.com 2 UG973 (v2014.1) 2014 年 4 月 2 日 改訂履歴 次の表に、この文書の改訂履歴を示します。

Vivado Design Suite 2014 リ リース ノート japan.xilinx.com 17UG973 (v2014.1) 2014 年 4 月 2 日

第 2 章 : アーキテクチャ  サポートおよび要件

注記 : Exceed、 ReflectionX、 XWin32 のよ うな X サーバー / リモート デスク ト ップ サーバーはサポート されません。

ネッ トワーク時刻の同期化

ソフ ト ウェアがインス トールされたコンピュータ とは別のネッ ト ワーク コンピュータにユーザーのデザイン ファ イルを保存する場合、 どちらのコンピュータも同時刻に設定する必要があ り ます。 ソフ ト ウェアのファンクシ ョ ンを最適にするため、 時刻は定期的に合わせてください。

ド ライブ Vivado Design Suite には DVD-ROMが必要です (Web からのダウンロードではな く、DVD を請求してインス トールする場合)。

ポート デバイスのプログラムには、 ザイ リ ンクス プログラム ケーブル用にパラレル ポート、USB ポートのいずれかが必要です。ポートの仕様については、ケーブルのマニュアルを参照してください。

注記 : ケーブル ド ラ イバ ソフ ト ウェアのインス トールには、Windows XP Pro SP1 (またはそれ以降) または Windows-7 が必要です。 これらの OS を使用していない場合、ケーブルが正し く動作しないこ とがあ り ます。

項目 要件

Send Feedback

Page 18: Vivado Design Suite...Vivado Design Suite 2014 リリース ノート japan.xilinx.com 2 UG973 (v2014.1) 2014 年 4 月 2 日 改訂履歴 次の表に、この文書の改訂履歴を示します。

Vivado Design Suite 2014 リ リース ノート japan.xilinx.com 18UG973 (v2014.1) 2014 年 4 月 2 日

第 3章

ダウンロードおよびインストールこの章では、 Vivado® Integrated Design Environment (IDE)、 Vivado HLS および System Generator for DSP などを含むVivado Design Suite のダウンロードおよびインス トール方法について説明します。

Vivado Design Suite ツールのダウンロード2014.1 から、 ザイ リ ンクス デザイン ツール ユーザーは、 必要なツールおよびデバイスのみをカスタマイズして、 ダウンロードおよびインス トールできるよ うにな り ました。 ザイ リ ンクス ウェブサイ トからは容量が軽いインス トーラー (50MB 未満) をダウンロードできるよ うになっており、 ウェブサイ トの指示に従う こ とで、 カスタマイズ、 ダウンロード、 インス トール プロセスが簡単に実行できるよ うになっています。 ダウンロード容量が削減されたこ とで、ダウンロード と インス トール プロセスにかかっていた時間がかなり速くな り ました。

これまでと同様、 フル バージ ョ ンの単一ファイルのダウンロード も可能です。

どちらのダウンロード オプシ ョ ンもザイ リ ンクス ウェブサイ ト http://japan.xilinx.com/support/download/index.htm から入手できます。

ザイ リ ンク ス ダウンロード センターのファ イルはほとんどが Akamai ダウンロード マネージャを使用してダウンロード されます。 ダウンロード前に、 次を設定しておいてください。

• http://xilinx.entitlenow.com からのポップアップを許可する

• 保護項目もそ うでない項目も同じページに表示されるよ うにセキュ リ ティ設定をする

• Java をインス トールして Akamai マネージャを実行できるよ うにする

Send Feedback

Page 19: Vivado Design Suite...Vivado Design Suite 2014 リリース ノート japan.xilinx.com 2 UG973 (v2014.1) 2014 年 4 月 2 日 改訂履歴 次の表に、この文書の改訂履歴を示します。

Vivado Design Suite 2014 リ リース ノート japan.xilinx.com 19UG973 (v2014.1) 2014 年 4 月 2 日

第 3 章 : ダウンロードおよびインストール

ザイ リ ンクス デザイン ツールをダウンロードするには、 次の手順に従ってください。

1. ダウンロード サイ トで該当するデザイン ツールのタブをク リ ッ ク します。

2. 左側からダウンロードするツールのバージ ョ ンをク リ ッ ク します。

3. ダウンロードするインス トーラーのリ ンクをク リ ッ ク します。

注記 : 32 ビッ ト インス トーラーは、 32 ビッ トのオペレーティング システムでのみ動作し、 64 ビッ ト マシンではサポート されません。

Vivado Design Suite ツールのインストールこのセクシ ョ ンでは、 すべての OS に共通する Vivado Design Suite のインス トール方法を示します。

インストールの準備

重要 : インス トールを開始する前に、 次を実行しておきます。

• システムまたは製品オプシ ョ ンに伴う インス トールの問題については、 第 1 章の 「重要情報」 セクシ ョ ンを参照してください。

• システムが第 2 章 「アーキテクチャ サポートおよび要件」 に示されている必要条件を満たしているかど うか確認します。

• インス トール時間を削減するため、 アンチウイルス ソフ ト ウェアをオフにします。

• デザイン ツールをインス トールするシステムに適切な権限があるこ とを確認します。 プログラム ケーブル デバイス ド ラ イバなどのコンポーネン トには、 管理者権限の必要なものもあ り ます。

• インス トールを開始する前に、 すべてのプログラムを終了します。

• Vivado Design Suite のインス トーラーは、 Windows では XILINX のよ うなグローバル環境変数を設定しません。

• ネッ ト ワークの 64 ビッ ト マシンに 32 ビッ ト マシンから xsetup.exe を実行する と、 32 ビッ トの EXE ファ イルが 64 ビッ ト マシンにインス トールされます。

X-Ref Target - Figure 3-1

図 3‐1 : ダウンロード サイ ト

Send Feedback

Page 20: Vivado Design Suite...Vivado Design Suite 2014 リリース ノート japan.xilinx.com 2 UG973 (v2014.1) 2014 年 4 月 2 日 改訂履歴 次の表に、この文書の改訂履歴を示します。

Vivado Design Suite 2014 リ リース ノート japan.xilinx.com 20UG973 (v2014.1) 2014 年 4 月 2 日

第 3 章 : ダウンロードおよびインストール

フル製品ダウンロードおよび DVD

フル製品をインス トールするには、 ダウンロード したファ イルを解凍して、 xsetup (Linux の場合) または xsetup.exe(Windows の場合) を実行します。 DVD を受け取った場合は、 xsetup(.exe) を直接起動します。

推奨 : ダウンロード した tar.gz ファ イルを解凍するには、 7-zip または WinZip (v.15.0 以降) の使用をお勧めします。

軽量インストーラーのダウンロード

軽量インス トーラーのリ ンクをク リ ッ ク し、ザイ リ ンクスのアカウン トにサインインして、 アカウン ト情報の変更が必要な場合は変更し、 [次へ] をク リ ッ クする と、 次のメ ッセージが表示されます。 [実行] をク リ ッ ク してインス トーラーを実行します (または、 [保存] をク リ ッ ク してファ イルを任意のディ レク ト リに保存してから、 実行します)。

X-Ref Target - Figure 3-2

図 3‐2 : フ ァ イルのダウンロード

Send Feedback

Page 21: Vivado Design Suite...Vivado Design Suite 2014 リリース ノート japan.xilinx.com 2 UG973 (v2014.1) 2014 年 4 月 2 日 改訂履歴 次の表に、この文書の改訂履歴を示します。

Vivado Design Suite 2014 リ リース ノート japan.xilinx.com 21UG973 (v2014.1) 2014 年 4 月 2 日

第 3 章 : ダウンロードおよびインストール

最初の Welcome ページで [Next] をク リ ッ ク します。

ログインするか、 前にダウンロード したインス トール アーカイブを指定するか尋ねられます。 通常のザイ リ ンクスログイン ID でログインして、 インス トール プロセスを続行します。

X-Ref Target - Figure 3-3

図 3‐3 : Vivado Design Suite のインス トール - Welcome

Send Feedback

Page 22: Vivado Design Suite...Vivado Design Suite 2014 リリース ノート japan.xilinx.com 2 UG973 (v2014.1) 2014 年 4 月 2 日 改訂履歴 次の表に、この文書の改訂履歴を示します。

Vivado Design Suite 2014 リ リース ノート japan.xilinx.com 22UG973 (v2014.1) 2014 年 4 月 2 日

第 3 章 : ダウンロードおよびインストール

以前のダウンロードのインストール

既に必要なインス トール ファ イルがダウンロード済みの場合は、 [Install from previously downloaded archive] を選択数するこ とで、 ダウンロード時間が節約できます。 ダウンロード済みアーカイブ (または DVD イ メージ) を指定して、インス トール プロセスを続行します。

インターネッ ト接続

インス トーラーは、 Windows のシステム プロキシ設定を使用してインターネッ トに接続します。 接続には、 [コン トロール パネル] → [インターネッ ト オプシ ョ ン] での設定が使用されます。 Linux ユーザーの場合は、 Firefox ブラウザーのプロキシ設定が接続に使用されます。

接続に問題がある場合は、 次を確認してください。

1. 別のプロキシ設定を使用する場合は、 [Manual Proxy Configuration] で設定を指定します。

X-Ref Target - Figure 3-4

図 3‐4 : Vivado Design Suite のインス トール - インス トール ソースの選択

X-Ref Target - Figure 3-5

図 3‐5 : Vivado Design Suite のインス トール - 接続

Send Feedback

Page 23: Vivado Design Suite...Vivado Design Suite 2014 リリース ノート japan.xilinx.com 2 UG973 (v2014.1) 2014 年 4 月 2 日 改訂履歴 次の表に、この文書の改訂履歴を示します。

Vivado Design Suite 2014 リ リース ノート japan.xilinx.com 23UG973 (v2014.1) 2014 年 4 月 2 日

第 3 章 : ダウンロードおよびインストール

2. 会社のファ イアウォールにユーザー名とパスワードを使用したプロキシ認証が必要かど うかを確認して ください。 必要であれば、 上記のダイアログ ボッ クスで [Manual Proxy Configuration] で設定します。

3. Linux ユーザーの場合は、 Firefox ブラウザで [Use system proxy settings] または [Auto-detect proxy settings for thisnetwork] を選択した場合は、 インス トーラーでプロキシを手動で設定する必要があ り ます。

X-Ref Target - Figure 3-6

図 3‐6 : Vivado Design Suite のインス トールー プロキシ設定の変更

Send Feedback

Page 24: Vivado Design Suite...Vivado Design Suite 2014 リリース ノート japan.xilinx.com 2 UG973 (v2014.1) 2014 年 4 月 2 日 改訂履歴 次の表に、この文書の改訂履歴を示します。

Vivado Design Suite 2014 リ リース ノート japan.xilinx.com 24UG973 (v2014.1) 2014 年 4 月 2 日

第 3 章 : ダウンロードおよびインストール

使用許諾契約

インス トールを続行する前に、 使用許諾契約をお読みください。 契約条件を許諾できない場合は、 インス トールをキャンセルしてザイ リ ンクスまでお問い合わせください。

X-Ref Target - Figure 3-7

図 3‐7 : Vivado Design Suite のインス トール -

Send Feedback

Page 25: Vivado Design Suite...Vivado Design Suite 2014 リリース ノート japan.xilinx.com 2 UG973 (v2014.1) 2014 年 4 月 2 日 改訂履歴 次の表に、この文書の改訂履歴を示します。

Vivado Design Suite 2014 リ リース ノート japan.xilinx.com 25UG973 (v2014.1) 2014 年 4 月 2 日

第 3 章 : ダウンロードおよびインストール

エディシ ョ ンの選択

必要なエディシ ョ ンまたはスタンドアロン ツールを選択します。 オプシ ョ ンで Vivado のエディシ ョ ン (WebPACK、System、 Design) の一部と してソフ ト ウェア開発キッ ト (SDK) をインス トールできます。

Vivado の WebPACK および Design エディシ ョ ンは、インス トール後に System エディシ ョ ンにアップグレードすること もできます。 詳細は、 「その他のツールおよびデバイスの追加」 を参照してください。

X-Ref Target - Figure 3-8

図 3‐8 : Vivado Design Suite のインス トール - 製品の選択

Send Feedback

Page 26: Vivado Design Suite...Vivado Design Suite 2014 リリース ノート japan.xilinx.com 2 UG973 (v2014.1) 2014 年 4 月 2 日 改訂履歴 次の表に、この文書の改訂履歴を示します。

Vivado Design Suite 2014 リ リース ノート japan.xilinx.com 26UG973 (v2014.1) 2014 年 4 月 2 日

第 3 章 : ダウンロードおよびインストール

ツール、 デバイス、 オプシ ョ ン

デザイン ツール、デバイス ファ ミ リおよびインス トール オプシ ョ ンを選択してインス トールをカスタマイズします。必要なものだけを選択するこ とで、製品のダウンロードおよびインス トールにかかる時間を削減できます。追加するには、OS の [スタート ] メニューか Vivado の [Help] メニューのいずれかで [Add Design Tools or Devices] をク リ ッ ク します。

X-Ref Target - Figure 3-9

図 3‐9 : Vivado Design Suite のインス トール ー Vivado System Edition

Send Feedback

Page 27: Vivado Design Suite...Vivado Design Suite 2014 リリース ノート japan.xilinx.com 2 UG973 (v2014.1) 2014 年 4 月 2 日 改訂履歴 次の表に、この文書の改訂履歴を示します。

Vivado Design Suite 2014 リ リース ノート japan.xilinx.com 27UG973 (v2014.1) 2014 年 4 月 2 日

第 3 章 : ダウンロードおよびインストール

シ ョート カッ トおよびファイルの関連付け

プログラム グループ入力 ([スタート ] メニュー ) とデスク ト ップ シ ョート カッ トの作成はカスタマイズできます。 オプシ ョ ンで、 この Vivado バージ ョ ンを使用して Vivado プロジェク ト ファ イルを開始するためのファイルの関連付けを作成するこ と もできます。 シ ョート カッ ト作成およびファイルの関連付けオプシ ョ ンは、現在のユーザーまたはすべてのユーザーに適用できます。

X-Ref Target - Figure 3-10

図 3‐10 : Vivado Design Suite のインス トール - シ ョート カ ッ ト と フ ァ イル関連付け

Send Feedback

Page 28: Vivado Design Suite...Vivado Design Suite 2014 リリース ノート japan.xilinx.com 2 UG973 (v2014.1) 2014 年 4 月 2 日 改訂履歴 次の表に、この文書の改訂履歴を示します。

Vivado Design Suite 2014 リ リース ノート japan.xilinx.com 28UG973 (v2014.1) 2014 年 4 月 2 日

第 3 章 : ダウンロードおよびインストール

インス トール サマリの確認

最後にインス トール サマリ を確認して、 [Install] をク リ ッ ク します。

残りのインストール プロセス

次が表示されたら、 ザイ リ ンクス プラ ッ ト フォーム ケーブルまたは評価版プラ ッ ト フォーム JTAG が接続されている場合は、 はずして、 [OK] をク リ ッ ク します。

X-Ref Target - Figure 3-11

図 3‐11 : Vivado Design Suite のインス トール - サマリ

X-Ref Target - Figure 3-12

図 3‐12 : ケーブル ド ライバー インス トーラー

Send Feedback

Page 29: Vivado Design Suite...Vivado Design Suite 2014 リリース ノート japan.xilinx.com 2 UG973 (v2014.1) 2014 年 4 月 2 日 改訂履歴 次の表に、この文書の改訂履歴を示します。

Vivado Design Suite 2014 リ リース ノート japan.xilinx.com 29UG973 (v2014.1) 2014 年 4 月 2 日

第 3 章 : ダウンロードおよびインストール

WinPcap のインス トールが必要な場合は、インス トーラーが起動されます。 [Next] をク リ ッ ク していって、インス トールをしてください。

MATLAB が認識されなかった場合は次が表示されるので、MATLAB を使用する場合は [Find MATLAB] をク リ ッ ク してインス トール ディレク ト リ を指定します。 使用しない場合は、 [OK] をク リ ッ ク します。

次が表示されたら、 インス トールは終了です。

この後、 Vivado License Manager が起動されます。 詳細は、 次の章を参照してください。

X-Ref Target - Figure 3-13

図 3‐13 : WinPcap インス トーラー

X-Ref Target - Figure 3-14

図 3‐14 : MATLAB インス トール ディ レク ト リの選択

X-Ref Target - Figure 3-15

図 3‐15 : インス トール終了メ ッセージ

Send Feedback

Page 30: Vivado Design Suite...Vivado Design Suite 2014 リリース ノート japan.xilinx.com 2 UG973 (v2014.1) 2014 年 4 月 2 日 改訂履歴 次の表に、この文書の改訂履歴を示します。

Vivado Design Suite 2014 リ リース ノート japan.xilinx.com 30UG973 (v2014.1) 2014 年 4 月 2 日

第 3 章 : ダウンロードおよびインストール

その他のツールおよびデバイスの追加2014.1 からはツールやデバイスを Vivado のエディシ ョ ンをインス トールした後からでも追加できるよ うにな り ました。 これは、 デバイスおよびツールの一部だけをインス トールするよ うに選択した場合に便利です。

新しいツールまたはデバイスを追加するには、 次をク リ ッ ク します。

• [スタート ] → [Xilinx Design Tools] →> [Vivado <version>] → [Add Design Tools or Devices]

• Vivado で [Help] → [Add Design Tools or Devices]

Vivado の WebPACK または Design エディシ ョ ンをインス トールした場合は、 エディシ ョ ンをアップグレードするオプシ ョ ンも選択できます。

上記の選択に基づいて、 現在のインス トールに追加可能なツールおよびデバイスすべてが表示されます。

X-Ref Target - Figure 3-16

図 3‐16 : Vivado Design Suite のインス トール - エディ シ ョ ンの選択

Send Feedback

Page 31: Vivado Design Suite...Vivado Design Suite 2014 リリース ノート japan.xilinx.com 2 UG973 (v2014.1) 2014 年 4 月 2 日 改訂履歴 次の表に、この文書の改訂履歴を示します。

Vivado Design Suite 2014 リ リース ノート japan.xilinx.com 31UG973 (v2014.1) 2014 年 4 月 2 日

第 3 章 : ダウンロードおよびインストール

ネッ トワーク  インストールネッ ト ワーク上にソフ ト ウェアをインス トールする と、そのネッ ト ワーク ド ラ イブのソフ ト ウェアに複数のク ライアン ト マシンからアクセスできます。 ネッ ト ワーク上のソフ ト ウェアを使用する場合、環境変数、 レジス ト リ、 プログラム グループなどすべてにネッ ト ワークのディ レク ト リが指定されている必要があ り ます。 次のセクシ ョ ンでは、ネッ ト ワークを設定する手順について説明します。

Linux クライアン ト

ソフ ト ウ ェアがインス ト ールされたディ レ ク ト リ の settings32.(c)sh または settings64.(c)sh (使用しているシェルによって異なる ) を source コマンドで実行します。 これによ り、 環境がこのインス トール ディ レク ト リ を指定するよ うに設定されます。

ネッ ト ワーク上のインス トール ディレク ト リからデザイン ツールを実行するには、 X Windows Display Manager を起動し、 DISPLAY 環境変数を設定する必要があ り ます。 ディ スプレイの名前に DISPLAY と定義します。 DISPLAY は通常 unix:0.0 にします。 たとえば、 次の構文は bigben とい う ホス ト上のツールを実行し、 mynode とい う ク ライアント マシンのモニターにグラフ ィ ッ クを表示します。

X-Ref Target - Figure 3-17

図 3‐17 : Vivado Design Suite のインス トール - 追加内容

Send Feedback

Page 32: Vivado Design Suite...Vivado Design Suite 2014 リリース ノート japan.xilinx.com 2 UG973 (v2014.1) 2014 年 4 月 2 日 改訂履歴 次の表に、この文書の改訂履歴を示します。

Vivado Design Suite 2014 リ リース ノート japan.xilinx.com 32UG973 (v2014.1) 2014 年 4 月 2 日

第 3 章 : ダウンロードおよびインストール

setenv DISPLAY mynode:0.0 xhost = bigbenPC Clients

Microsoft Windows クライアン ト

1. デザイン ツールを PC ネッ ト ワーク サーバーにインス トールします。 この際、 ユーザーがデザイン ツールのインス トール ディレク ト リの場所を知っているこ と、またユーザーにそのディ レク ト リへのアクセス権があるこ とを確認してください。

2. ローカル ク ライアン ト マシンから、ネッ ト ワーク上の network_install_location\.xinstall\Vivado_<version> ディ レクト リにある networkShortcutSetup.exe ファ イルを実行します。

3. このプログラムを実行する と、 Windows 設定のバッチ ファ イルとプログラム グループまたはデスク ト ップシ ョート カッ トが設定され、 リモート ディレク ト リからザイ リ ンクス ツールが実行されます。

4. ローカル マシンから Vivado Design Suite ツールを起動するには、[スタート ] → [プログラム] から該当するツールを選択するか、 デスク ト ップのシ ョート カッ ト をダブルク リ ッ ク します。

割り当て済みネッ トワーク  ド ライブへのインストール

ザイ リ ンクス デザイン ツールは、ルート ディレク ト リの下のフォルダ (C: \Xilinx) にインス トールされるよ うに設定されています。ローカル ド ラ イブにインス トールする場合は、通常インス トーラーでこのディ レク ト リになっています。

この問題を回避するには、 UNC パス (たとえば \\network_loc\Xilinx\) を指定するか、 ネッ ト ワーク ディ レク ト リの下にインス トール ディレク ト リ \Xilinx を作成します (例 : N:\Xilinx)。

Windows 7 のデフォルトのセキュ リ テ ィ レベルのままでは、 割り当てたネッ ト ワーク ド ラ イブを選択するこ とができません。 ザイ リ ンクス デザイン ツールを割り当てたネッ ト ワーク ド ラ イブにインス トールするには、 次の手順でアカウン ト制御設定を変更する必要があ り ます。

1. Windows の [スタート ] メニューからコン ト ロール パネルを開き、 [ユーザー アカウン ト ] をク リ ッ ク します。 コン ト ロール パネルがカテゴ リ表示になっている場合は、 [ユーザー アカウン ト ] を 2 画面続けてク リ ッ クする必要があ り ます。

2. [ユーザー アカウン ト制御設定の変更] をク リ ッ ク し、 変更できるよ うにします。

3. スライダーの位置を次の図のよ うに下から 2 つ目に移動します。 [OK] をク リ ッ ク します。

Send Feedback

Page 33: Vivado Design Suite...Vivado Design Suite 2014 リリース ノート japan.xilinx.com 2 UG973 (v2014.1) 2014 年 4 月 2 日 改訂履歴 次の表に、この文書の改訂履歴を示します。

Vivado Design Suite 2014 リ リース ノート japan.xilinx.com 33UG973 (v2014.1) 2014 年 4 月 2 日

第 3 章 : ダウンロードおよびインストール

推奨 : インス トール後は、 同様の方法でユーザー アカウン ト の設定を設定前の状態に戻しておく こ とをお勧めします。

注記 :ザイ リ ンクス インス トーラーを使用してネッ ト ワーク ド ラ イブを指定するこ とはできません。この場合、割り当てられたネッ ト ワーク ド ラ イブを含むインス トール パスを手動で入力する必要があ り ます。

アップデートの取得ザイ リ ンクスでは、 四半期ベースで Vivado Design Suite ツールのアップデート バージ ョ ンを リ リースする予定です。アップデートには、 デバイス サポートのアップデート、 新機能、 バグ修正などが含まれます。 次のセクシ ョ ンでは、ザイ リ ンクス情報センターから ソフ ト ウェア アップデート を入手する方法について説明します。

Xilinx Information Center

Xilinx Information Center は、 XilinxNotify に代わる次世代の機能で、 タスクバー (Windows の場合) にあ り、 ザイ リ ンクスからの新リ リースおよびアップデートが定期的にチェッ ク されます。通知を表示したり解除したり、 アップデート をインス トールしたりできます。

X-Ref Target - Figure 3-18

図 3‐18 : Vivado Design Suite のインス トールー ユーザー アカウン ト制御設定

Send Feedback

Page 34: Vivado Design Suite...Vivado Design Suite 2014 リリース ノート japan.xilinx.com 2 UG973 (v2014.1) 2014 年 4 月 2 日 改訂履歴 次の表に、この文書の改訂履歴を示します。

Vivado Design Suite 2014 リ リース ノート japan.xilinx.com 34UG973 (v2014.1) 2014 年 4 月 2 日

第 3 章 : ダウンロードおよびインストール

Vivado Design Suite ツールのアンインストールアンインス トールする場合、 ザイ リ ンクス インス トール ディ レク ト リからプロジェク ト ファ イルを移動しておかないと、 削除されてしまいます。

注記 : Xilinx Documentation Navigator は、アンインス トール中に削除されません。 これは、複数バージ ョ ンのザイ リ ンクス ツールで共通のスタンドアロン アプリ ケーシ ョ ンです。 必要でなければ、 別途アンインス トールする必要があり ます。

アンインス トール

アンインス トールする場合、 ザイ リ ンクス インス トール ディ レク ト リからプロジェク ト ファ イルを移動しておかないと、 削除されてしまいます。 Documentation Navigator および Xilinx Information Center のアンインス トールに関する情報については、 次を参照してください。

Documentation Navigator のアンインストール

Xilinx Documentation Navigator は、 アンインス トール中に削除されません。 これは、 複数バージ ョ ンのザイ リ ンクスツールで共通のスタンドアロン アプ リ ケーシ ョ ンです。 必要な くなった場合は、 [スタート ] メニューのプログラムグループの [Uninstall DocNav]、 またはコン ト ロール パネルの [プログラムと機能] から別にアンインス トールする必要があ り ます。

Xilinx Information Center のアンインストール

Xilinx Information Center は、 アンインス トール中に削除されません。 これは、 複数バージ ョ ンのザイ リ ンクス ツールで共通のスタンドアロン アプリ ケーシ ョ ンです。必要なくなった場合は、 コン ト ロール パネルの [プログラムと機能] から別にアンインス トールする必要があ り ます。

Microsoft Windows でのアンインストール

Vivado Design Suite ツール製品をアンインス トールするには、 [スタート ] メニュー → [Xilinx Design Tools] → [Vivado2014.1] → [Accessories] → [Uninstall Vivado 2014.1] をク リ ッ ク します。

Linux でのアンインストール 

ザイ リ ンクス製品をアンインス トールするには、 [スタート ] メニューからその製品の [Uninstall] メニューをク リ ッ クします。 たとえば、 Vivado Design Suite をアンインス トールするには、 [Start] → [All Programs] → [Xilinx Design Tools]→ [Vivado 2014.1] → [Uninstall] をク リ ッ ク します。

プログラム グループに入力がない場合は、 次のコマン ド ラ イン オプシ ョ ンを使用してアンインス トールします。<install_path>\.xinstall\Vivado_2014.1\ xsetup.exe –Uninstall

[Uninstall] から該当する入力を使用するか、 プログラムのコン ト ロール パネル オプシ ョ ンを変更してもアンインストールできます (Windows の場合)。

Send Feedback

Page 35: Vivado Design Suite...Vivado Design Suite 2014 リリース ノート japan.xilinx.com 2 UG973 (v2014.1) 2014 年 4 月 2 日 改訂履歴 次の表に、この文書の改訂履歴を示します。

Vivado Design Suite 2014 リ リース ノート japan.xilinx.com 35UG973 (v2014.1) 2014 年 4 月 2 日

第 4章

WebTalk

WebTalk 機能を使用する と、ザイ リ ンクス FPGA デバイス、ソフ ト ウェアおよび IP の使用に関する統計をザイ リ ンクスに送信できます。 WebTalk で収集および送信された情報は、 カスタマーにとって重要な機能を向上するこ とに焦点を当ててザイ リ ンクスが開発活動を行い、カスタマーの現在および将来のニーズによ り迅速に対応できるよ う活用させていただきます。 WebTalk をオンにする と、 お客様の Vivado® Design Suite の使用に関する情報がザイ リ ンクスへ提供されます。

WebTalk への参加次の場合を除いて Webtalk への参加は任意と します。

• WebPack™ ライセンスを使用している。

• プレ リ リースのソフ ト ウェアまたはデバイスを使用している。

このよ うな場合、 プリ ファレンス設定に関わらず、 WebTalk によるデータ収集および送信は常に実行されます。 それ以外の場合は、 WebTalk をオフにする とデータは送信されません。

次の表は、 ザイ リ ンクス ラ イセンス、 WebTalk インス トール プ リ ファレンス、 およびユーザーのプ リ ファレンス設定に基づいて、 ザイ リ ンクスへ配線後のデザインからのデータがどのよ うに送信されるかについてまとめています。

注記 :デバイスが WebPack の場合、 ツールではまず最初に WebPack ラ イセンスが検索されます。

WebTalk インストールのプリファレンス設定WebTalk は、次のよ うに、 インス トール中またはインス トール後にグローバルにオン/オフにできます。 インス トール中は、[Enable WebTalk to send software, IP and device usage statistics to Xilinx (Always enabled for WebPACK license)] チェック ボッ クスで WebTalk インス トール オプシ ョ ンをオン/オフにできます。

表 4‐1 : ビッ トス ト リーム生成または配線デザイン  フローでの WebTalk の動作

アーリー アクセス サポート

ライセンスWebTalk インストール プリファレンスがオン

WebTalk ユーザー プリファレンスが

オン

ザイリンクへの WebTalk データの送信

○ 該当なし 該当なし 該当なし ○

X WebPACK™ 該当なし 該当なし ○

X Edition ライセンス X 該当なし X

X Edition ライセンス ○ X X

X Edition ライセンス ○ ○ ○

Send Feedback

Page 36: Vivado Design Suite...Vivado Design Suite 2014 リリース ノート japan.xilinx.com 2 UG973 (v2014.1) 2014 年 4 月 2 日 改訂履歴 次の表に、この文書の改訂履歴を示します。

Vivado Design Suite 2014 リ リース ノート japan.xilinx.com 36UG973 (v2014.1) 2014 年 4 月 2 日

第 4 章 : WebTalk

WebTalk インス トール オプシ ョ ンをオンまたはオフにするには、 Tcl コマンド config_webtalk を使用します。

config_webtalk -install on|off

on : WebTalk をオンにします。

off : WebTalk をオフにします。

インス トール設定は、 次のディ レク ト リに保存されます。

• Windows 7 :<install dir>/vivado/data/webtalk/webtalksettings

• Linux : <install dir>/vivado/data/webtalk/webtalksettings

注記 : インス トール ディ レク ト リに書き込むには、 管理者権限が必要です。

WebTalk ユーザー プリファレンスの設定WebTalk ユーザー オプシ ョ ンは、[Tools] → [Options] → [General] をク リ ッ クする と表示される次の画面でオン/オフにできます。

X-Ref Target - Figure 4-1

図 4‐1 : WebTalk のインストール オプシ ョ ン

Send Feedback

Page 37: Vivado Design Suite...Vivado Design Suite 2014 リリース ノート japan.xilinx.com 2 UG973 (v2014.1) 2014 年 4 月 2 日 改訂履歴 次の表に、この文書の改訂履歴を示します。

Vivado Design Suite 2014 リ リース ノート japan.xilinx.com 37UG973 (v2014.1) 2014 年 4 月 2 日

第 4 章 : WebTalk

インス トール後には、 Tcl コマンド config_webtalk を使用する と WebTalk をオンまたはオフにできます。

config_webtalk -user on|off

on : 現在のユーザーの WebTalk をオンにします。

off : 現在のユーザーの WebTalk をオフにします。

ユーザー設定は、 次のディ レク ト リに保存されます。

• Windows 7 :

%APPDATA%\Xilinx\Common\<version>\webtalk

説明 :

%APPDATA% は次のとおりです。

C:\Users\<user>\AppData\Roaming

• Linux :

%APPDATA%/.Xilinx/Common/<version>/webtalk

説明 :

X-Ref Target - Figure 4-2

図 4‐2 : WebTalk ユーザー プリファレンス

Send Feedback

Page 38: Vivado Design Suite...Vivado Design Suite 2014 リリース ノート japan.xilinx.com 2 UG973 (v2014.1) 2014 年 4 月 2 日 改訂履歴 次の表に、この文書の改訂履歴を示します。

Vivado Design Suite 2014 リ リース ノート japan.xilinx.com 38UG973 (v2014.1) 2014 年 4 月 2 日

第 4 章 : WebTalk

%APPDATA% は次のとおりです。

/home/<user>

WebTalk インストールおよびユーザー プリファレンスの確認Tcl コマンド config_webtalk を使用して、 WebTalk の設定を確認するこ と も可能です。 コマンド ライン オプシ ョン -info を使用する と、 インス トール設定およびユーザー設定の値がレポート されます。

config_webtalk -info

収集されるデータの種類WebTalk では、 お客様のデザインを リバースエンジニア リ ングするこ とを可能にするネッ ト リ ス トやその他の機密情報は収集されません。 WebTalk では、 次のデータが収集されます。

• ツールのバージ ョ ン

• プラ ッ ト フォーム情報 (OS、 プロセッサの速度および数、 メ イン メモ リ など)

• プロジェク ト ID

• 承認コード

• 生成日

• ターゲッ ト デバイスおよびファ ミ リ情報

収集されるデータの種類の詳細は、 ザイ リ ンクス ウェブサイ トの Xilinx Design Tools WebTalk[参照 11] を参照して ください。 デザインで収集された WebTalk データを確認する場合は、 プロジェ ク ト ディ レ ク ト リ に含まれているusage_statistics_webtalk.xml ファ イルを開きます。 usage_statistics_webtalk.xml ファイルでは、ザイ リ ンクスに送信されたデータも簡単に確認できます。

データの送信WebTalk は、 ビッ ト ス ト リーム生成またはデザイン配線後に起動されます。 WebTalk はビッ ト ス ト リーム後または配線デザインのコンパイル後に起動されます。収集されたデータは usage_statistics_webtalk.xml ファ イルにまとめられ、 HTTPS (Hypertext Transfer Protocol Secure) ポス ト を介してザイ リ ンクスに送信されます。 デザインをコンパイルするたびに、前の usage_statistics_webtalk.xml ファ イルの内容が上書きされます。 また、 WebTalk では同等の HTML ファ イル usage_statistics_webtalk.html が書き出されるので、 ザイ リ ンクスに転送されたデータを確認する と きに便利です。 vivado.log (または runme.log) ファ イルが記述され、 ザイ リ ンクスへのファイル送信が正し く完了したかど うかの情報も確認できます。

Send Feedback

Page 39: Vivado Design Suite...Vivado Design Suite 2014 リリース ノート japan.xilinx.com 2 UG973 (v2014.1) 2014 年 4 月 2 日 改訂履歴 次の表に、この文書の改訂履歴を示します。

Vivado Design Suite 2014 リ リース ノート japan.xilinx.com 39UG973 (v2014.1) 2014 年 4 月 2 日

第 5章

ライセンスの取得および管理ザイ リ ンクスの製品ライセンス (Product Licensing) サイ トからは、ザイ リ ンクス ソフ ト ウェアおよび IP 製品のダウンロード、ライセンス、評価などのオンライン サービスを利用できます。この章では、製品ライセンス サイ トの FLEXnetライセンス生成機能ついて説明しています。

ライセンス概要

2 種類の製品ライセンス

ザイ リ ンクス デザイン ツールでは、 次の 2 種類のザイ リ ンクス エンド ユーザー 使用許諾契約が提供されています。

• 証明書ベースのライセンス : これは、 ISE® 11.1 リ リースの ISE Design Suite から導入されたライセンス許諾方法です。証明書とは、ザイ リ ンクス製品ライセンス サイ トから発行されるライセンス ファ イル (.lic) のこ とで、ユーザーの入力したホス ト ID (個別マシンを認識) に基づいて、 指定されたサーバーまたはライセンス ドングルにそれぞれ対応します。Vivado ツールではランタイム中に有効なライセンス機能をチェッ クするためにこのライセンス証明書にアクセスする必要があるので、 このファイルはマシン上のライセンス検索パスに保存しておく必要があ り ます。

• アクティベーシ ョ ン ライセンス : 権限のある各マシンごとにファイルは必要なく、ク ライアン ト またはサーバーのハード ド ラ イブの信頼されるディ レク ト リに許可証明書が保存されます。 この信頼されるス ト レージ ディ レク ト リは、Vivado 2014.1 のインス トールを開始する と自動的にインス トールされ作成されます。Vivado ツールはこの信頼されるス ト レージ ディレク ト リに適切な証明書が含まれていれば、 実行ができるよ うになっています。

証明書ライセンスに関する用語

• ホス ト ID (Host ID) : 証明書ライセンス内の ID で、 この ID を使用してそのコンピューターへのライセンスがまとめられます。 通常の ID は、 ハード ド ラ イブ容量 ID、 イーサネッ ト ポート MAC アドレス、 または USB ドングル ID などです。

• ノード ロ ッ ク ライセンス (Node-Locked License) : 特定マシンに対して製品権利の単一シート分を使用できるようになり ます。

• フローティング ライセンス (Floating License) : ネッ ト ワーク サーバーに保存され、 ライセンスはアプリ ケーシ ョンが起動される とチェッ クアウ ト されます。同時に使用できるユーザーの数は、購入したライセンスの数によって異なり ます。

• ライセンス リ ホス ト (License Rehosting) : マシンのハード ウェア変更、 ハード ウェア ド ラ イブ エラー、 またはライセンスの別のマシンへの移行の際に、 生成済みライセンスのホス ト ID を変更するこ とです。

• ライセンス削除 (License Deletion) : マシンからライセンスを削除し、 権利をザイ リ ンクス製品ライセンス アカウン トに戻すこ とです。

• 廃棄宣誓書 (Affidavit of Destruction) : リ ホス ト または削除されたライセンスのライセンス ファ イル (.lic) を破棄し、 使用しないという こ とをク リ ッ ク して許諾します。

Send Feedback

Page 40: Vivado Design Suite...Vivado Design Suite 2014 リリース ノート japan.xilinx.com 2 UG973 (v2014.1) 2014 年 4 月 2 日 改訂履歴 次の表に、この文書の改訂履歴を示します。

Vivado Design Suite 2014 リ リース ノート japan.xilinx.com 40UG973 (v2014.1) 2014 年 4 月 2 日

第 5 章 : ライセンスの取得および管理

アクテ ィベーシ ョ ン  ライセンスに関する用語

• ク ライアン ト ラ イセンス (Client License) : 特定マシンに対して製品権利の単一シート分を使用できるよ うになります。 これは、 証明書ベースのノード ロ ッ ク ライセンスに該当するアクティベーシ ョ ン ベースのライセンスです。

• サーバー ライセンス (Server License) : 証明書ベースのフローティング ライセンスに該当するアクティベーシ ョン ベースのライセンスです。 ネッ ト ワーク サーバーに保存され、 ラ イセンスはアプリ ケーシ ョ ンが起動されるとチェッ クアウ ト されます。 同時に使用できるユーザーの数は、 購入したライセンスの数によって異なり ます。

• 信頼されるス ト レージ (Trusted Storage) : アクティベーシ ョ ン ライセンスのホス ト情報および権利が保存されるディ レク ト リです。

• リ クエス ト作成 (Request Creation) : アクティベーシ ョ ン ラ イセンスは、 リ クエス ト /フルフ ィルメン ト システムに基づいています。新しいライセンスに対する リ クエス トがまず信頼されるス ト レージに記録される必要があ ります。 これは、 Vivado License Manager の [Obtain a License] 画面で [Connect Now] または [Save Information] ボタンを使用する と、 自動的に実行されます。 リ クエス ト ID が作成され、 それがホス ト情報と共にザイ リ ンクス製品ライセンス サイ トに送信されます。 ライセンスがライセンス サイ トで生成される と、同じ リ クエス ト ID でフルフ ィルメン ト XML ファ イルが作成されます。 Vivado License Manager の [Activate License] ボタンをク リ ッ ク してアクティベーシ ョ ン フルフ ィルメン ト XML ファ イルが信頼されるス ト レージに読み込まれ、フルフ ィルメン トの リ クエス ト ID が信頼されるス ト レージに保存されたものと一致すれば、 アクティベーシ ョ ンが問題なく実行されます。 ライセンスがアクティベーシ ョ ンされれば、 そのリ クエス トは非アクティベート されて、 別のライセンスの新しいリ クエス トができるよ うになり ます。

• ライセンス返却 (Return License) : アクティベーシ ョ ンの場合、 ライセンス リ ホス ト 、 ラ イセンス削除、 廃棄宣言書は、必要なくな り ました。アクティベーシ ョ ンでは、ユーザーがクライアン ト またはサーバー マシンからザイリ ンクスへライセンスの返却をするこ とができます。返却リ クエス トがある と、ラ イセンスがローカル マシンで無効になり、返却リ クエス トがザイ リ ンクス製品ライセンス サイ トへ送信されます。それが処理される と、ユーザーの製品ライセンス アカウン トに権限が戻り、 メ ッセージが信頼されるス ト レージに送信され、マシンから返却ライセンスが削除されます。

ライセンスの互換性

証明書ベースおよびアクティベーシ ョ ン ベースのライセンスは、 どちらも Vivado 2014.1 およびそれ以降のバージ ョンで認識されます。ラ イセンス バージ ョ ンと日付がツールの使用される間有効であれば、ライセンスが証明書ベースであるかアクティベーシ ョ ン ベースであるかは関係あ り ません。たとえば、証明書ベースのライセンスが前の Vivadoリ リースの間に発行されたもので、 それが 1 年の契約期間内であれば、 2014.1 またはそれ以降のソフ ト ウェアを使用できます。 まだ前の契約期間内であれば、 新しいライセンス方法に変換する必要はあ り ません。

アクテ ィベーシ ョ ン  ライセンスへの移行

Vivado 2014 リ リース サイクルでは、 新しい Vivado Design Suite ツールを購入する場合、 アクティベーシ ョ ン ベースのライセンスがデフォルトにな り ます。 これまでは、 ツール購入者は単一の契約ライセンスで ISE と Vivado の両方が使用できました。 2014.1 からは、 新しいエディシ ョ ンを購入する と、 ISE 14.7 以前のバージ ョ ンで使用できる証明書ベースのライセンス と Vivado ツール用のアクティベーシ ョ ン ライセンスの両方が提供されます。 IP のライセンスについては、現時点ではアクティベーシ ョ ン ライセンスへの移行中なので、今リ リースでも証明書ベースのライセンスで提供されています。

アクテ ィベーシ ョ ン  ライセンスの違い

信頼されるス ト レージ ディ レク ト リ を許諾するには、 ザイ リ ンクス製品ライセンス サイ トから ク ラ イアン ト またはサーバー マシンへアクティベーシ ョ ン レコードを送信する必要があ り ます。 現在のと ころ、 これは暗号化された許諾を含む XML ファ イルを使用して実行されます。 アクティベーシ ョ ン ライセンスを生成する と、 これまでの証明書ベースのライセンス ファ イル (.lic) の場合と同様、 XML ファ イルが電子メールで送信されます。 証明書ベースの .licファ イルはザイ リ ンクス ソフ ト ウェアで続けてアクセスされる必要があるので、有効なライセンス検索パスに保持される必要があ り ます。 XML アクティベーシ ョ ン レコードは、許諾を信頼されるス ト レージ ディ レク ト リに読み込むために使用されます。 許諾が読み込まれたら、 XML アクティベーシ ョ ン レコードは必要なくな り ます。

Send Feedback

Page 41: Vivado Design Suite...Vivado Design Suite 2014 リリース ノート japan.xilinx.com 2 UG973 (v2014.1) 2014 年 4 月 2 日 改訂履歴 次の表に、この文書の改訂履歴を示します。

Vivado Design Suite 2014 リ リース ノート japan.xilinx.com 41UG973 (v2014.1) 2014 年 4 月 2 日

第 5 章 : ライセンスの取得および管理

アクティベーシ ョ ン権限に基づいたライセンスを生成するには、ライセンスをまずク ライアン ト またはサーバー コンピューターの信頼されるス ト レージ ディ レク ト リで リ クエス トする必要があ り ます。 ク ラ イアン ト (ノード ロ ッ ク )アクティベーシ ョ ン ラ イセンス リ クエス トの場合は、 Vivado License Manager か xlicclientmgr コマンド ラ イン ユーティ リ ティ を使用する必要があ り、 サーバー (フローティング) ラ イセンス リ クエス トの場合は、 xlicsrvrmgr コマンド ラ イン ユーテ ィ リ テ ィ を使用する必要があ り ます。 xlicclientmgr または xlicsrvrmgr ユーテ ィ リ テ ィの詳細は、「xlicclientmgr コマンド ラ イン ユーティ リ ティの使用」 または 「xlicsrvrmgr コマンド ラ イン ユーティ リ ティの使用」を参照してください。

この リ クエス トが処理されたら、 ザイ リ ンクス製品ライセンス サイ トの URL が生成され、 そこにザイ リ ンクス製品ライセンス サイ トの リ クエス ト ID とマシン特有の ID 情報が含まれます。 この URL は、 ウェブ ブラウザに貼り付けます。 ア ク テ ィ ベーシ ョ ン権限があれば、 ザイ リ ン ク ス製品ラ イセンス サイ ト の [Create New Licenses] タブの[Activation-Based Licenses] セクシ ョ ンに表示されます。

ザイ リ ンクス製品ライセンス サイ ト を直接入力するか、 古いザイ リ ンクス ライセンス マネージャーからアクセスする場合は、 アクティベーシ ョ ン ベースのライセンスを生成するのに必要なマシンの ID が表示されないこ とがあ り ます。 この場合、 ウェブサイ トの [Activation-Based Licenses] 部分がアクティブではなくな り、 証明書ベースのライセンスのみが生成できるよ うになっています。

詳細な方法については、 本章の 「ライセンス キーの作成」 セクシ ョ ンを参照して ください。

ユーザー マシンでのライセンスの管理

Vivado License Manager の使用

ザイ リ ンクス Vivado ツールをインス トールしたコンピューターには Vivado® License Manager が含まれます。Linux には、 ザイ リ ンクス環境が読み込まれたコマンド ラ イン シェルに VLM と入力します。 Windows 7 またはそれ以前のバージ ョ ンの場合は、 [スタート ] → [Xilinx Design Tools] → [Vivado 2014.1] → [Manage Xilinx licenses] をク リ ッ ク します。 Windows 8.1 の場合は、 スタート画面からすべてのアプリ を リ ス ト して、 [Manage Xilinx Licenses] アプリ を実行します。 Vivado License Manager は、 Vivado の [Help] メ ニ ューから [Help] → [Obtain A License Key] または [Help] →[Manage License] をク リ ッ ク しても実行できます。

Send Feedback

Page 42: Vivado Design Suite...Vivado Design Suite 2014 リリース ノート japan.xilinx.com 2 UG973 (v2014.1) 2014 年 4 月 2 日 改訂履歴 次の表に、この文書の改訂履歴を示します。

Vivado Design Suite 2014 リ リース ノート japan.xilinx.com 42UG973 (v2014.1) 2014 年 4 月 2 日

第 5 章 : ライセンスの取得および管理

Vivado License Manager が使用される通常のタスクは、 次のとおりです。

• ライセンスの取得 (Obtain License) : 複数のライセンス オプシ ョ ンから選択し、ザイ リ ンクス製品ライセンス サイトにアクセスし、ラ イセンス生成プロセスを終了します。アクティベーシ ョ ン ベースの権限のライセンスを生成するには、 Vivado License Manager を使用してザイ リ ンクス製品ライセンス サイ トにアクセスする必要があ り ます。 インターネッ ト接続がある場合は [Connect Now] を、 ない場合は [Save Information] をク リ ッ ク し、 [Obtain aLicense] 画面で生成します。[Save Information] をク リ ッ クする と、URL のパラ メーターを使用して Vivado LicenseManager が通常ザイ リ ンクス製品ライセンス サイ トに渡す情報が、 後で使用できるよ うに HTML ファ イルに保存されます。

• ライセンス ステータスの表示 (Viewing License Status) : どのライセンスがローカル マシンで表示されるかを確認できます。 これはライセンス問題をデバッグする際に便利です。

• ライセンスのローカル マシンへの読み込み (Load License) : 証明書ライセンス (.lic) またはアクティベーシ ョ ンフルフ ィルメン ト ファ イル (.xml) を受信したら、マシンの適切なディ レク ト リに保存します。詳細な手順については、 該当するライセンス タイプの 「ラ イセンス キーのインス トール」 セクシ ョ ンを参照してください。

• ザイ リ ンクスへのライセンスの返却 (Return License to Xilinx) : ライセンスがローカル マシンに必要なくなったら、 ザイ リ ンクスに返却して、 権限をライセンス アカウン トに戻します。

X-Ref Target - Figure 5-1

図 5‐1 : Vivado License Manager

Send Feedback

Page 43: Vivado Design Suite...Vivado Design Suite 2014 リリース ノート japan.xilinx.com 2 UG973 (v2014.1) 2014 年 4 月 2 日 改訂履歴 次の表に、この文書の改訂履歴を示します。

Vivado Design Suite 2014 リ リース ノート japan.xilinx.com 43UG973 (v2014.1) 2014 年 4 月 2 日

第 5 章 : ライセンスの取得および管理

• ライセンス検索ディ レク ト リの表示および設定 (Windows の場合) (License Search Location) : Vivado では、 複数のデフォルト ディ レク ト リから ラ イセンスが検索されます。 ラ イセンスがマシン外やフローティング ラ イセンスサーバー上にある場合、 ライセンスへのパスを指定する必要があ り ます。

推奨 : ザイ リ ンクス ラ イセンス ファ イルのディ レク ト リは XILINXD_LICENSE_FILE 環境変数で指定するこ とをお勧めします。 LM_LICENSE_FILE も使用はできますが、 これは主にザイ リ ンクス以外またはレガシー ラ イセンス パスを使用するための変数です。

xlicclientmgr コマンド  ライン  ユーティ リテ ィの使用

xlicclientmgr は、 アクティベーシ ョ ン ラ イセンス リ クエス ト作成するか、 ク ラ イアン ト (ノード ロ ッ ク ) コンピューターの信頼されるス ト レージ ディ レク ト リ を管理するユーティ リ ティです。 サーバー (フローティング) コンピューターの信頼されるス ト レージ ディ レク ト リでの作成と保存については、 次の xlicsrvrmgr ユーティ リ テ ィの詳細を参照してください。

xlicclientmgr には、グラフ ィカルな Vivado License Manager ユーティ リ ティ と同じ機能が多く提供されていますが、アクティベーシ ョ ン ライセンスに限定されます。 xlicclientmgr はザイ リ ンクス ツール インス トールの <InstallDirectory>\Vivado\2014.1\bin ディ レク ト リに含まれます。

重要な xlicclientmgr コマンド  オプシ ョ ン

• -help all : xlicclientmgr の使用情報が表示されます。

• -v or -v “format = long” : マシンの信頼されるス ト レージ ディ レク ト リの内容のリ ス ト または詳細リ ス トが表示されます。

• -cr <XML RequestFileName> [-r fulfillmentID] : 信頼されるス ト レージにリ クエス ト を作成します。 これは、ザイ リンクスからアクティベーシ ョ ン ライセンスを リ クエス トするためのコマンドで、 XML 形式でアクティベーシ ョン リ クエス トが作成され、ザイ リ ンクス製品ライセンス サイ トで使用する情報と一緒に URL が含まれる HTMLファ イルも作成されます。 –r オプシ ョ ンを使用する と、 指定したフルフ ィルメン ト ID を含むライセンスをザイリ ンクスに返却する リ クエス トが作成されます。

• -p <responseFIleName> : XML ファ イルを信頼されるス ト レージに送り ます。 これは、 基本的に Vivado LicenseManager の [Load License] 画面の [Activate License] ボタンを実行したのと同じコマンドです。

• -returnTransaction "request=<requestFileName>" "response=<responseFileName>" "proxy=\"host port [<userId> <passwd>]\"" : ローカル マシンからザイ リ ンクス製品ライセンス サイ トへ返却リ クエス トが送信されます。

注記 :返却リ クエス トは、 XML ファ イル形式で既に作成されている必要があ り ます。 この返却リ クエス ト は、xlicclientmgr に -cr および -r オプシ ョ ンを使用して既に作成されているはずです。

xlicsrvrmgr コマンド  ライン  ユーティ リテ ィの使用

xlicsvrmgr はアクテ ィベーシ ョ ン ラ イセンス リ クエス ト を作成するか、 フローティ ング ラ イセンス サーバー コンピューターの信頼されるス ト レージ ディ レク ト リに作成しするユーティ リ ティです。 アクティベーシ ョ ン ベースの権限に基づいてフローティング ラ イセンスを生成する場合は、 xlicsvrmgr ユーティ リ ティ をまず実行して OMS ウェブサイ トにアクセスする必要があ り ます。 Vivado License Manager では、 ク ライアン ト (ノード ロ ッ ク ) アクティベーシ ョ ンの ト ラ ンザクシ ョ ンのみがサポー ト されます。 フ ローテ ィ ング サーバー ラ イセンスの リ クエス ト には、xlicsrvrmgr を使用する必要があ り ます。

xlicsrvrmgr は、 http://japan.xilinx.com/download/index.htm の License Management Tools フ ィールドからダウンロードできます。 このユーティ リ ティは、 ザイ リ ンクス ツール インス トール ディレク ト リの <Install Directory>\Vivado\2014.1\bin にも含まれます。

重要な xlicsrvrmgr コマンド  オプシ ョ ン

• -help all :xlicsrvrmgr の使用情報が表示されます。

Send Feedback

Page 44: Vivado Design Suite...Vivado Design Suite 2014 リリース ノート japan.xilinx.com 2 UG973 (v2014.1) 2014 年 4 月 2 日 改訂履歴 次の表に、この文書の改訂履歴を示します。

Vivado Design Suite 2014 リ リース ノート japan.xilinx.com 44UG973 (v2014.1) 2014 年 4 月 2 日

第 5 章 : ライセンスの取得および管理

• -v or -v “format = long” : マシンの信頼されるス ト レージ ディ レク ト リの内容のリ ス ト または詳細リ ス トが表示されます。

• -cr <XML RequestFileName> [-r fulfillmentID] : 信頼されるス ト レージにリ クエス ト を作成します。 これは、ザイ リンクスからアクティベーシ ョ ン ライセンスを リ クエス トするためのコマンドで、 XML 形式でアクティベーシ ョン リ クエス トが作成され、ザイ リ ンクス製品ライセンス サイ トで使用する情報と一緒に URL が含まれる HTMLファ イルも作成されます。 –r オプシ ョ ンを使用する と、 指定したフルフ ィルメン ト ID を含むライセンスをザイリ ンクスに返却する リ クエス トが作成されます。

• -p <responseFIleName> : XML ファ イルを信頼されるス ト レージに送り ます。

• -returnTransaction "request=<requestFileName>" "response=<responseFileName>" "proxy=\"host port [<userId> <passwd>]\"" : ローカル マシンからザイ リ ンクス製品ライセンス サイ トへ返却リ クエス トが送信されます。

注記 :返却リ クエス トは、 XML ファ イル形式で既に作成されている必要があ り ます。 この返却リ クエス ト は、xlicclientmgr に -cr および -r オプシ ョ ンを使用して既に作成されているはずです。

ザイリンクス製品ライセンス サイ トの使用ザイ リ ンクス製品ライセンス (Product Licensing) サイ トでは、 証明書ベースとアクティベーシ ョ ン ベースの両方のライセンスが生成できます。 このサイ トでは、 証明書ベースのライセンスが変更できるほか、 ライセンス発注に関する情報も含まれます。 前述のよ うに、 アクティベーシ ョ ン ラ イセンスの作成には、 Vivado License Manager からザイ リンクス製品ライセンス サイ トにアクセスするか、適切なコマンド ライン ユーティ リ ティ を使用する必要があ り ます。

製品ライセンス サイ トには、 生成するライセンスの種類によってさまざまな方法でアクセスできます。

• 証明書ベースのライセンスを使用する製品を購入した場合は、注文確認メールに含まれる リ ンクをク リ ッ ク してください。 この リ ンクをク リ ッ クする と、 購入した製品の権利情報を含むアカウン トに直接アクセスできます。

• アクティベーシ ョ ン ベースのライセンスを使用する製品を購入した場合は、 Vivado License Manager か xlicclientmgr または xlicsrvrmgr を使用して、 ライセンス リ クエス ト を生成します。 ライセンス リ クエス ト情報は Vivado License Manager またはコマンド ラ イン ツールから URL を介して送信され、 製品権限を含むアカウントへアクセスできるよ うになり ます。

• Vivado Design Suite 製品を評価するには、http://japan.xilinx.com/products/design_tools/vivado/vivado/vivado-webpack.htm にアクセスしてください。

• IP 製品を評価する場合は、 http://japan.xilinx.com/ipcenter の該当する IP 製品ページの [評価] リ ンクをク リ ッ ク します。

• 製品ライセンス サイ トには、 http://japan.xilinx.com/getlicense から直接アクセスできます。 この方法でサイ トにアクセスする場合は、アクティベーシ ョ ン ベースのライセンスは作成できませんが、証明書ベースのライセンスは作成でき、 ライセンスのアカウン ト管理の機能も実行できます。

ザイ リ ンクス製品ライセンス サイ トにアクセスするには、まず登録するか、登録情報を入力する必要があ り ます。

Send Feedback

Page 45: Vivado Design Suite...Vivado Design Suite 2014 リリース ノート japan.xilinx.com 2 UG973 (v2014.1) 2014 年 4 月 2 日 改訂履歴 次の表に、この文書の改訂履歴を示します。

Vivado Design Suite 2014 リ リース ノート japan.xilinx.com 45UG973 (v2014.1) 2014 年 4 月 2 日

第 5 章 : ライセンスの取得および管理

まず、 ザイ リ ンクスのサイ トにサインインする必要があ り ます。 既にザイ リ ンクス ユーザー アカウン ト をお持ちの場合は、 ユーザー ID とパスワードを入力して、 登録情報が正しいかど うかを確認します。 アカウン ト をまだ作成していない場合は、 [Create Account] ボタンをク リ ッ ク し、 アカウン ト を作成してください。

ライセンス キーの作成ライセンス ファ イルは、製品ライセンス サイ トの [Create New Licenses] タブから生成します。購入済みまたは評価するデザイン ツールおよび IP 製品が表にリ ス ト されます。

X-Ref Target - Figure 5-2

図 5‐2 :ザイリンクス製品ライセンス サイ ト  ‐ サインイン  ページ

Send Feedback

Page 46: Vivado Design Suite...Vivado Design Suite 2014 リリース ノート japan.xilinx.com 2 UG973 (v2014.1) 2014 年 4 月 2 日 改訂履歴 次の表に、この文書の改訂履歴を示します。

Vivado Design Suite 2014 リ リース ノート japan.xilinx.com 46UG973 (v2014.1) 2014 年 4 月 2 日

第 5 章 : ライセンスの取得および管理

製品の選択

購入済みまたは評価する製品のライセンスを、 次の手順で生成します。

1. [Account] ド ロ ップダウン リ ス トから製品ライセンス アカウン ト を選択します。

注記 :評価版または無償の製品を使用する場合は、 このド ロ ップダウン リ ス トは表示されません。

2. キッ ト と一緒に購入したソフ ト ウェアまたは IP 製品ライセンスのバウチャー コードがある場合は、 それを入力します (オプシ ョ ン)。

3. 製品権限の表に評価版または無償の IP 製品の権限を追加します (オプシ ョ ン)。

4. 製品権限の表から製品を選択します。

X-Ref Target - Figure 5-3

図 5‐3 :新規ライセンスの作成

Send Feedback

Page 47: Vivado Design Suite...Vivado Design Suite 2014 リリース ノート japan.xilinx.com 2 UG973 (v2014.1) 2014 年 4 月 2 日 改訂履歴 次の表に、この文書の改訂履歴を示します。

Vivado Design Suite 2014 リ リース ノート japan.xilinx.com 47UG973 (v2014.1) 2014 年 4 月 2 日

第 5 章 : ライセンスの取得および管理

これらの権限は証明書ベースまたはアクティベーシ ョ ン ベースのライセンスの 2 つのカテゴ リに大き く分類されます。選択可能な製品権限のタイプは、 [Full] (購入済み)、 [No Charge] (無償)、 [Evaluation] (評価版) のいずれかになり ます。 フル ラ イセンスおよび無償ライセンスの場合は、 1 年間の有効期限があ り ます。 デザイン ツールの評価版は 30日、 IP の評価版は 120 日間の有効期限があ り ます。

フローティング/サーバーおよびノード ロ ッ ク /ク ラ イアン ト ラ イセンスは、 同じ ライセンス ファ イルにま とめる ことはできません。1 つのライセンス タイプのみを含む権限を選択する と、[Generate] ボタンをク リ ッ ク したと きに、もう一方のライセンス タイプが選択できな くな り ます。 同様に、 証明書ベースおよびアクティベーシ ョ ン ベースの権限は同時に生成できません。 1 つのライセンス エリ アで権限を選択する と、残りのライセンス生成中にも う一方のライセンス エリ アが非アクティブになり ます。

デザイン ツールの場合、 表の [Available Seats] に購入したライセンスの総数が表示されます。 IP の場合、 ライセンスはサイ トの契約に従って管理されます。

表の [Status] に 「Current」 と表示されている場合は、 その製品が保証期間内にあるこ とを示し、 「Expired」 と表示されている場合は、 その保証期間が終了したこ とを示しています。 [Available Seats] の数がまだある場合は、 「Current」または 「Expired」 の製品のライセンスを生成できます。

Vivado Design Suite: 30-Day System Edition は Xilinx Design Tools ツール セッ トすべての機能にアクセスできる評価版です。 この製品は、 製品ライセンス アカウン トに自動的に含まれます。

デザイン ツールおよび IP 製品ライセンスの製品バウチャーは、 ザイ リ ンクスまたはパートナーの開発ボードまたはデザイン キッ ト と共に送信されます。製品バウチャー カードを所持している場合は、カードに記述されたバウチャーコードをテキス ト フ ィールドに入力し、 [Redeem Now] ボタンをク リ ッ ク します。 これで、 該当するデザイン ツールまたは IP 製品が表に追加され、 ライセンス キーが生成できるよ うにな り ます。

ページ内の [Add Evaluation and No Charge IP Cores] セクシ ョ ンで [Search Now] ボタンをク リ ッ クする と、 次のよ うなページが表示され、 評価版および無償の IP を検索して製品リ ス トに追加できます。

X-Ref Target - Figure 5-4

図 5‐4 : IP 製品の選択画面

Send Feedback

Page 48: Vivado Design Suite...Vivado Design Suite 2014 リリース ノート japan.xilinx.com 2 UG973 (v2014.1) 2014 年 4 月 2 日 改訂履歴 次の表に、この文書の改訂履歴を示します。

Vivado Design Suite 2014 リ リース ノート japan.xilinx.com 48UG973 (v2014.1) 2014 年 4 月 2 日

第 5 章 : ライセンスの取得および管理

ライセンスの生成[Create New Licenses] タブで 1 つまたは複数のライセンスを選択し、生成するライセンス ファ イル (ク ライアン ト /ノード ロ ッ クまたはサーバー /フローティング) の [Generate License] または [Activate License] をク リ ッ ク します。 証明書ベースのライセンスの場合は、 次の図のよ うな画面が表示されます。

次に示す手順では、 フローティングの証明書ベースのライセンスを生成します。 このプロセスには、 その他すべてのライセンス生成フローが含まれます。 ノード ロ ッ クおよびアクティベーシ ョ ン ベースのライセンスの場合の違いについても記述します。

フローティングの証明書ベースのライセンスを生成するには、 次の手順にしたがってください。

1. 各製品ライセンスに必要なシート数を選択します。

X-Ref Target - Figure 5-5

図 5‐5 : フローテ ィング ライセンスの生成

Send Feedback

Page 49: Vivado Design Suite...Vivado Design Suite 2014 リリース ノート japan.xilinx.com 2 UG973 (v2014.1) 2014 年 4 月 2 日 改訂履歴 次の表に、この文書の改訂履歴を示します。

Vivado Design Suite 2014 リ リース ノート japan.xilinx.com 49UG973 (v2014.1) 2014 年 4 月 2 日

第 5 章 : ライセンスの取得および管理

これは、 サーバー /フローティング ラ イセンスの場合にのみ選択します。 ク ラ イアン ト /ノード ロ ッ ク ラ イセンスの場合は、 1 シートのみになり ます。 製品権限に対して使用可能なシート数は、 システムで自動的に維持されます。 [Requested Seats] フ ィールドはデフォルトでは 0 になっていますが、 こ こには製品権限で残っているシート数までどの数値でも入力できます。すべてのシートがアクティベート される と、製品が製品権限の表から削除されます。

2. システム情報を入力します。

フローテ ィ ングの証明書ベースのラ イセンスの場合、 最初のフ ィールドは [Redundancy] で、 [Triple Redundant]サーバー コンフ ィギュレーシ ョ ン (別名、 ト ラ イアド ) を選択する と、 ライセンス マネージャー ソフ ト ウェアに対するフェイル オーバーが実行されるので、 3 つのサーバーのうち 2 つが実行されている限り、 ラ イセンス マネージャーは実行され続けます。 これは、 ノード ロ ッ ク ライセンスの場合は関係あ り ません。

システム情報は、 Vivado License Manager 内のリ ンクから製品ライセンス サイ トにアクセスした場合、 [Host ID]ド ロ ップダウン リ ス トに自動的に表示されます。システム情報が入力されていない場合や別のホス ト を追加する場合は [Add a host] を選択し、 ホス ト を追加します。

ホス ト ID とは、 ソフ ト ウェアまたは IP のライセンスが与えられたマシンを識別する値で、 ホス ト ID タイプには、 MAC アドレス、 ハード ド ラ イブのシ リ アル番号、 ドングル ID などを選択できます。

アクティベーシ ョ ン ベースのライセンスの場合、 すべての必要なシステム情報が Vivado License Manager またはコマンド ライン ツールからウェブ ブラウザーの URL を使用して渡されます。ク ライアン ト またはサーバー ベースのアクティベーシ ョ ン ライセンスのいずれかの場合は、 ホス ト情報を手動で入力する必要はあ り ません。

注記 :すべてのホス ト ID タイプがどのオペレーティング システムでもサポート されるわけではあ り ません。 ホス ト ID を取得するには、 ラ イセンス ホス ト となるマシンで Vivado License Manager を実行するのが一番簡単な方法です。

3. コ メン ト を追加します。

コ メン ト を追加する と、 管理者がデザイン ツールや IP のライセンスをユーザー間でどのよ うに分けたかなどの記録を残すこ とができます。

4. [Next] をク リ ッ ク します。

次のよ うなライセンス リ クエス ト を確認するフォームが表示されます。

X-Ref Target - Figure 5-6

図 5‐6 : [Add a host] 画面

Send Feedback

Page 50: Vivado Design Suite...Vivado Design Suite 2014 リリース ノート japan.xilinx.com 2 UG973 (v2014.1) 2014 年 4 月 2 日 改訂履歴 次の表に、この文書の改訂履歴を示します。

Vivado Design Suite 2014 リ リース ノート japan.xilinx.com 50UG973 (v2014.1) 2014 年 4 月 2 日

第 5 章 : ライセンスの取得および管理

5. 選択を確認します。

6. 問題がなければ、 [Next] をク リ ッ ク します。

エンド  ユーザー ライセンス契約

ザイ リ ンクス デザイン ツールと無償 IP のエンド ユーザー使用許諾契約 (EULA) は、 製品のインス トール プロセス中に許諾されます。 この使用許諾契約のコピーは、 <install directory>/.xinstall/Vivado_2014.1/data/unified_xilinx_eulas.txt に保存されます。

IP 製品のライセンスを精製する場合は、 ライセンス ファ イルを生成する前に関連する IP 製品の EULA を許諾する必要があ り ます。

サードパーティ  ライセンス

サードパーティ ライセンスのコピーは、<install_directory>/.xinstall/Vivado_2014.1/data/unified_3rd_party_eula.txt にあ り ます。

ライセンス生成の確認

ライセンス生成プロセスが終了したら、 次のよ うな確認メ ッセージが表示されます。

X-Ref Target - Figure 5-7

図 5‐7 : ライセンス リクエストの確認

Send Feedback

Page 51: Vivado Design Suite...Vivado Design Suite 2014 リリース ノート japan.xilinx.com 2 UG973 (v2014.1) 2014 年 4 月 2 日 改訂履歴 次の表に、この文書の改訂履歴を示します。

Vivado Design Suite 2014 リ リース ノート japan.xilinx.com 51UG973 (v2014.1) 2014 年 4 月 2 日

第 5 章 : ライセンスの取得および管理

ライセンス生成を確認する電子メールも送信されます。このメ ッセージには、生成したライセンス ファ イルが添付されます。 アドレス ブッ クに [email protected] を信頼する送信者と して追加しておいてください。

電子メールでライセンスが受け取れなかった場合は、 ザイ リ ンクス ラ イセンス サイ トから直接ダウンロード して ください。 詳細は、 「ザイ リ ンクス製品ライセンス サイ トでのライセンス管理」 を参照して ください。

ライセンス キーのインストール次のセクシ ョ ンでは、 ライセンスをインス トールする方法についてタイプ別に説明します。

証明書ベースのノード  ロックまたはアクテ ィベーシ ョ ン  ベースのクライアン ト  ライセンスのインストールライセンス ファ イルを生成する と、 [email protected] から電子メールが届きます。

1. ローカル システムの一時的なディ レク ト リに、 電子メールに添付されたライセンス ファ イル (.lic) またはアクティベーシ ョ ン フルフ ィルメン ト ファ イル (.xml) を保存します。

2. Vivado License Manager を実行します。

° Windows 7 およびそれ以前の場合は、 [スタート ] → [すべてのプログラム] → [Xilinx Design Tools] → [Vivado2014.1] → [Manage Xilinx Licenses] をク リ ッ ク します。

X-Ref Target - Figure 5-8

図 5‐8 : ライセンス生成の確認

Send Feedback

Page 52: Vivado Design Suite...Vivado Design Suite 2014 リリース ノート japan.xilinx.com 2 UG973 (v2014.1) 2014 年 4 月 2 日 改訂履歴 次の表に、この文書の改訂履歴を示します。

Vivado Design Suite 2014 リ リース ノート japan.xilinx.com 52UG973 (v2014.1) 2014 年 4 月 2 日

第 5 章 : ライセンスの取得および管理

° Windows 8.1 の場合は、スタート画面からすべてのアプリ を リ ス ト して、 [Manage Xilinx Licenses] アプリ を実行します。

° Linux の場合は、 コマンド ライン シェルに vlm と入力します。

3. Vivado License Manager の左側の [Getting a License] を展開し、 [Load License] をク リ ッ ク します。

4. 証明書ライセンス ファ イルを受け取った場合は、 [Load License] 画面の [Copy License] ボタンをク リ ッ ク します。アクティベーシ ョ ン ライセンスのフルフ ィルメン ト ファ イルを受け取った場合は、[Activate License] ボタンをクリ ッ ク します。

5. ライセンス ファ イルまたはアクティベーシ ョ ン フルフ ィルメン ト を選択して、 [Open] をク リ ッ ク します。

6. 証明書ライセンスの場合、これでライセンス ファ イルが <ホーム ド ラ イブ (通常は C)>:\.Xilinx (Windows) または<Home>/.Xilinx ディレク ト リにコピーされ、ザイ リ ンクス ツールから自動的に認識されるよ うにな り ます。アクティベーシ ョ ン ラ イセンスの場合、 これでアクティベーシ ョ ン フルフ ィルメン トが信頼されるス ト レージに読み込まれ、 検証され、 そのマシンで該当するツールが使用できるよ うになり ます。

フローテ ィング ライセンス

サーバーへのフローテ ィ ング ライセンスのインストール

既存の FLEXnet ライセンス サーバーに証明書ベースのライセンスを使用する場合は、[email protected] から送付されたライセンス ファ イルの内容を FLEXnet サーバーの既存のライセンス ファ イルにコピーします。

既存の FLEXnet ライセンス サーバーにアクティベーシ ョ ン ベースのライセンスを使用する場合は、 xlicsrvrmgr -p <responseFIleName> コマンドを使用して、 信頼されるス ト レージにライセンスを読み込みます。

注記 : フローティング ライセンス サーバーを再起動して、 新しいザイ リ ンクス ライセンスを有効にします。

新しいライセンス サーバーの場合

1. ザイ リ ンクス ダウンロード センター (http://japan.xilinx.com/download/index.htm) からサーバーの OS に最適なザイリ ンクス FLEXnet ライセンス ユーティ リ ティ をダウンロード します。

2. これらのユーティ リ ティ を保存したディ レク ト リで解凍します。 このディ レク ト リは、 アプリ ケーシ ョ ンの検索パスに置く こ とをお勧めします。

3. FLEXnet ユーティ リ ティ をインス トールしたら、次のコマンドを実行して、フローティング ライセンス サーバーを起動します。

° Linux

- <Server Tool directory>/bin (or bin64)/lin/lmgrd -c <path_to_license>/Xilinx.lic -l <path_to_license>/log1.log

° Windows

- < Server Tool director y>\bin\nt (or nt64)\lmgrd -c <path_to_license>\X ilinx.lic –l <path_to_license>\log1.log

- アクティベーシ ョ ン ベースのライセンスの場合、 –c オプシ ョ ンの後にパスは必要あ り ません。 lmgrd アプ リ ケーシ ョ ンで自動的にサーバーの信頼されるス ト レージが検索され、 そこにあるすべてのフローティング ライセンスが使用されます。

クライアン ト  マシンからのフローテ ィング ライセンスの指定

1. Vivado License Manager (VLM) を実行します。

2. [Manage Xilinx Licenses] タブをク リ ッ ク します。

Send Feedback

Page 53: Vivado Design Suite...Vivado Design Suite 2014 リリース ノート japan.xilinx.com 2 UG973 (v2014.1) 2014 年 4 月 2 日 改訂履歴 次の表に、この文書の改訂履歴を示します。

Vivado Design Suite 2014 リ リース ノート japan.xilinx.com 53UG973 (v2014.1) 2014 年 4 月 2 日

第 5 章 : ライセンスの取得および管理

3. port@server の形式でライセンス サーバーへのネッ ト ワーク パスを XILINXD_LICENSE_FILE フ ィールドに入力し、 [Set] ボタンをク リ ッ ク します。 デフォルトのザイ リ ンクス ポート番号は 2100 です。

Linux OS の場合、 Vivado License Manager (VLM) を使用してライセンス環境変数を設定できません。 環境変数フ ィールドは読み出し専用なので、 淡色表示になり、 [Set] ボタンも表示されません。 環境変数は、 適切な OS シェルおよびコマンドを使用して設定する必要があ り ます。

ザイリンクス製品ライセンス サイ トでのライセンス管理ザイ リ ンクス製品ライセンス サイ トでは、生成したライセンスが記録されます。 [Manage Licenses] タブには、 その製品ライセンス アカウン トで生成したライセンスすべてが表示されます。

[Manage Licenses] タブからは、 必要に応じて次を実行できます。

既存ライセンスの確認と取得

ユーザーのアカウン トから生成したライセンスに関する情報は、マスター ビューと詳細ビューに表示されます。上部の表 (マスター ビュー ) で行をク リ ッ クする と、 そのラ イセンスの詳細情報が下部の表 (詳細ビュー ) に表示されます。 詳細ビューの表には、 次の情報が含まれます。

X-Ref Target - Figure 5-9

図 5‐9 : ライセンスの管理

Send Feedback

Page 54: Vivado Design Suite...Vivado Design Suite 2014 リリース ノート japan.xilinx.com 2 UG973 (v2014.1) 2014 年 4 月 2 日 改訂履歴 次の表に、この文書の改訂履歴を示します。

Vivado Design Suite 2014 リ リース ノート japan.xilinx.com 54UG973 (v2014.1) 2014 年 4 月 2 日

第 5 章 : ライセンスの取得および管理

• ファ イルで有効にした製品権限のリ ス ト

• ファ イルに関連するコ メン ト

この表からは、 次が実行できます。

• ダウンロード : ライセンスまたはアクティベーシ ョ ン フルフ ィルメン ト ファ イルが電子メールで届かなかった場合は、 こ こからダウンロード して ください。

• 電子メール : ライセンスまたはアクティベーシ ョ ン フルフ ィルメン ト ファ イルがご本人または別のユーザーに送信されます。

• 表示 : 実際のライセンス ファ イルを表示できます。

• 削除 (証明書ベースのライセンスのみ) : ライセンス ファ イルを削除できます。 ファ イルを削除する と、 権限が[Create New License] タブに表示されるよ うになり、別のホス ト ID 用にライセンスを再生成可能になり ます。

• 許諾したエンド ユーザー ライセンス契約を表示 (IP のみ)

ライセンスの変更

アクティベーシ ョ ン ベースのライセンスはザイ リ ンクス製品ライセンス サイ トでは変更できません。 アクティベーシ ョ ン ベースのライセンスを変更するには、 まず Vivado License Manager の [Return License to Xilinx] ページを使用するか、 適切なコマンド ラインのライセンス マネージャーで返却リ クエス ト を作成します。 アクティベーシ ョ ン ベースのライセンスを返却する と、ザイ リ ンクス製品ライセンス サイ トの [Create New Licenses] タブのシート数が返却した分増えます。 これで、 別のマシンに変更する場合や、 同じマシンでシート数を増やしたり、 別の機能やその他の変更を加える場合に、 新しいアクティベーシ ョ ン ベースのライセンスを生成できるよ うにな り ました。

既存の証明書ベースのライセンスを変更するには、 マスター ビューでそのライセンス ファ イルを選択します。 証明書ベースのライセンスは、 次の方法で変更できます。

ライセンス ファイル全体の削除およびアカウン トへの権限の返却

1. [Manage Licenses] タブ (図 5-9) で削除するライセンスを選択します。

2. GUI の左下のゴ ミ箱アイコンをク リ ッ ク します。

3. [Accept] ボタンをク リ ッ ク し、 廃棄宣誓書 (Affidavit of Destruction) を受諾します。

注記 : これによ り、 ラ イセンス ファ イル全体からすべてのライセンス シートが削除され、 ユーザー アカウン トに権限が戻されます。

リホスト  : ライセンス ファイルのノード  ロックまたはライセンス サーバー ホスト  ID の変更

1. [Manage Licenses] タブ (図 5-9) でホス ト を変更するライセンスを選択します。

2. [Modify License] ボタンをク リ ッ ク します。 [Modify License] 画面が表示されます。

3. セクシ ョ ン 2 の System Information を確認します。

4. ド ロ ップダウン リ ス トおよびテキス ト ボッ クスをそれぞれ使用し、ホス ト ID またはホス ト名を変更または追加します。

5. [Next] ボタンを 2 回押し、 [Accept] ボタンを押して廃棄宣誓書を受諾します。

既存のライセンスのシート数の追加

1. [Manage Licenses] タブ (図 5-9) でシート を追加するライセンス ファ イルを選択します。

2. [Modify License] ボタンをク リ ッ ク します。 [Modify License] 画面が表示されます。

3. セクシ ョ ン 1 の Product Selection を確認します。

Send Feedback

Page 55: Vivado Design Suite...Vivado Design Suite 2014 リリース ノート japan.xilinx.com 2 UG973 (v2014.1) 2014 年 4 月 2 日 改訂履歴 次の表に、この文書の改訂履歴を示します。

Vivado Design Suite 2014 リ リース ノート japan.xilinx.com 55UG973 (v2014.1) 2014 年 4 月 2 日

第 5 章 : ライセンスの取得および管理

4. フローティング ライセンスの場合、 [Requested Seats] フ ィールドを変更して権限内の最大シート数までシート を追加できます。

5. [Next] を 2 回ク リ ッ ク します。 シート を追加するのに廃棄宣誓書 (Affidavit of Destruction) の受諾は必要あ り ません。

既存の製品ライセンスシート数の削除

1. [Manage Licenses] タブ (図 5-9) でシート を削除するライセンス ファ イルを選択します。

2. [Modify License] ボタンをク リ ッ ク します。 [Modify License] 画面が表示されます。

3. セクシ ョ ン 1 の Product Selection を確認します。

4. フローティング ライセンスの場合、 [Requested Seats] フ ィールドを変更して、 このライセンス ファ イルで権利のあるシート数を削減できます。

5. [Next] ボタンを 2 回押し、 [Accept] ボタンを押して廃棄宣誓書を受諾します。

別の製品のライセンスを追加

1. [Manage Licenses] タブ (図 5-9) で機能/権限を追加するライセンス ファ イルを選択します。

2. [Modify License] ボタンをク リ ッ ク します。 [Modify License] 画面が表示されます。

3. セクシ ョ ン 1 の Product Selection を確認します。

4. ライセンス ファ イルに追加する新しい権限ののチェッ ク ボッ クスをオンにします。

5. [Next] を 2 回ク リ ッ ク します。機能を追加するのに廃棄宣誓書 (Affidavit of Destruction) の受諾は必要あ り ません。

ライセンス キー ファイルからの製品ライセンスの削除

1. [Manage Licenses] タブ (図 5-9) で機能/権限を削除するライセンス ファ イルを選択します。

2. [Modify License] ボタンをク リ ッ ク します。 [Modify License] 画面が表示されます。

3. セクシ ョ ン 1 の Product Selection を確認します。

4. ライセンス ファ イルから削除する権限ののチェッ ク ボッ クスをオンにします。

5. [Next] ボタンを 2 回押し、 [Accept] ボタンを押して廃棄宣誓書を受諾します。

変更中にホス トの変更数を超えたこ とを示すメ ッセージが表示される場合は、 [email protected] まで電子メール (英語) で追加のホス ト変更 (rehost) オプシ ョ ンを請求してください。

削除したライセンス コンポーネン トの再請求

製品ライセンスは、 次のいずれかが実行される と削除されます。

• ライセンス サーバー ホス トの変更

• 既存の製品ライセンスシート数の削除

• ライセンス キー ファ イルから製品ライセンスの削除

シート を削除したり、証明書ベースのライセンス ファ イルから製品を削除した場合、その使用権限が元に戻るか、 ライセンス アカウン トのシート数が増えます。 既存ライセンス ファ イルから削除したシート数と同じ数分、 ザイ リ ンクス製品ライセンス サイ トの [Create New Licenses] タブのシート数が増えます。

ライセンスを再発行する前に、 まず廃棄宣誓書を許諾します。 この法的契約は、 無効になった製品ライセンスが使用されていないこ とを確認するために必要です。

発行数は、ユーザーごとに記録されます。製品ライセンスはメジャー リ リースごとに管理者は 5 回、エンド ユーザーは 3 回再発行できます。

Send Feedback

Page 56: Vivado Design Suite...Vivado Design Suite 2014 リリース ノート japan.xilinx.com 2 UG973 (v2014.1) 2014 年 4 月 2 日 改訂履歴 次の表に、この文書の改訂履歴を示します。

Vivado Design Suite 2014 リ リース ノート japan.xilinx.com 56UG973 (v2014.1) 2014 年 4 月 2 日

第 5 章 : ライセンスの取得および管理

ライセンス キー ファイルの変更点

製品権限に対してライセンスが生成されるたびに、FLEXnet でインク リ メン ト行および対応するパッケージ行がライセンス キー ファ イルに追加されます。 ライセンス ファ イルが既存の製品権利のシート を有効にしたり追加するために修正される と、 インク リ メン ト またはパッケージ行がライセンス ファ イルに追加されます。

ライセンス ファ イルのホス トが変更されたり、シート数や製品権利が削除される と、対応するインク リ メン ト行が修正されたライセンス ファ イルからは削除されます。

古いライセンスリ リース 10.1 以前のバージ ョ ンのラ イセンスが必要な場合は、 [Legacy Licensing] タブをク リ ッ ク します。

各バージ ョ ンで次の手順を実行します。

10.1 以前のバージ ョ ン

1. バージ ョ ンを選択します。 連絡先情報を確認する画面が表示されます。

2. 必要な情報を記述し、登録 ID を取得します。登録 ID は画面に表示されるほか、記録用に電子メールにも送信されます。

3. ザイ リ ンクス ダウンロード センターで左側の [Version] 列の下の [Archive] リ ンクをク リ ッ ク します。

4. ダウンロード中に登録 ID を入力する画面が表示されたら入力して、 ダウンロードを終了します。

ユーザーのライセンス アカウン ト

製品ライセンスのアカウン ト

デザイン ツールまたは IP 製品をザイ リ ンクスから購入する と、 ラ イセンスを購入したこ とにな り、 その製品のアップデート を使用する権利が 1 年間あ り ます。 ザイ リ ンクス デザイン ツールおよび IP 製品を使用するライセンスは、そのライセンスの状況によって異なり ます。 ライセンスは、 次の要件で決ま り ます。

X-Ref Target - Figure 5-10

図 5‐10 :古いライセンス 

Send Feedback

Page 57: Vivado Design Suite...Vivado Design Suite 2014 リリース ノート japan.xilinx.com 2 UG973 (v2014.1) 2014 年 4 月 2 日 改訂履歴 次の表に、この文書の改訂履歴を示します。

Vivado Design Suite 2014 リ リース ノート japan.xilinx.com 57UG973 (v2014.1) 2014 年 4 月 2 日

第 5 章 : ライセンスの取得および管理

• 購入した製品

• 購入したシート数

• ライセンス タイプ (証明書またはアクティベーシ ョ ン ベース、 フローティングまたはノード ロ ッ ク )

• 製品使用期間 (製品アップデートは 1 年間有効)

このサイ トからは、 購入したデザイン ツールおよび IP の製品権利だけでなく、 無償の製品や評価版 製品などのライセンスにもアクセスできます。 フル ライセンスおよび無償ライセンスの場合は、 1 年間の有効期限があ り ます。 デザイン ツールの評価版は 30 日、 IP の評価版は 120 日間の有効期限があ り ます。

製品権限からライセンスを生成する と、ウェブサイ トでライセンス ファ イルが生成されます。ライセンスを有効にする と、 ウェブサイ トでライセンス ファ イルが生成されます。 ラ イセンス ファ イルをインス トールする と、 購入または評価中のソフ ト ウェアおよび IP の使用が有効になり ます。 ライセンスやライセンス ファ イルはザイ リ ンクス ウェブサイ トで管理します。

ラ イセンス アカウン トは、 ザイ リ ンクス ソフ ト ウェア購入リ ス トに掲載されている各ユーザーに与えられ、 エンドユーザーまたは管理者のいずれかと して登録されます。管理者が 1 人の場合は同じライセンス アカウン トですべての購入を管理できます。 企業の場合は、 異なる管理者によって複数のアカウン ト を持つこ とができるので、 社内で予算の異なる別のプロジェク ト を実行している複数のデザイン チームがある場合などに使用する と、 便利です。

注記 : ラ イセンスは、 期限切れの製品権限に対しても生成はできますが、 使用期間が終わるまでのバージ ョ ンまでしか使用できません。 ライセンスの期限が切れた後に製品アップデート をする と、次にツールを使用する と きにライセンス エラー メ ッセージが表示されます。

ザイリンクス デザイン  ツールでの LogiCORE IP ライセンスの生成

LogiCORE™ IP および購入済みデザイン ツール ライセンスはすべて、 製品ライセンス サイ トにログインする と リ スト されます。 現時点では、 すべての IP で証明書ベースのライセンスが生成されるよ うになっています。 評価用ライセンスおよび無償 IP のライセンスも同じサイ トから入手できます。 すべての証明書ベースのデザイン ツールおよびIP のライセンスが 1 度で生成でき、 1 つのライセンス ファ イルがメールで送信されます。

ユーザー タイプとアクシ ョ ン製品ライセンス サイ トには、カスタマー アカウン ト管理者、エンド ユーザー、および無償ユーザーの 3 タイプのユーザー権限があ り ます。

カスタマー アカウン ト管理者

カスタマー アカウン ト管理者は、 たとえば CAD ツールの管理者などがなり ます。 各アカウン トに、 必ず 1 人のカスタマー アカウン ト管理者が必要です。 カスタマー アカウン ト管理者は、 複数のアカウン ト を管理できます。

カスタマー アカウン ト管理者には、 次のよ うな権限があ り ます。

• ザイ リ ンクス デザイン ツールおよび IP 製品のノード ロ ッ クまたはフローティング ライセンスの生成

• アカウン トへのユーザーの追加と削除

• ほかのユーザーへの管理者権限の割り当て

カスタマー アカウン ト管理者の情報は、製品注文の過程で送付先と して指定され、管理者の電子メール宛に購入した製品のダウンロードおよびライセンス情報などが送付されます。カスタマー アカウン ト管理者が電子メールのリ ンクをク リ ッ クするこ とで、 購入した製品へのアクセスができるよ うになり ます。

Send Feedback

Page 58: Vivado Design Suite...Vivado Design Suite 2014 リリース ノート japan.xilinx.com 2 UG973 (v2014.1) 2014 年 4 月 2 日 改訂履歴 次の表に、この文書の改訂履歴を示します。

Vivado Design Suite 2014 リ リース ノート japan.xilinx.com 58UG973 (v2014.1) 2014 年 4 月 2 日

第 5 章 : ライセンスの取得および管理

エンド  ユーザー

製品ライセンス アカウン トにエンド ユーザーを追加する と、エンジニアやデザイン チーム メ ンバーがそれぞれ柔軟にライセンス キーを管理および生成できるよ うになり ます。 エンド ユーザーは、 アカウン ト内でノード ロ ッ ク付きライセンス ファ イルを生成できるほか、評価版の入手、デザイン ツールや IP 製品の無料ライセンス ファ イルも生成できます。 カスタマー アカウン ト管理者は、 エンド ユーザーがフローティング ライセンスを生成できるよ うに、 エンド ユーザーのアカウン ト を設定できます。 ただし、 エンド ユーザーには、 次のよ うな制限があ り ます。

• デフォルトの設定のままではフローティング ライセンス ファ イルを生成できません。 この権限は、 カスタマ アカウン ト管理者が設定できます。

• ほかのユーザーが生成したライセンス ファ イルは表示されません。

• ほかのユーザーをサイ トから追加または削除できません。

無償ユーザー

無償ユーザーは、 次を実行できます。

• Vivado System Edition の 30 日間無償の評価版ライセンス キーを生成できます。

• Vivado HLS の 30 日間無償の評価版ライセンスを生成できます。

• 評価版および無償の IP 製品のライセンス キーを精製できます。

• ISE および Vivado 両方の WebPACK™ 機能を使用可能にする WebPACK ツール ライセンスを生成できます。

どのタイプのユーザーでも、 製品の電子ダウンロード、 ザイ リ ンクス デザイン ツールの DVD の請求ができます。

注記 :ザイ リ ンクス デザイン ツール製品エディシ ョ ンのフル バージ ョ ンのライセンスを既に持っている場合は、 それ以外のザイ リ ンクス デザイン ツール製品エディシ ョ ンまたは IP を試用できます。 これらのライセンスは同じアカウン トで入手できるよ うになっています。

ザイリンクス ユーザー アカウン ト情報の変更ザイ リ ンクス ユーザー アカウン トの会社名、 住所、 電子メールなどの情報は、 常に最新の状態に保つよ うにして ください。

会社メール アドレスの変更

1. http://japan.xilinx.com にアクセスします。

2. サイン インします。

3. 会社メール アドレスの [プロフ ィールを更新] リ ンクをク リ ッ ク し、 [個人情報] を展開表示します。

4. 新しい法人電子メール アドレスを [新しい会社 E メール アドレス] に入力します。

5. [プロフ ィールに保存] ボタンを押して変更を保存します。

ツールおよび IP の購入情報[Order] タブには、 アカウン トの購入情報です。

Send Feedback

Page 59: Vivado Design Suite...Vivado Design Suite 2014 リリース ノート japan.xilinx.com 2 UG973 (v2014.1) 2014 年 4 月 2 日 改訂履歴 次の表に、この文書の改訂履歴を示します。

Vivado Design Suite 2014 リ リース ノート japan.xilinx.com 59UG973 (v2014.1) 2014 年 4 月 2 日

第 5 章 : ライセンスの取得および管理

• ザイ リ ンクスの注文番号が画面左側に表示されます。

• 特定のオーダーをク リ ッ クする と、 右側にその詳細が表示されます。

• 1 度に選択できるのは、 1 つのみです。

• 電子メールまたはダウンロードで製品を取得した場合でも、 配達住所情報が表示されます。

ユーザー アクセスの管理製品ライセンス アカウン トの管理責任は、別のユーザーに移行するこ と もできるほか、共有するこ と もできます。アカウン トへのユーザーの追加や削除は、 [Manage Users] タブから実行します。

X-Ref Target - Figure 5-11

図 5‐11 :購入情報

Send Feedback

Page 60: Vivado Design Suite...Vivado Design Suite 2014 リリース ノート japan.xilinx.com 2 UG973 (v2014.1) 2014 年 4 月 2 日 改訂履歴 次の表に、この文書の改訂履歴を示します。

Vivado Design Suite 2014 リ リース ノート japan.xilinx.com 60UG973 (v2014.1) 2014 年 4 月 2 日

第 5 章 : ライセンスの取得および管理

ユーザーの追加

製品ライセンス アカウン トにユーザーを追加するには、 次を実行します。

• 新しいユーザーの会社の電子メール アドレスを入力します。

• 管理者権限を与える場合は、 [Add as a full administrator] チェッ ク ボッ クスをオンにします。フローティング ライセンスを生成する権限を与え、管理者権限を与えない場合は、 [Allow Floating Licenses] チェッ ク ボッ クスをオンにします。

注記 :指定する電子メール アドレスは、ザイ リ ンクス アカウン ト を作成する際にそのユーザーが指定したものと同じである必要があ り ます。別のアドレスを使用する と、 ログインしたと きにそのユーザーが正し く認識されない可能性があ り ます。

ユーザーが既に製品ライセンス サイ トにアクセスしたこ とのある場合、 名前が自動的にユーザー リ ス トに表示されます。 サイ トにアクセスしたこ とがない場合は、 名前のと ころに [Not Yet Registered] と表示されます。 登録すれば、名前の箇所が埋ま り ます。

アカウン ト管理者が管理者権限のないエンド ユーザーにライセンス ファ イルを管理できるよ うにするこ と もできます。管理者権限のないエンド ユーザー ([Add as full administrator] と [Allow Floating Licenses] チェッ ク ボッ クスの両方をオフにした場合) は、 次の機能が使用できます。

• ノード ロ ッ ク ライセンスのみ生成可能

• 自分自身で生成したライセンス ファ イルのみ表示および修正可能

• ユーザーの管理は不可

[Allow Floating Licenses] のみをオンにした場合は、フローティング ラ イセンス ファ イルの生成はできますが、その他の制限はそのままです。管理者権限にはフローティング ライセンスの生成が既に含まれるため、両方のチェッ ク ボックスをオンにするこ とはできません。

X-Ref Target - Figure 5-12

図 5‐12 :ユーザーの管理

Send Feedback

Page 61: Vivado Design Suite...Vivado Design Suite 2014 リリース ノート japan.xilinx.com 2 UG973 (v2014.1) 2014 年 4 月 2 日 改訂履歴 次の表に、この文書の改訂履歴を示します。

Vivado Design Suite 2014 リ リース ノート japan.xilinx.com 61UG973 (v2014.1) 2014 年 4 月 2 日

第 5 章 : ライセンスの取得および管理

ユーザーの削除

管理者権限またはフローティング ラ イセンス生成権限は、該当するユーザーの [Adminstrator] または [Floating] チェック ボッ クスをオフにする と、 無効にできます。

ユーザーをアカウン トから削除するには、 該当するユーザーのゴ ミ箱アイコンをク リ ッ ク します。

Send Feedback

Page 62: Vivado Design Suite...Vivado Design Suite 2014 リリース ノート japan.xilinx.com 2 UG973 (v2014.1) 2014 年 4 月 2 日 改訂履歴 次の表に、この文書の改訂履歴を示します。

Vivado Design Suite 2014 リ リース ノート japan.xilinx.com 66UG973 (v2014.1) 2014 年 4 月 2 日

付録 A

その他のリソースおよび法的通知

ザイリンクス リソースアンサー、 資料、 ダウンロード、 フォーラムなどのサポート リ ソースは、 次のザイ リ ンクス サポート サイ ト を参照してください。

ソリューシ ョ ン  センターデバイス、 ツール、 IP のサポートについては、 ザイ リ ンクス ソ リ ューシ ョ ン センターを参照して ください。 ト ピックには、 デザイン アシスタン ト 、 アドバイザリ、 ト ラブルシュート ヒ ン ト などが含まれます。

Xilinx Documentation Navigator

ザイ リ ンクス ツールおよびハードウェア資料は、Xilinx Documentation Navigator またはザイ リ ンクス ウェブサイ トから表示できます。 Documentation Navigator は、 Vivado® Design Suite に統合されているザイ リ ンクス資料およびビデオのカタログです。

Documentation Navigator の詳細は、 『Vivado Design Suite ユーザー ガイ ド : 入門』 (UG910) を参照してください。

Documentation Navigator には、 現時点では日本語資料がリ ス ト されませんので、 日本語版をご覧になる場合は、 日本語の資料サイ ト (http://japan.xilinx.com/support.html) を参照して ください。

ライセンスおよびエンド  ユーザー ライセンス契約ザイ リ ンクスは、 ザイ リ ンクス デザイン ツールで次のサードパーティ ベンダーのライセンスを使用する許諾を受けています。 各ライセンスは、 該当するソフ ト ウェアのみに適用されるもので、 その他に適用されるものではあ り ません。 サードパーティの所有するライセンスは英文のまま記載しています。 ライセンス ファ イルを生成する前に、ザイリ ンクス デザイン ツールおよびサードパーティ製品のエンド ユーザー ライセンス契約 (EULA) を許諾しておく必要があ り ます。

サードパーティ ライセンスの詳細および EULA については、http://japan.xilinx.com/cgi-bin/docs/rdoc?v=2014.1;d=ug763_tplg.pdf を参照してください。

ザイ リ ンクス デザイン ツール ライセンスの詳細および EULA については、http://japan.xilinx.com/cgi-bin/docs/rdoc?v=2014.1;d=end-user-license-agreement.pdf を参照して ください。

Send Feedback

Page 63: Vivado Design Suite...Vivado Design Suite 2014 リリース ノート japan.xilinx.com 2 UG973 (v2014.1) 2014 年 4 月 2 日 改訂履歴 次の表に、この文書の改訂履歴を示します。

Vivado Design Suite 2014 リ リース ノート japan.xilinx.com 67UG973 (v2014.1) 2014 年 4 月 2 日

付録 A : その他のリソースおよび法的通知

参考資料1. 『Vivado Design Suite ユーザー ガイ ド : ロジッ ク シ ミ ュレーシ ョ ン』 (UG900)

2. 『Vivado Design Suite ユーザー ガイ ド : パーシャル リ コンフ ィギュレーシ ョ ン』 (UG909)

3. 『Vivado Design Suite チュート リ アル : パーシャル リ コンフ ィギュレーシ ョ ン』 (UG909)

4. 『Vivado Design Suite ユーザー ガイ ド : 階層デザイン』 (UG905)

5. 『Vivado Design Suite チュート リ アル : 階層デザイン』 (UG946)

6. IP リ リース ノート ガイ ド (XTP025)

7. USB ケーブル インス トール ガイ ド (UG344)

8. Platform Cable USB II データシート (DS593)

9. Parallel Cable IV データシート (DS097)

10. ザイ リ ンクス ダウンロード センター (http://japan.xilinx.com/support/download/index.htm)

11. Xilinx Design Tools WebTalk ページ (http://japan.xilinx.com/ise/webtalk/)

12. Vivado Design Suite ビデオ チュート リ アル (http://japan.xilinx.com/training/vivado/index.htm)

13. Vivado Design Suite 資料 (http://japan.xilinx.com/support/documentation/dt_vivado2014-1.htm)

法的通知The information disclosed to you hereunder (the “Materials”) is provided solely for the selection and use of Xilinx products.To the maximum extentpermitted by applicable law:(1) Materials are made available "AS IS" and with all faults, Xilinx hereby DISCLAIMS ALL WARRANTIES ANDCONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY,NON-INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and (2) Xilinx shall not be liable (whether in contract or tort,including negligence, or under any other theory of liability) for any loss or damage of any kind or nature related to, arising under, or in connectionwith, the Materials (including your use of the Materials), including for any direct, indirect, special, incidental, or consequential loss or damage(including loss of data, profits, goodwill, or any type of loss or damage suffered as a result of any action brought by a third party) even if suchdamage or loss was reasonably foreseeable or Xilinx had been advised of the possibility of the same.Xilinx assumes no obligation to correct anyerrors contained in the Materials or to notify you of updates to the Materials or to product specifications.You may not reproduce, modify, distribute,or publicly display the Materials without prior written consent.Certain products are subject to the terms and conditions of Xilinx’s limited warranty,please refer to Xilinx’s Terms of Sale which can be viewed at http://www.xilinx.com/legal.htm#tos; IP cores may be subject to warranty and supportterms contained in a license issued to you by Xilinx.Xilinx products are not designed or intended to be fail-safe or for use in any applicationrequiring fail-safe performance; you assume sole risk and liability for use of Xilinx products in such critical applications, please refer to Xilinx’sTerms of Sale which can be viewed at http://www.xilinx.com/legal.htm#tos.

© Copyright 2012 - 2014 Xilinx, Inc. Xilinx, the Xilinx logo, Artix, ISE, Kintex, Spartan, Virtex, Vivado, Zynq, and other designated brandsincluded herein are trademarks of Xilinx in the United States and other countries.All other trademarks are the property of their respective owners.

本資料は英語版 (v2014.1) を翻訳したもので、 内容に相違が生じる場合には原文を優先します。

資料によっては英語版の更新に対応していないものがあ り ます。

日本語版は参考用と してご使用の上、 最新情報につきましては、 必ず最新英語版をご参照ください。

この資料に関するフ ィードバッ クおよびリ ンクなどの問題につきましては、 [email protected] までお知らせください。

いただきましたご意見を参考に早急に対応させていただきます。 なお、 このメール アドレスへのお問い合わせは受け付けており ま

せん。 あらかじめご了承ください。

Send Feedback