vivado design suite ユーザー ガイド -...

63
Vivado Design Suite ユーザー ガイド リリース ノート、 インストールお よびライセンス UG973 (v2013.2) 2013 6 19

Upload: others

Post on 26-Jun-2020

5 views

Category:

Documents


0 download

TRANSCRIPT

  • Vivado Design Suite ユーザー ガイド

    リ リース ノート、 インス トールおよびライセンス

    UG973 (v2013.2) 2013 年 6 月 19 日

  • Vivado Design Suite 2013.2 リ リース ノート japan.xilinx.com 2UG973 (v2013.2) 2013 年 6 月 19 日

    Notice of DisclaimerThe information disclosed to you hereunder (the “Materials”) is provided solely for the selection and use of Xilinx products.To the maximum extent permitted by applicable law:(1) Materials are made available "AS IS" and with all faults, Xilinx hereby DISCLAIMS ALL WARRANTIES AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and (2) Xilinx shall not be liable (whether in contract or tort, including negligence, or under any other theory of liability) for any loss or damage of any kind or nature related to, arising under, or in connection with, the Materials (including your use of the Materials), including for any direct, indirect, special, incidental, or consequential loss or damage (including loss of data, profits, goodwill, or any type of loss or damage suffered as a result of any action brought by a third party) even if such damage or loss was reasonably foreseeable or Xilinx had been advised of the possibility of the same.Xilinx assumes no obligation to correct any errors contained in the Materials or to notify you of updates to the Materials or to product specifications.You may not reproduce, modify, distribute, or publicly display the Materials without prior written consent.Certain products are subject to the terms and conditions of the Limited Warranties which can be viewed at http://www.xilinx.com/warranty.htm; IP cores may be subject to warranty and support terms contained in a license issued to you by Xilinx.Xilinx products are not designed or intended to be fail-safe or for use in any application requiring fail-safe performance; you assume sole risk and liability for use of Xilinx products in Critical Applications:http://www.xilinx.com/warranty.htm#critapps.© Copyright 2013 Xilinx, Inc. Xilinx, the Xilinx logo, Artix, ISE, Kintex, Spartan, Virtex, Vivado, Zynq, and other designated brands included herein are trademarks of Xilinx in the United States and other countries.ARM® is a registered trademark of ARM in the EU and other countries.CPRI is a trademark of Siemens AG.MATLAB and Simulink are registered trademarks of The MathWorks, Inc. PCI, PCIe and PCI Express are trademarks of PCI-SIG and used under license.All other trademarks are the property of their respective owners.

    本資料は英語版 (v2013.2) を翻訳したもので、 内容に相違が生じる場合には原文を優先します。資料によっては英語版の更新に対応していないものがあ り ます。日本語版は参考用と してご使用の上、 最新情報につきましては、 必ず最新英語版をご参照ください。

    この資料に関するフ ィードバッ クおよびリ ンクなどの問題につきましては、[email protected] までお知らせください。 いただきましたご意見を参考に早急に対応させていただきます。 なお、 このメール アドレスへのお問い合わせは受け付けており ません。 あらかじめご了承ください。

    改訂履歴次の表に、 この文書の改訂履歴を示します。

    日付 バージョ ン 改訂内容

    2013/06/19 2013.2 2013.2 リ リース用にアップデート

    2013/04/15 2013.1 第 1 章の 「Vivado XDC の変更」に詳細を追加、第 2 章の 「互換性のあるサードパーティ ツール」 にザイ リ ンクス IP に対する Vivado 合成の使用について追記

    2013/03/20 2013.1 初版

    mailto:[email protected]://www.xilinx.com/warranty.htmhttp://www.xilinx.com/warranty.htm#critappshttp://japan.xilinx.com

  • 目次

    改訂履歴 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2

    第 1 章 : リ リース ノート 2013.2新機能 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5重要な情報 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9既知の問題 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9

    第 2 章 : アーキテクチャ サポートおよびシステム要件オペレーティング システム . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10アーキテクチャ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10互換性のあるサードパーティ ツール . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11システム要件 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12

    第 3 章 : ダウンロードおよびインストールVivado Design Suite ツールのダウンロード . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 15Vivado Design Suite ツールのインス トール全 OS 共通の手順 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 16OS 別インス トール手順 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 16インス トール フロー . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18USB FLEXid ドングル ド ラ イバのインス トール . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 25ネッ ト ワーク インス トール . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 26アップデートの取得 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 27Vivado Design Suite ツールのアンインス トール . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 28

    第 4 章 : WebTalkWebTalk への参加. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 29WebTalk インス トールのプリ ファレンス設定 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 30WebTalk ユーザー プリ ファレンスの設定 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 31WebTalk インス トールおよびユーザー プリ ファレンスの確認 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 32収集されるデータの種類 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 32データの送信 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 32

    第 5 章 : ライセンスの取得および管理 製品ライセンス サイ トへのアクセス . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 34ザイ リ ンクス ユーザー アカウン ト情報の変更 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 35製品ライセンスのアカウン ト . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 37ユーザー タイプとアクシ ョ ン . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 37ライセンス キー ファ イルの作成 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 38ライセンス キー ファ イルの管理 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 44古いライセンス . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 47ツールおよび IP の購入情報 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 48ユーザー アクセスの管理 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 49

    Vivado Design Suite 2013.2 リ リース ノート japan.xilinx.com 3UG973 (v2013.2) 2013 年 6 月 19 日

    http://japan.xilinx.com

  • ライセンス キー ファ イルのインス トール . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 50

    第 6 章 : 以前のバージ ョ ンのリ リース ノートVivado 2013.1. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 52重要な情報 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 57既知の問題 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 61

    付録 A : その他のリソースザイ リ ンクス リ ソース . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 62ソ リ ューシ ョ ン センター . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 62Xilinx Documentation Navigator . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 62ライセンスおよびエンド ユーザー ラ イセンス契約 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 62リ ファレンス . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 63

    Vivado Design Suite 2013.2 リ リース ノート japan.xilinx.com 4UG973 (v2013.2) 2013 年 6 月 19 日

    http://japan.xilinx.com

  • Vivado Design Suite 2013 リ リース ノート japan.xilinx.com 5UG973 (v2013.2) 2013 年 6 月 19 日

    第 1 章

    リ リース ノート 2013.2

    新機能Vivado® Design Suite 2013.2 は、 インテグレーシ ョ ンに要する期間を短縮し、 システム レベル デザインを高速化します。Vivado IP インテグレーターが公式にリ リースされました。Vivado IP インテグレーターは、デバイスおよびプラ ット フォームの情報が認識されたインタ ラ クテ ィブなグラフ ィ カル環境で、 IP サブシステムを自動的に生成します。Vivado 高位合成および System Generator for DSP も Vivado IP インテグレーターに統合されており、 All ProgrammableFPGA および SoC の包括的な開発環境を提供します。 また、 実行時間の短縮、 新しい End-to-End デバッグ機能により、 システム統合をよ り短時間で実行できます。

    デバイス サポート次のデバイスをプロダクシ ョ ンでサポート

    • Zynq®-7000 デバイス

    ° 7Z010、 7Z020、 および 7Z100

    • 防衛グレード Zynq-7000Q

    ° 7Z010、 7Z020、 および 7Z030

    • 防衛グレード Virtex®-7Q

    ° VX690T および VX980T

    • 防衛グレード Artix®-7Q

    ° A100T および A200T• XA Artix-7

    ° A100T

    http://japan.xilinx.com

  • Vivado Design Suite 2013 リ リース ノート japan.xilinx.com 6UG973 (v2013.2) 2013 年 6 月 19 日

    新機能

    Vivado System Edition 製品

    Vivado 高位合成

    • OpenCV インターフェイスを使用するビデオ ラ イブラ リで次の 12 個の関数のサポート を追加

    ° hls::CornerHarris、 hls::EqualizeHist、 hls::FASTX、 hls::GaussianBlur、 hls::Harris

    ° hls::HoughLines2、 hls::Integral、 hls::InitUndistortRectifyMap、 hls::PaintMask

    ° hls::Remap、 hls::Resize、 hls::Sobel

    • Vivado HLS から直接、 加算器を DSP48 にインプ リ メンテーシ ョ ンするよ う ターゲッ ト

    ° これまでは、加算器または減算器の DSP48 へのインプ リ メンテーシ ョ ンは RTL 合成で設定されていました。新しい AddSub_DSP コアによ り、 Vivado HLS 内で、 加算または減算を DSP48 を使用して実行するよ う指定できるよ うにな り ました。

    • ザイ リ ンクス FFT コアを C/C++ ソース コードに直接インスタンシエート可能。 この機能はベータです。 この機能の使用に関する詳細は、 最寄りの販売代理店にお問い合わせください。

    System Generator for DSP

    • ツールの統合

    ° System Generator for DSP に Vivado IP インテグレーターのサポート を追加

    - ゲート ウェイ入力および出力をサポートする IP と してパッケージ化されたモデルを、 インターフェイスまたはポート と してパッケージ化

    - Gateway ブロ ッ クで AXI4-Stream インターフェイスを指定し、 Gateway ブロ ッ クから AXI4-Lite およびAXI4-Stream インターフェイスを推論可能

    - System Generator でサンプル RTL Vivado プロジェク トおよびサンプル IP インテグレーター ブロ ッ ク ダイアグラムを生成し、 パッケージ化された IP を簡単に評価可能

    - System Generator と IP インテグレーターの統合に関するチュート リ アルを初版リ リース

    ° MATLAB® および Simulink® リ リース R2013a をサポート

    • ブロッ クセッ トの向上

    ° FIR Compiler v7.1 にエリア、 スピード、 およびカスタム最適化オプシ ョ ンを追加

    ° モデル アップグレード フローに、 ポートおよびパラ メーターをチェッ クし、 HTML レポート を生成する機能を追加

    ° キャ ッシュを向上し、 次のモデル コンパイルを高速化

    Vivado Design Edition ツール

    統合設計環境

    • [Sources] ビューの [Compile Order] タブでファイルのコンパイル順を表示

    ° 合成、 インプリ メンテーシ ョ ン、 シ ミ ュレーシ ョ ンのいずれかを選択

    ° report_compile_order コマンドからのファイル順を表示

    • メ ッセージ数を手動でリセッ ト

    http://japan.xilinx.com

  • Vivado Design Suite 2013 リ リース ノート japan.xilinx.com 7UG973 (v2013.2) 2013 年 6 月 19 日

    新機能

    消費電力

    • Zynq-7000 をサポート (プロセッサ サブシステムおよびプログラマブル ロジッ クを含む)

    • 7 シ リーズ デバイスの XADC ブロ ッ クのパワー ダウン モード をモデリ ング

    Vivado IP インテグレーター

    • 完全なプロダクシ ョ ン リ リース。 Vivado Design Suite のすべてのライセンスで使用可能です。

    • デザインを最新の IP バージ ョ ンに移行する自動 IP アップグレード フロー

    • IP インテグレーターで生成されたエラーおよび警告からク ロスプローブ可能

    • System Generator で生成された IP との統合

    • IP インテグレーターで生成されたデザインの合成を最大 4 倍高速化

    • ECC (エラー訂正コード ) を IP インテグレーター内の MicroBlaze™ でサポート

    Vivado IP カタログ

    • プロジェク ト ベースのデザインでボ ト ムアップ合成をイネーブルにし、 合成時間を短縮

    ° 変更されていない IP は再合成されません。

    • IP 管理フローを大幅に向上

    ° IP の作成と管理を簡略化。IP のネッ ト リ ス ト生成を管理するため、IP プロジェク トが自動的に作成されます。

    ° IP の合成済みデザイン チェッ クポイン ト (DCP) を生成するこ とによ り、 IP をサードパーティ合成ツールを使用してブラ ッ ク ボッ クス フローで使用可能

    ° IP の DCP および Verilog スタブ ファ イルを IP の XCI ファ イルと同じ場所に配置

    ° サードパーティ シ ミ ュレータを使用したビヘイビアー シ ミ ュレーシ ョ ン用に IP シ ミ ュレーシ ョ ン ソースファ イルをコンパイルするスク リプ ト を提供

    • IP 制約の処理を向上

    ° IP DCP に IP のネッ ト リ ス トおよび制約を含める

    ° 制約の範囲を自動的に設定

    Vivado シミ ュレータ

    • 実行時間を短縮

    ° Vivado シ ミ ュレータでコンパイルを再実行するかをユーザーが制御可能です。 詳細は、 『Vivado Design Suiteユーザー ガイ ド : ロジッ ク シ ミ ュレーシ ョ ン』 (UG900) を参照して ください。

    • シ ミ ュレーシ ョ ン後の処理の自動化を向上

    ° シ ミ ュレーシ ョ ン後の Tcl フッ ク スク リプ ト機能が追加されています。 詳細は、 『Vivado Design Suite ユーザー ガイ ド : ロジッ ク シ ミ ュレーシ ョ ン』 (UG900) を参照して ください。

    スタテ ィ ッ ク タイ ミング解析

    • ツールで生成されるク ロ ッ クの名前を変更可能 (create_generated_clock -name オプシ ョ ン)

    • ク ロ ッ ク ネッ ト ワーク レポートからインタラ クティブにクロ ッ ク制約を作成

    ° 新しい右ク リ ッ ク メニュー

    ° ク ロ ッ クおよび生成クロ ッ クを作成

    http://japan.xilinx.com/cgi-bin/docs/rdoc?v=2013.2;d=ug900-vivado-logic-simulation.pdfhttp://japan.xilinx.com/cgi-bin/docs/rdoc?v=2013.2;d=ug900-vivado-logic-simulation.pdfhttp://japan.xilinx.com

  • Vivado Design Suite 2013 リ リース ノート japan.xilinx.com 8UG973 (v2013.2) 2013 年 6 月 19 日

    新機能

    Vivado I/O プランナー

    • SSN 解析の解像度を向上

    ° 温度グレード ベースの SSN 解析をイネーブル

    Vivado デバッグ

    • デバイス サポート :

    ° Zynq 7Z100 のサポート を追加

    ° 7 シ リーズ XQ パッケージのサポート を追加およびスピード グレードを変更

    ° Virtex-7 HT GES デバイス (7VHT580T および 7VHT870T) のサポート を追加

    • TCF (Target Communication Framework) エージェン ト (hw_server) :

    ° ザイ リ ンクス プラ ッ ト フォーム USB JTAG ケーブルのサポート を追加

    ° TCF エージェン ト (hw_server) の自動開始

    ° JTAG ケーブルの自動検出

    • シ リ アル I/O デバッグ :

    ° Vivado シ リアル I/O 解析機能で IBERT 7 Series GTZ 3.0 をサポート

    • 同じ JTAG チェーンの複数の FPGA をデバッグするためのサポート を追加

    ザイリンクス PCIe IP の Tandem コンフ ィギュレーシ ョ ン

    PCI™ Express の高速列挙要件を満たすために Tandem コンフ ィギュレーシ ョ ンを使用可能です。 2 段階ビッ ト ス トリームを FPGA に供給できます。 最初の段階では、 ザイ リ ンクス PCIe® IP およびすべてのデザイン エレ メン トがコンフ ィギュレーシ ョ ンされ、 この IP が個別にできるだけ高速に機能するよ うにします。 2 番目の段階では、 PCIe リンクを機能させながらデバイス コンフ ィギュレーシ ョ ンを完了させます。 これには、 2 つの方法があ り ます。 TandemPROM で同じフラ ッシュ デバイスから両方の段階を読み込む方法と、 Tandem PCIe で PCIe リ ンクを介して 2 番目の段階を読み込む方法です。 すべての PCIe コンフ ィギュレーシ ョ ンは、 X8 Gen3 までがサポート されます。

    • Tandem コンフ ィギュレーシ ョ ンは、Tandem PROM および Tandem PCIe の両方と も、プロダクシ ョ ン ステータスでリ リースされています。 このステータスのデバイスは、 次のとおりです。

    ° XC7K325T-FFG900

    ° XC7VX485T-FFG1761 (PCIE X1Y0 ロケーシ ョ ンが必要)

    ° XC7VX690T-FFG1761 (PCIE X0Y1 ロケーシ ョ ンが必要)

    • Tandem コンフ ィギュレーシ ョ ンは、 Tandem PROM および Tandem PCIe の両方と も、 次のデバイスでベータ リリースされています。 これらのデバイスでのハードウェア テス トは限られています。

    ° XC7K160T-FFG676

    ° XC7K410T-FFG676

    ° XC7VX415T-FFG1158 (PCIE X0Y0 ロケーシ ョ ン推奨)

    ° XC7VX550T-FFG1158 (PCIE X0Y1 ロケーシ ョ ン推奨)

    • 詳細は、 Gen2 PCIe IP の場合は PG054 (v2.1)、 Gen3 PCIe IP の場合は PG023 (v2.1) を参照して ください。

    http://japan.xilinx.com

  • Vivado Design Suite 2013 リ リース ノート japan.xilinx.com 9UG973 (v2013.2) 2013 年 6 月 19 日

    重要な情報

    重要な情報

    Vivado デザインの Vivado Design Suite 2013.1 から 2013.2 への移行

    既存 IP のアップデート• AXI Ethernet

    ° 1 ステップおよび 2 ステップに新しい IEEE 1588 ハードウェア タイムスタンプ• AXI Ethernet Lite

    ° Virtex-7 をプロダクシ ョ ンでサポート

    • Tri-Mode Ethernet MAC

    ° Virtex-7、 Artix-7、 Zynq-7000 をプロダクシ ョ ンでサポート• GMII to RGMII

    ° Zynq-7000 をプロダクシ ョ ンでサポート

    • 10G Ethernet MAC、 RXAUI、 XAUI

    ° Virtex-7、 Zynq-7000 をプロダクシ ョ ンでサポート

    • PCI32 および PCI64

    ° Artix-7 をプロダクシ ョ ンでサポート

    • ザイ リ ンクス IP コアの詳細な リ ス トは、 『IP リ リース ノート ガイ ド』 (XTP025) [参照 2] を参照して ください。

    既知の問題Vivado Design Suite の既知の問題は、 アンサー 55120 を参照して ください。

    表 1-1 : Vivado デザインを Vivado Design Suite 2013.2 に移行する際の詳細

    2013.2 での変更点 デザインへの影響 解決策

    ザイ リ ンク ス 2013.1 IP : 2013.2 ツールでは、 制約が異なる順序で処理されます。

    • 2013.2 では、デフォルトで IP 制約がユーザー制約の前に処理されます。2013.1 では、IP 制約はユーザー制約の後に処理されていました。

    • 2013.2 では、 _clocks.xdc ファ イルにク ロ ッ ク定義は含まれません。このファ イルには、 ク ロ ッ クに依存する制約が含まれます。 また、このファ イルはデフォル ト でユーザー制約の後に処理されます。

    • タイ ミ ング エラー、 ク リ ティカル警告、 またはその他の問題が発生する可能性があ り ます。

    ザイ リ ンクス IP は、2013.2 で再生成してください。

    IP 管理フ ローで自動的に IP プロジェク トが作成されます。

    • 2013.1セッシ ョ ンからの IP ディ レク ト リは、 2013.2 IP プロジェク トに自動的に読み込まれません。

    IP プロジェク ト セッシ ョ ンの Tcl コンソールで read_ip コマンドを使用して IP .xci を読み込む必要があ ります。

    http://japan.xilinx.com/cgi-bin/docs/ndoc?t=answer+record;d=55120.htmhttp://japan.xilinx.com

  • Vivado Design Suite 2013.2 リ リース ノート japan.xilinx.com 10UG973 (v2013.2) 2013 年 6 月 19 日

    第 2 章

    アーキテクチャ サポートおよびシステム要件

    オペレーティング システムザイ リ ンクスでサポート される OS は、 x86 および x86-64 プロセッサ アーキテクチャの OS のみです。

    Microsoft Windows サポート• Windows XP Professional (32 ビッ トおよび 64 ビッ ト )、 英語版/日本語版

    • Windows 7 Professional (32 ビッ トおよび 64 ビッ ト )、 英語版/日本語版

    • Windows Server 2008 (64 ビッ ト )

    Linux サポート• Red Hat Enterprise Workstation 5 (32 ビッ トおよび 64 ビッ ト )

    • Red Hat Enterprise Workstation 6 (32 ビッ トおよび 64 ビッ ト )

    • SUSE Linux Enterprise 11 (32 ビッ トおよび 64 ビッ ト )

    アーキテクチャ次の表は、 Vivado® Design Suite WebPACK™ ツールとその他の Vivado Design Suite エディシ ョ ンのコマーシャル製品のアーキテクチャ サポート を リ ス ト しています。

    コマーシャル製品以外 :

    • ザイ リ ンクス オートモーティブ デバイスはすべて Vivado Design Suite WebPACK ツールでサポート されます。

    • ディフェンス グレードのザイ リ ンクス FPGA デバイスは、 同等のコマーシャル パーツ サイズのサポート されるエディシ ョ ンでサポート されます。

    表 2-1 : アーキテクチャ サポート

    Vivado WebPACK ツールVivado Design Suite(全エディシ ョ ン)

    Zynq™ デバイス Zynq-7000 デバイス (早期アクセス)• XC7Z010、 XC7Z020、 XC7Z030

    Zynq-7000 デバイス (早期アクセス)• すべて

    Virtex® FPGA Virtex-7 FPGA• なし

    Virtex-7 FPGA• すべて

    http://japan.xilinx.com

  • Vivado Design Suite 2013.2 リ リース ノート japan.xilinx.com 11UG973 (v2013.2) 2013 年 6 月 19 日

    互換性のあるサードパーティ ツール

    互換性のあるサードパーテ ィ ツール

    Kintex™ FPGA Kintex-7 FPGA• XC7K70T、 XC7K160T

    Kintex-7 FPGA• すべて

    Artix™ FPGA Artix-7 FPGA• XC7A100T、 XC7A200T

    Artix-7 FPGA• すべて

    表 2-2 : 互換性のあるサードパーティ ツール

    サードパーティ ツール

    Red Hat Linux

    Red Hat Linux-64 SUSE Linux

    Windows XP 32 ビッ ト

    Windows XP 64 ビッ ト

    Windows-7 32 ビッ ト

    Windows-7 64 ビッ ト

    シミ ュレーシ ョ ン

    Mentor Graphics ModelSim SE/DE (10.1b)

    ○ ○ ○ ○ ○ ○ ○

    Mentor Graphics ModelSim PE (10.1b) なし なし なし ○ ○ ○ ○

    Mentor Graphics Questa Advanced Simulator(10.1b)

    ○ ○ ○ ○ ○ ○ ○

    Cadence Incisive Enterprise Simulator (IES) (12.2)

    ○ ○ ○ なし なし なし なし

    Synopsys VCS および VCS MX (G-2012.09) ○ ○ ○ なし なし なし なし

    The MathWorks MATLAB® および Simulink® with Fixed-Point Toolbox (2011a、 2011b、2012a、 2012b)

    ○ ○ ○ ○ ○ ○ ○

    Aldec Active-HDL (9.2)a なし なし なし ○ ○ ○ ○

    Aldec Riviera-PRO (2012.10) ○ ○ ○ ○ ○ ○ ○

    合成b

    Synopsys Synplify/Synplify Pro (H-2013.03)c

    ○ ○ ○ ○ ○ ○ ○

    Mentor Graphics Precision RTL/Plus (2012c)

    ○ ○ ○ ○ ○ ○ ○

    表 2-1 : アーキテクチャ サポート

    Vivado WebPACK ツール Vivado Design Suite(全エディシ ョ ン)

    http://japan.xilinx.com

  • Vivado Design Suite 2013.2 リ リース ノート japan.xilinx.com 12UG973 (v2013.2) 2013 年 6 月 19 日

    システム要件

    システム要件このセクシ ョ ンでは、 システム メモ リ要件、 ケーブル インス トール、 およびその他の要件について説明します。

    システム メモリ要件Vivado Design Suite ツールの推奨メモ リについては、 http://japan.xilinx.com/design-tools/vivado/memory.htm を参照してください。

    OS と使用可能なメモリ

    Microsoft Windows および Linux® OS のアーキテクチャ場合、 ザイ リ ンクス プログラムに使用できる メモ リの最大容量に制限があ り、 大規模デバイスおよび複雑なデバイスを作成する際に問題となる こ とがあ り ます。 Vivado DesignSuite には最適化メモ リが含まれており、 ザイ リ ンクス ツール用に RAM を増加できるよ うになっています。

    Windows XP Professional 32 ビッ ト

    ザイ リ ンクス アプリ ケーシ ョ ンでは、 Windows 32 ビッ ト システムでメモ リ増加機能が取り入れられるよ うになっていますが、 ユーザーの方でもよ り大規模なメモリ を使用できるよ うに Windows 設定を変更する必要があ り ます。

    標準の Windows では、ザイ リ ンクスのプロセスに使用できる最大メモリ容量は 2GB ですが、Windows XP Professionalの場合は、 RAM を 3 GB まで増加できるよ うになっています。 Vivado Design Suite にはこのオプシ ョ ンがビルト インされていますが、 Windows XP OS にも修正を加えないと、 メモ リは拡張できません。 拡張するには boot.ini ファ イルの startup ラインの終わりに /3GB を追加する必要があ り ます。

    ザイ リ ンクス アプリ ケーシ ョ ンで 3GB サポート を使用する前に、 マイ ク ロソフ トのサポート技術情報 #328269 を参照して ください。 Windows XP サービス パッ ク 1 にアップグレード し、 /3GB オプシ ョ ンを使用する場合、マイ ク ロソフ トからのパッチをインス トールしていないとマシンを再起動できません。詳細については、 アンサー 17905 を参照して ください。

    変更を加える前に、 次を参照して ください。

    • 4GT RAM チューニン グのアプ リ ケーシ ョ ン使用については、 Microsoft Bulletin Q17193 を参照してください。

    • boot.ini フ ァ イルの修正方法については、 Microsoft Bulletin Q289022 を参照してください。

    等価性チェ ック

    Cadence Encounter Conformal (9.1)d ○ ○ ○ なし なし なし なし

    a. 注記 : Aldec シ ミ ュレータのサポートは Aldec 社よ り提供されています。

    b. 注記 : RTL ソースには暗号化されたファイルが含まれる可能性があるので、ほとんどの Vivado IP は Vivado 合成でのみ合成できます。 これらの IP をサードパーティ合成フローで使用するには、 Vivado ツールから合成済みネッ トリ ス ト を最適な形式でエクスポート して、 サードパーティ合成プロジェク トで使用できるよ うにします。

    c. 注記 : Synplify Overlay またはサービス パッ クの利用については、 Synopsys 社にお問い合わせください。

    d. 注記 : Cadence Encounter Conformal は、 Synopsys 社の Synplify を使用した場合にのみ RTL2Gate 用にサポート されます。

    表 2-2 : 互換性のあるサードパーティ ツール

    サードパーティ ツール

    Red Hat Linux

    Red Hat Linux-64 SUSE Linux

    Windows XP 32 ビッ ト

    Windows XP 64 ビッ ト

    Windows-7 32 ビッ ト

    Windows-7 64 ビッ ト

    http://japan.xilinx.com/design-tools/vivado/memory.htmhttp://support.microsoft.com/?kbid=328269http://japan.xilinx.com/cgi-bin/docs/ndoc?t=answer+record;d=17905.htmhttp://support.microsoft.com/default.aspx?scid=kb;en-us;Q171793http://support.microsoft.com/default.aspx?scid=kb;en-us;q289022http://japan.xilinx.com

  • Vivado Design Suite 2013.2 リ リース ノート japan.xilinx.com 13UG973 (v2013.2) 2013 年 6 月 19 日

    システム要件

    Linux

    32 ビッ トの Red Hat Enterprise Linux では、 大規模メモ リのカーネルを使用して各プロセスに 4GB 割り当てるこ とができます。 詳細については、 Red Hat のサポート サイ ト (http://www.redhat.com/docs/manuals/enterprise/) を参照して ください。

    ケーブル インストール要件ザイ リ ンクス デザイン ツールでターゲッ ト ハードウェアをプログラムおよびコンフ ィギュレーシ ョ ンするには、 ハイ パフォーマンスなケーブル、 Platform Cable USB II が必要です。

    注記 : デバッグまたはプログラムに使用されていた Xilinx Parallel Cable IV は、 サポート されなくなっています。

    Platform Cable USB II をインス トールする場合は、 少なく と も USB 1.1 ポートが必要にな り ます。 最適なパフォーマンスには、 USB 2.0 ポートで Platform Cable USB II を使用するこ とをお勧めします。

    ケーブルは、 Windows XP Professional、 Windows 7、 Redhat Linux Enterprise、 SUSE Linux Enterprise 11 の 32 ビッ トおよび 64 ビッ ト バージ ョ ンで公式にサポート されています。 OS 別の注意点は、 次を参照してください。

    • ルート ディ レク ト リへの権限が必要です。

    • SUSE Linux Enterprise 11 :Platform Cable USB II が正し く動作するためには、 fxload ソフ ト ウェア パッケージが必要です。 fxload パッケージは SUSE Linux Enterprise 11 には自動的にインス トールされないので、 そのユーザーまたはシステム管理者がインス トールしておく必要があ り ます。

    • Linux LibUSB サポート :LibUSB パッケージに基づく Platform Cable USB II のサポートは、ザイ リ ンクスの Web サイ トから入手できます。 詳細は、 アンサー 29310 を参照して ください。

    ザイ リ ンクス ケーブルに関するその他の情報は、 次のマニュアルを参照して ください。

    • 『USB ケーブル インス トール ガイ ド』 (UG344) [参照 3]

    • 『Platform Cable USB II データシート 』 (DS593) [参照 4]

    • 『Parallel Cable IV データシート 』 (DS097) [参照 5]

    装置とアクセス権

    次の表は、 関連装置、 アクセス権、 ネッ ト ワーク接続の条件を示しています。

    表 2-3 : 装置とアクセス権の要件

    注記 : Exceed、 ReflectionX、 XWin32 のよ うな X サーバー / リモート デスク ト ップ サーバーはサポート されません。

    項目 要件

    ディ レク ト リのアクセス権 編集するデザイン ファ イルが含まれるディ レ ク ト リすべての書き込み権が必要です。

    モニター 解像度 1024x768 ピクセル以上の 16 ビッ ト カラー VGA をお勧めします。

    ド ライブ Vivado Design Suite には DVD-ROMが必要です (Web からのダウンロードではな く、DVD を請求してインス トールする場合)。

    ポート デバイスのプログラムには、 ザイ リ ンクス プログラム ケーブル用にパラレル ポート、USB ポートのいずれかが必要です。ポートの仕様については、ケーブルのマニュアルを参照してください。

    注記 : ケーブル ド ラ イバ ソフ ト ウェアのインス トールには、Windows XP Pro SP1 (またはそれ以降) または Windows-7 が必要です。 これらの OS を使用していない場合、ケーブルが正し く動作しないこ とがあ り ます。

    http://japan.xilinx.com/support/documentation/data_sheets/ds097.pdfhttp://japan.xilinx.com/support/documentation/data_sheets/ds097.pdfhttp://japan.xilinx.com/support/documentation/data_sheets/ds097.pdfhttp://www.redhat.com/docs/manuals/enterprise/http://japan.xilinx.com/cgi-bin/docs/ndoc?t=answer+record;d=29310.htmhttp://japan.xilinx.com

  • Vivado Design Suite 2013.2 リ リース ノート japan.xilinx.com 14UG973 (v2013.2) 2013 年 6 月 19 日

    システム要件

    ネッ トワーク時刻の同期化

    ソフ ト ウェアがインス トールされたコンピュータ とは別のネッ ト ワーク コンピュータにユーザーのデザイン ファ イルを保存する場合、 どちらのコンピュータも同時刻に設定する必要があ り ます。 ソフ ト ウェアのファンクシ ョ ンを最適にするため、 時刻は定期的に合わせてください。

    http://japan.xilinx.com

  • Vivado Design Suite 2013.2 リ リース ノート japan.xilinx.com 15UG973 (v2013.2) 2013 年 6 月 19 日

    第 3 章

    ダウンロードおよびインストールこの章では、 Vivado® Integrated Design Environment (IDE)、 高位合成合成および System Generator for DSP などを含むVivado Design Suite のダウンロードおよびインス トール方法について説明します。

    Vivado Design Suite ツールのダウンロードこのセクシ ョ ンでは、 Vivado Design Suite のダウンロード方法を説明します。

    Vivado Design Suite ツールはスタン ドアロンでダウンロードできるので、容量が少ない高速なダウンロードができます。

    注記 : Vivado Design Suite 2013.2 には、 次のよ うなデバイス プログラム機能が含まれます。

    - JTAG ケーブルに接続して、 デバイス チェーンをクエ リー検索

    - BIT ファ イルを使用してザイ リ ンクス 7 シ リーズ FPGA デバイスを直接プログラム

    - ザイ リ ンクス 7 シ リーズ FPGA デバイスのさまざまなステータス レジスタをチェッ ク

    ザイ リ ンクス ISE ラボ ツールおよび ISE Design Suite に含まれるその他すべての機能を使用する場合は、 ザイ リ ンクス ISE ラボ ツールのスタンドアロン バージ ョ ンをインス トールする必要があ り ます。

    まず、 インターネッ ト ブラウザを起動し、 http://japan.xilinx.com/support/download/index.htm にアクセスします。

    ザイ リ ンク ス ダウンロード センターのファ イルはほとんどが Akamai ダウンロード マネージャを使用してダウンロード されます。 ダウンロード前に、 次を設定しておいてください。

    • http://xilinx.entitlenow.com からのポップアップを許可する

    • 保護されている項目もされていない項目も同じページに表示されるよ うにセキュ リ ティ設定をする

    • Java をインス トールして Akamai マネージャを実行できるよ うにする

    ザイ リ ンクス デザイン ツールをダウンロードするには、 次の手順に従ってください。

    1. ダウンロード サイ トで該当するデザイン ツールのタブ (例 : [Vivado デザイン ツール] タブ) をク リ ッ ク します。

    2. 左側からダウンロードするツールのバージ ョ ンをク リ ッ ク します。

    3. ダウンロードするインス トーラーのリ ンクをク リ ッ ク します。

    注記 : 複数ファイル ダウンロードのオプシ ョ ンには、 4 つの小さいダウンロード可能な圧縮ファイルが含まれており、大きなインス トール ファ イルをダウンロードするのに問題があるお客様にお勧めします。このオプシ ョ ンを使用する場合は、 インス トーラーを実行する前に、 このセクシ ョ ンに リ ス ト される 4 つのファイルすべてがダウンロード されている必要があ り ます。 詳細は、 ウェブページの 「重要な情報」 部分を参照して ください。

    4. ユーザー ID とパスワードを入力し、 ザイ リ ンクス アカウン トにログインします。

    注記 : ザイ リ ンクス アカウン ト をお持ちでない場合は、 アカウン ト を作成してください。

    住所を確認する画面が表示されます。

    http://japan.xilinx.comhttp://japan.xilinx.com/support/download/index.htm

  • Vivado Design Suite 2013.2 リ リース ノート japan.xilinx.com 16UG973 (v2013.2) 2013 年 6 月 19 日

    Vivado Design Suite ツールのインストール全 OS 共通の手順

    5. 住所を確認し、 [次へ] をク リ ッ ク します。

    6. Akamai ダウンロード マネージャがブラウザ内で起動され、 ダウンロード プロセスが実行されます。 Akamai ダウンロード マネージャーの使用に問題がある場合は、 ダウンロード ページの 「重要な情報」 の 「ダウンロードできない場合」 セクシ ョ ンを参照してください。ダウンロード マネージャーを使用しないでツールを取得する方法については、 そこに表示されるアンサーへのリ ンクを参照して ください。

    ザイ リ ンク ス ダウンロード センターファ イルのほとんどは TAR を使用して圧縮されており、 解凍にはサードパーティ プロバイダーのソフ ト ウェアが必要になり ます。 どのソフ ト ウェアを使用するかは、 各企業の IT 部門にお尋ねください。TAR ファ イルによ く使用されるソフ ト ウェアには、7-ZIP、GNU ビルト イン ツール、WinZIP、WinRar などがあ り、それぞれのプロバイダーで開発されているので、ザイ リ ンクスでは関与しており ません。ザイ リ ンクスでは、 これらの提案されるソフ ト ウェア ツールに関して、市販性、特定目的との適合性、不侵害などの点を含め、 明示黙示を問わず一切保証も表明もいたしません。

    Vivado Design Suite ツールのインストール全 OS 共通の手順このセクシ ョ ンでは、 すべての OS に共通する Vivado Design Suite のインス トール方法を示します。 各 OS でインストールを始める前に、 次を実行して ください。

    • インス トール時間を削減するため、 アンチウイルス ソフ ト ウェアをオフにします。

    • デザイン ツールをインス トールするシステムに適切な権限があるこ とを確認します。 プログラム ケーブル デバイス ド ラ イバなどのコンポーネン トには、 管理者権限の必要なものもあ り ます。

    • インス トールを開始する前に、 すべてのプログラムを終了します。

    • システムが第 2 章 「アーキテクチャ サポートおよびシステム要件」 に示されている必要条件を満たしているかどうか確認します。

    • システムまたは製品オプシ ョ ンに伴う インス トールの問題については、第 1 章の 「重要な情報」 を参照してください。

    • Vivado Design Suite のインス トーラーは、Windows では XILINX のよ うなグローバル環境変数を設定しません。これによるザイ リ ンクス デザイン ツールへの影響ついては、 「OS 別インス トール手順」 を参照してください。

    • ネッ ト ワークの 64 ビッ ト マシンに 32 ビッ ト マシンから xsetup.exe を実行する と、 32 ビッ トの EXE ファ イルが 64 ビッ ト マシンにインス トールされます。

    OS 別インストール手順このセクシ ョ ンでは、 Vivado Design Suite ツールのインス トール方法について、 OS 別に説明します。

    Microsoft Windowsインス トールの開始方法は、 インス トール プログラムの取得方法によって異なり ます。 詳細は、 「Vivado Design Suiteツールのダウンロード」 を参照して ください。

    • インス トール ファ イルをダウンロード した場合は、 そのファイルを解凍し、 含まれる xsetup.exe を実行します。

    • インス トール ファ イルを複数部分に分けてダウンロード した場合は、 .zip 拡張子の付いたファイルを解凍し、xsetup.exe を実行します。 それ以外のファイルは解凍しないでください。

    http://japan.xilinx.com

  • Vivado Design Suite 2013.2 リ リース ノート japan.xilinx.com 17UG973 (v2013.2) 2013 年 6 月 19 日

    OS 別インストール手順

    • ザイ リ ンクス デザイン ツールの DVD をお持ちの場合は、 その DVD をド ラ イブに入れます。 インス トールは自動的に始まるはずですが、 始まらない場合は、 Windows Explorer から DVD ディレク ト リの xsetup.exe を実行します。

    Linuxインス トールの開始方法は、 インス トール プログラムの取得方法によって異なり ます。 詳細は、 「Vivado Design Suiteツールのダウンロード」 を参照して ください。

    • インス トール ファ イルをダウンロード した場合は、 そのファイルを解凍し、 含まれる xsetup プログラムを実行します。

    • インス トール ファ イルを複数部分に分けてダウンロード した場合は、 .zip 拡張子の付いたファイルを解凍し、xsetup を実行します。 それ以外のファイルは解凍しないでください。

    • ザイ リ ンクス デザイン ツールの DVD を請求してお持ちの場合は、 その DVD をド ラ イブに入れます。 ファ イルマネージャでセ ッ ト ア ップ フ ァ イルを ク リ ッ クするか、 DVD ド ラ イブのルー ト デ ィ レ ク ト リ を参照し、./xsetupと入力します。

    http://japan.xilinx.com

  • Vivado Design Suite 2013.2 リ リース ノート japan.xilinx.com 18UG973 (v2013.2) 2013 年 6 月 19 日

    インストール フロー

    インス トール フローこのセクシ ョ ンでは、 インス トール プロセス中に表示される主な画面について説明します。

    注記 : インス トール画面でチェッ ク ボッ クスのあるテキス ト部分をク リ ッ クする と、画面下のフ ィールドにその詳細が表示されます。

    ウェルカム画面

    EXE ファ イルを実行する と、 ウェルカム画面が表示されますので、 [Next] をク リ ッ ク します。X-Ref Target - Figure 3-1

    図 3-1 : ウェルカム画面

    http://japan.xilinx.com

  • Vivado Design Suite 2013.2 リ リース ノート japan.xilinx.com 19UG973 (v2013.2) 2013 年 6 月 19 日

    インストール フロー

    ダウンロード ディ レク ト リの選択この手順は、複数の圧縮ファイルををダウンロード した場合に実行する必要があ り ます。1 つのイ メージをダウンロード した場合は、 「ソフ ト ウェア ライセンスの承諾」 へ進んでください。

    この画面には、 インス トールを完了するのに必要な追加インス トール ファ イルがすべて リ ス ト されます。 ユーザーは、 ツールでこれらのファイルを含むディ レク ト リ を指定する必要があ り ます。必要なファイルはすべて同じディ レク ト リにある必要があ り ます。 正しいファイルが認識される と、 圧縮ファイルが破損しないよ うに、 インス トーラーでこれらのファイルのインテグ リティがチェッ ク されます。 このプロセスには、 数分かかるこ とがあ り ます。

    X-Ref Target - Figure 3-2

    図 3-2 : Vivado Design Suite のインストール - ダウンロード ディ レク ト リの選択

    http://japan.xilinx.com

  • Vivado Design Suite 2013.2 リ リース ノート japan.xilinx.com 20UG973 (v2013.2) 2013 年 6 月 19 日

    インストール フロー

    ソフ トウェア ライセンスの承諾最初の画面の後は、次のよ う なソフ ト ウェア ラ イセンスの承諾を求める画面が 2 つ表示されます。どちらの画面でも次を実行します。

    1. [I accept and agree to the terms and conditions above] をオンにします。

    2. [Next] をク リ ッ ク します。X-Ref Target - Figure 3-3

    図 3-3 : ソフ トウェア ライセンスの承諾

    http://japan.xilinx.com

  • Vivado Design Suite 2013.2 リ リース ノート japan.xilinx.com 21UG973 (v2013.2) 2013 年 6 月 19 日

    インストール フロー

    インス トールするザイリンクス製品の選択

    インス トールする製品を選択します。

    インストール オプシ ョ ンの選択インス トール プロセス中には、複数のオプシ ョ ンのインス トール手順が実行可能です。 これらのオプシ ョ ンは、主なインス トールが終了した後、 プロセスの最後にインス トールされます。

    次の図は、 インス トール オプシ ョ ンを選択する画面です。

    X-Ref Target - Figure 3-4

    図 3-4 : Vivado Design Suite のインストール - 製品の選択

    http://japan.xilinx.com

  • Vivado Design Suite 2013.2 リ リース ノート japan.xilinx.com 22UG973 (v2013.2) 2013 年 6 月 19 日

    インストール フロー

    .

    注記 : WebPACK™ の場合、WebTalk は常にオンになり ます。Edition 製品をインス トールした場合は WebTalk をイネーブルにするオプシ ョ ンをオフにするこ とができますが、デザインのプロセスに WebPACK ライセンスが使用される場合、 このプ リ ファレンスは無視されます。 詳細については、 インス トーラーで WebTalk をイネーブルにする [EnableWebTalk...] オプシ ョ ンをク リ ッ ク して、 下の説明をお読みください。

    インストール ディ レク ト リの選択[Select Destination Directory] 画面では、 ソフ ト ウェアのインス トール先とプログラム フォルダーのリ ス トに表示される名前を入力します。 指定したインス トール パスの下には、 階層が 1 レベル追加されます。 このディ レク ト リ名は、インス トールする製品によって異なり ます。

    X-Ref Target - Figure 3-5

    図 3-5 : Vivado Design Suite のインストール - インス トール オプシ ョ ンの選択

    http://japan.xilinx.com

  • Vivado Design Suite 2013.2 リ リース ノート japan.xilinx.com 23UG973 (v2013.2) 2013 年 6 月 19 日

    インストール フロー

    インス トール オプシ ョ ンのサマリインス トールするツール、 製品、 オプシ ョ ンのサマ リが表示されます。 インス トールを開始する場合は、 [Install] をク リ ッ ク します。

    X-Ref Target - Figure 3-6

    図 3-6 : Vivado Design Suite のインストール - インストール ディレク ト リの選択

    http://japan.xilinx.com

  • Vivado Design Suite 2013.2 リ リース ノート japan.xilinx.com 24UG973 (v2013.2) 2013 年 6 月 19 日

    インストール フロー

    インス トール プロセスの最後に Xilinx License Configuration Manager がデフォルトで開きます。 画面の指示に従って、ラ イセンス ファ イルを取得または指定します。

    注記 : EDK には、 Red Hat の Cygwin ツールが必要です。 このツールは、 EDK をインス トールする と コピーされます。

    環境変数の設定

    Microsoft Windows クライアン ト

    インス トールが終了する と、 環境変数バッチ ファ イルが作成されます。 デスク ト ップやプログラム グループなどのシ ョート カッ ト をク リ ッ クする と、 アプリ ケーシ ョ ンが起動される前にこのファイルが呼び出されます。

    make または script ファ イルで環境変数を設定するには、 次の手順に従ってください。

    \settings32.bat または settings64.bat を追加します。 インス トールされた OS のビッ ト数に応じて、 32 か 64 を選んでください。 インス トールされた OS のビッ ト数に応じて、 32 か64 を選んでください。

    X-Ref Target - Figure 3-7

    図 3-7 : サマリ画面

    http://japan.xilinx.com

  • Vivado Design Suite 2013.2 リ リース ノート japan.xilinx.com 25UG973 (v2013.2) 2013 年 6 月 19 日

    USB FLEXid ドングル ド ライバのインストール

    Linux クライアン ト

    インス トールが終了する と、 環境変数ファイルが作成されます。

    1. XILINX インス トール ディ レク ト リに移動します。

    2. ザイ リ ンクス インス トール ディ レク ト リで、 シェルによって source settings32.(c)sh または sourcesettings64.(c)sh と入力します。

    環境変数を手動で設定するか、ユーザーのセッ ト アップ スク リプ トから設定する場合は、上記の環境変数ファイルの設定をコピーするこ とをお勧めします。 ザイ リ ンクス環境変数設定は、 OS によって異なり ます。

    USB FLEXid ドングル ド ライバのインストールWindows OS 用の USB FLEXid ドングルを購入した場合は、 FLEXnet ラ イセンスを生成する前に最適なド ライバーをインス トールしておく必要があ り ます。

    1. ザイ リ ンクス デザイン ツールをまずインス トールします。 これには、 USB FLEXid ドングル ド ライバーのインス トーラーが含まれます。

    2. \Vivado\2013.2\lds_lite\ISE\bin\nt から FLEXId_Dongle_Driver_Installer.exe を実行します。

    3. [Select Options] の画面で [FLEXid 9 Drivers] のみを選択します。

    4. [Next] を 2 回ク リ ッ クする と、 ド ラ イバーがインス トールされます。

    X-Ref Target - Figure 3-8

    図 3-8 : オプシ ョ ンの選択

    http://japan.xilinx.com

  • Vivado Design Suite 2013.2 リ リース ノート japan.xilinx.com 26UG973 (v2013.2) 2013 年 6 月 19 日

    ネッ トワーク インストール

    インス トールが終了する と、 再起動するかど うかを尋ねる画面が表示されます。 ドングルが正し く機能するには、 再起動をする必要があ り ます。

    ネッ トワーク インス トールネッ ト ワーク上にソフ ト ウェアをインス トールする と、そのネッ ト ワーク ド ライブのソフ ト ウェアに複数のク ライアン ト マシンからアクセスできます。 ネッ ト ワーク上のソフ ト ウェアを使用する場合、環境変数、 レジス ト リ 、 プログラム グループなどすべてにネッ ト ワークのディ レク ト リ が指定されている必要があ り ます。 このセクシ ョ ンでは、ネッ ト ワーク設定の手順について説明します。

    Linux クライアン トソフ ト ウェアがインス トールされたディ レク ト リの settings32.(c)sh または settings64.(c)sh (使用しているシェルによって異なる ) を source コマンドで実行します。 これらのシェルを使用する と、ザイ リ ンクスの環境変数、パス、 LD_LIBRARY_PATH 変数にインス トール ディ レク ト リが指定されます。

    ネッ ト ワーク上のインス トール ディ レク ト リからデザイン ツールを実行するには、 X Windows Display Manager を起動し、 DISPLAY 環境変数を設定する必要があ り ます。 DISPLAY はモニタの名前と して定義します。 DISPLAY は通常unix:0.0 です。 たとえば、 次の構文は bigben とい う ホス ト上のツールを実行し、 mynode とい う ク ラ イアン ト マシンのモニターにグラフ ィ ッ クを表示します。

    setenv DISPLAY mynode:0.0

    xhost = bigbenPC Clients

    Microsoft Windows クライアン ト1. デザイン ツールを PC ネッ ト ワーク サーバーにインス トールします。 この際、 ユーザーがデザイン ツールのイ

    ンス トール ディ レク ト リの場所を知っているこ と、またユーザーにそのディ レク ト リへのアクセス権があるこ とを確認して ください。

    2. ローカル ク ラ イアン ト マシンから、 ネッ ト ワーク上の network_install_location\Vivado\\bin ディレク ト リにある shortcutSetup.bat ファ イルを実行します。

    3. このプログラム ファ イルを実行する と、 リモート ロケーシ ョ ンからザイ リ ンクス ツールを実行するのに便利なプログラム グループやデスク ト ップ シ ョート カッ トが設定されます。

    4. ローカル マシンから Vivado Design Suite ツールを起動するには、[スタート ] → [プログラム] から該当するツールを選択するか、 デスク ト ップのシ ョート カッ ト をダブルク リ ッ ク します。

    割り当てたネッ トワーク ド ライブへのインストールザイ リ ンクス デザイン ツールは、ルート ディ レク ト リの下のフォルダ (C: \Xilinx) にインス トールされるよ うに設定されています。ローカル ド ラ イブにインス トールする場合は、通常インス トーラーでこのディ レク ト リになっています。ネッ ト ワーク ド ラ イブにインス トールする場合には、 このディ レク ト リ を定義しておかないと、 ド ライブのルート ディ レク ト リにインス トールされるよ うに指定されてしまいます。

    この問題を回避するには、 ネッ ト ワーク ディ レク ト リ の下にインス トール ディ レク ト リ \Xilinx を作成します (例 :N:\Xilinx)。

    Windows 7 のデフォル トのセキュ リ ティ レベルのままでは、 管理者と して割り当てたネッ ト ワーク ド ラ イブを選択するこ とができません。ザイ リ ンクス デザイン ツールを割り当てたネッ ト ワーク ド ラ イブにインス トールするには、次の手順でアカウン ト制御設定を変更する必要があ り ます。

    http://japan.xilinx.com

  • Vivado Design Suite 2013.2 リ リース ノート japan.xilinx.com 27UG973 (v2013.2) 2013 年 6 月 19 日

    アップデートの取得

    1. Windows の [スタート ] メニューからコン ト ロール パネルを開き、 [ユーザー アカウン ト ] をク リ ッ ク します。 コン ト ロール パネルがカテゴ リ表示になっている場合は、 [ユーザー アカウン ト ] を 2 画面続けてク リ ッ クする必要があ り ます。

    2. [ユーザー アカウン ト制御設定の変更] をク リ ッ ク し、 変更できるよ うにします。

    3. スライダーの位置を次の図のよ うに下から 2 つ目に移動します。 [OK] をク リ ッ ク します。

    インス トール後は、 同様の方法でユーザー アカウン トの設定を設定前の状態に戻しておく こ とをお勧めします。

    注記 : ザイ リ ンクス インス トーラーを使用してネッ ト ワーク ド ライブを指定するこ とはできません。この場合、割り当てられたネッ ト ワーク ド ラ イブを含むインス トール パスを手動で入力する必要があ り ます。

    アップデートの取得ザイ リ ンクスでは、 四半期ベースで Vivado Design Suite ツールのアップデート バージ ョ ンを リ リースする予定です。アップデートには、 デバイス サポートのアップデート、 新機能、 バグ修正などが含まれます。 このセクシ ョ ンでは、XilinxNotify やダウンロード センターからソフ ト ウェア アップデート を入手する方法について説明します。

    XilinxNotifyXilinxNotify は最新のアップデート を取得するのに推奨されるツールで、 次のよ うな機能があ り ます。

    X-Ref Target - Figure 3-9

    図 3-9 : ユーザー アカウン ト制御設定

    http://japan.xilinx.com

  • Vivado Design Suite 2013.2 リ リース ノート japan.xilinx.com 28UG973 (v2013.2) 2013 年 6 月 19 日

    Vivado Design Suite ツールのアンインストール

    • ザイ リ ンクス サポート ウェブサイ トに リ リースされる最新のデザイン ツール アップデート と インス トールしているツールのバージ ョ ンを比較し、 新しいバージ ョ ンが見つかった場合は通知します。

    • [Download] ボタンをク リ ッ クする と、 ブラウザが起動され、 ザイ リ ンクス ダウンロード センターにログインする画面が表示されます。 ログインしたら、 選択した製品のダウンロードが開始されます。

    • XilinxNotify は、 Vivado ツールを起動する と自動的にチェッ クを実行します。

    注記 : Vivado IDE で [Edit] → [Preferences] → [XilinxNotify] を ク リ ッ ク し て表示されるページでは、 ProjectNavigator の起動時にアップデートがチェッ ク される頻度を設定できます。

    ダウンロード センターソフ ト ウェア アップデートは、 ザイ リ ンクス ダウンロード センター [参照 6] に定期的にアップロード されます。

    Vivado Design Suite ツールのアンインス トールアンインス トールする場合、 ザイ リ ンクス インス トール ディ レク ト リからプロジェク ト ファ イルを移動しておかないと、 削除されてしまいます。

    注記 : Xilinx Documentation Navigator は、アンインス トール中に削除されません。 これは、複数バージ ョ ンのザイ リ ンクス ツールで共通のスタンドアロン アプリ ケーシ ョ ンです。 必要でなければ、 別途アンインス トールする必要があり ます。

    Microsoft Windowsザイ リ ンクス製品をアンインス トールするには、 [スタート ] メニューからその製品の [Uninstall] メニューをク リ ッ クします。 たとえば、 Vivado WebPACK デザイン ツールや Vivado Design Suite をアンインス トールするには、 [スタート] → [すべてのプログラム] → [Xilinx Design Tools] → [Vivado 2013.2] → [Accessories] → [Uninstall] をク リ ッ ク します。

    Linux Vivado Design Suite ツール製品をアンインス トールするには、 [Applications] → [Xilinx Design Tools] → [Vivado 2013.2][Accessories] → [Uninstall] をク リ ッ ク します。

    http://japan.xilinx.com

  • Vivado Design Suite 2013.2 リ リース ノート japan.xilinx.com 29UG973 (v2013.2) 2013 年 6 月 19 日

    第 4 章

    WebTalkWebTalk 機能を使用する と、ザイ リ ンクス FPGA デバイス、ソフ ト ウェアおよび IP の使用に関する統計をザイ リ ンクスに送信できます。 WebTalk で集められた情報によ り、 お客様にとって最も重要な機能を改善し、 現在および今後の必要性に合わせた製品を提供してい く ための参考と させていただき ます。 WebTalk をオンにする と、 お客様のVivado™ Design Suite の使用に関する情報がザイ リ ンクスへ提供されます。

    WebTalk への参加次の場合を除いて Webtalk への参加は任意と します。

    • WebPack™ ラ イセンスを使用している。

    • プレ リ リースのソフ ト ウェアまたはデバイスを使用している。

    このよ うな場合、 プリ ファレンス設定に関わらず、 WebTalk によるデータ収集および送信は常に実行されます。 それ以外の場合は、 WebTalk をオフにする とデータは収集、 送信されません。

    次の表は、 ザイ リ ンクス ラ イセンス、 WebTalk インス トール プリ ファレンス、 およびユーザーのプリ ファレンス設定に基づいて、 ザイ リ ンクスへ配線後のデザインからのデータがどのよ うに送信されるかについてま とめています。

    注記 : WebTalk では、 現在のと ころすべてのデバイスの配線後のデザイン データが送信されます。

    表 4-1 : ビッ トスト リーム生成または配線デザイン フローでの WebTalk の動作

    デザイン フロー ライセンスWebTalk のインストール プリファレ

    ンス

    WebTalk のユーザー プリファレン

    WebTalk によるザイリンクスへのデータ

    送信

    ビッ ト ス ト リーム生成/デザインの配線

    WebPACK(またはプレ リ リース ソフト ウェア)

    無視 無視○

    (送信)

    ビッ ト ス ト リーム生成/デザインの配線

    Design Editio または System Edition オン オン

    (送信)

    ビッ ト ス ト リーム生成/デザインの配線

    Design Editio または System Edition オン オフ

    ×送信なし

    ビッ ト ス ト リーム生成/デザインの配線

    Design Editio または System Edition オフ 無視

    ×送信なし

    http://japan.xilinx.com

  • Vivado Design Suite 2013.2 リ リース ノート japan.xilinx.com 30UG973 (v2013.2) 2013 年 6 月 19 日

    WebTalk インストールのプリファレンス設定

    WebTalk インス トールのプリファレンス設定WebTalk は、次のよ うに、 インス トール中またはインス トール後にグローバルにオン/オフにできます。 インス トール中は、[Enable WebTalk to send software, IP and device usage statistics to Xilinx (Always enabled for WebPACK license)] チェック ボッ クスで WebTalk インス トール オプシ ョ ンをオン/オフにできます。

    WebTalk インス トール オプシ ョ ンをオンまたはオフにするには、 Tcl コマンド config_webtalk を使用します。

    config_webtalk -install on|off

    on : WebTalk をオンにします。

    off : WebTalk をオフにします。

    インス トール設定は、 次のディ レク ト リに保存されます。

    • Windows 7 :/vivado/data/webtalk/webtalksettings

    • Linux :/vivado/data/webtalk/webtalksettings

    注記 : インス トール ディレク ト リに書き込むには、 管理者権限が必要です。

    X-Ref Target - Figure 4-1

    図 4-1 : WebTalk のインストール オプシ ョ ン

    http://japan.xilinx.com

  • Vivado Design Suite 2013.2 リ リース ノート japan.xilinx.com 31UG973 (v2013.2) 2013 年 6 月 19 日

    WebTalk ユーザー プリファレンスの設定

    WebTalk ユーザー プリファレンスの設定WebTalk ユーザー オプシ ョ ンは、[Tools] → [Options] → [General] をク リ ッ クする と表示される次の画面でオン/オフにできます。

    インス トール後には、 Tcl コマンド config_webtalk を使用する と WebTalk をオンまたはオフにできます。

    config_webtalk -user on|off

    on : 現在のユーザーの WebTalk をオンにします。

    off : 現在のユーザーの WebTalk をオフにします。

    ユーザー設定は、 次のディ レク ト リに保存されます。

    • Windows 7 :

    %APPDATA%\Xilinx\Common\\webtalk

    説明 :

    X-Ref Target - Figure 4-2

    図 4-2 : WebTalk ユーザー プリファレンス

    http://japan.xilinx.com

  • Vivado Design Suite 2013.2 リ リース ノート japan.xilinx.com 32UG973 (v2013.2) 2013 年 6 月 19 日

    WebTalk インストールおよびユーザー プリファレンスの確認

    %APPDATA% は次のとおりです。

    C:\Users\\AppData\Roaming

    • Linux :

    %APPDATA%/.Xilinx/Common//webtalk

    説明 :

    %APPDATA% は次のとおりです。

    /home/

    WebTalk インス トールおよびユーザー プリファレンスの確認Tcl コマンド config_webtalk を使用して、 WebTalk の設定を確認するこ と も可能です。 コマンド ラ イン オプシ ョン -info を使用する と、 インス トール設定およびユーザー設定の値がレポート されます。

    config_webtalk -info

    収集されるデータの種類WebTalk では、 デザイン ネッ ト リ ス トや所有権情報などは収集されません。 WebTalk を使用してザイ リ ンクスが収集するデータは、 次のとおりです。

    • ツールのバージ ョ ン

    • プラ ッ ト フォーム情報 (OS、 プロセッサの速度および数、 メ イン メモ リ など)

    • プロジェク ト ID

    • 承認番号

    • 生成日

    • ターゲッ ト デバイスおよびファ ミ リ情報

    収集されるデータの種類の詳細は、 ザイ リ ンクス ウェブサイ トの Xilinx Design Tools WebTalk [参照 7] を参照して ください。 収集されたデータから特定のものを確認するには、 プロジェク ト ディ レク ト リの usage_statistics_webtalk.xml ファ イルを開いてください。 usage_statistics_webtalk.xml ファ イルでは、 ザイ リ ンクスに送信されたデータも簡単に確認できます。

    データの送信WebTalk は、 ビッ ト ス ト リーム生成またはデザイン配線後に起動されます。 WebTalk はビッ ト ス ト リーム後または配線デザインのコンパイル後に起動されます。収集されたデータは usage_statistics_webtalk.xml ファ イルにまとめられ、 HTTPS (Hypertext Transfer Protocol Secure) ポス ト を介してザイ リ ンクスに送信されます。 デザインをコンパイルするたびに、前の usage_statistics_webtalk.xml ファ イルの内容が上書きされます。 また、 WebTalk では同等の HTML ファ イル usage_statistics_webtalk.html が書き出されるので、 ザイ リ ンクスに転送されたデータを確認

    http://japan.xilinx.com

  • Vivado Design Suite 2013.2 リ リース ノート japan.xilinx.com 33UG973 (v2013.2) 2013 年 6 月 19 日

    データの送信

    する と きに便利です。 vivado.log (または runme.log) ファ イルが記述され、 ザイ リ ンクスへのファイル送信が正し く完了したかど うかの情報も確認できます。

    http://japan.xilinx.com

  • Vivado Design Suite 2013.2 リ リース ノート japan.xilinx.com 34UG973 (v2013.2) 2013 年 6 月 19 日

    第 5 章

    ライセンスの取得および管理 ザイ リ ンクスの製品ライセンス (Product Licensing) サイ トからは、ザイ リ ンクス ソフ ト ウェアおよび IP 製品のダウンロード、ライセンス、評価などのオンライン サービスを利用できます。この章では、製品ライセンス サイ トの FLEXnetラ イセンス生成機能ついて説明しています。

    製品ライセンス サイ トへのアクセス製品ライセンス (Product Licensing) サイ トには、 生成するライセンスの種類によってさまざまな方法でアクセスできます。

    • 製品を購入した場合は、 注文確認の電子メールに含まれる リ ンクをク リ ッ ク します。 このリ ンクをク リ ッ クする と、 購入した製品の権利情報を含むアカウン トに直接アクセスできます。

    • Vivado™ Design Suite 製品を評価するには、http://japan.xilinx.com/products/design_tools/vivado/vivado/vivado-webpack.htm にアクセスして ください。

    • IP 製品を評価する場合は、 http://japan.xilinx.com/ipcenter の該当する IP 製品ページの [評価] リ ンクをク リ ッ ク します。

    まず、 ザイ リ ンクスのサイ トにサインインする必要があ り ます。 既にザイ リ ンクス ユーザー アカウン ト をお持ちの場合は、 ユーザー ID とパスワードを入力して、 登録情報が正しいかど うかを確認します。 アカウン ト をまだ作成していない場合は、 [Create Account] ボタンをク リ ッ ク し、 アカウン ト を作成してください。

    X-Ref Target - Figure 5-1

    図 5-1 : ザイリンクス ライセンス サインイン ページ

    http://japan.xilinx.comhttp://japan.xilinx.com/products/design_tools/vivado/vivado-webpack.htmhttp://japan.xilinx.com/products/design_tools/vivado/vivado-webpack.htmhttp://japan.xilinx.com/ipcenter

  • Vivado Design Suite 2013.2 リ リース ノート japan.xilinx.com 35UG973 (v2013.2) 2013 年 6 月 19 日

    ザイリンクス ユーザー アカウン ト情報の変更

    ザイリンクス ユーザー アカウン ト情報の変更ザイ リ ンクス ユーザー アカウン トの社名、 住所、 電子メールなどの情報は、 常に最新の状態にしてください。

    会社メール アドレスの変更1. http://japan.xilinx.com にアクセスし、 [サイン イン] をク リ ッ ク します。

    2. サイン インします。

    3. 会社メール アドレスの [プロフ ィールを更新] リ ンクをク リ ッ ク し、 [個人情報] を展開表示します。

    X-Ref Target - Figure 5-2

    図 5-2 : ザイリンクス ウェブサイ ト

    X-Ref Target - Figure 5-3

    図 5-3 : サインイン

    http://japan.xilinx.comhttp://japan.xilinx.com

  • Vivado Design Suite 2013.2 リ リース ノート japan.xilinx.com 36UG973 (v2013.2) 2013 年 6 月 19 日

    ザイリンクス ユーザー アカウン ト情報の変更

    4. 新しい法人電子メール アドレスを [新しい会社 E メール アドレス] に入力します。

    5. [プロフ ィールに保存] ボタンを押して変更を保存します。

    X-Ref Target - Figure 5-4

    図 5-4 : 会社メール アドレスの変更

    http://japan.xilinx.com

  • Vivado Design Suite 2013.2 リ リース ノート japan.xilinx.com 37UG973 (v2013.2) 2013 年 6 月 19 日

    製品ライセンスのアカウン ト

    製品ライセンスのアカウン トデザイン ツールまたは IP 製品をザイ リ ンクスから購入する と、 ラ イセンスを購入したこ とにな り、 その製品のアップデート を使用する権利が 1 年間あ り ます。 ザイ リ ンクス デザイン ツールおよび IP 製品を使用するライセンスは、そのライセンスの状況によって異なり ます。 ラ イセンスは、 次の要件で決ま り ます。

    • 購入した製品

    • 購入したライセンス数

    • ラ イセンス タイプ (フローティングまたはノード ロ ッ ク )

    • 製品有効期間 (製品アップデートは 1 年間入手可能)

    このサイ トからは、 購入したデザイン ツールおよび IP の製品権利だけでなく、 無償の製品や評価版 製品などのライセンスにもアクセスできます。 フル ライセンスおよび無償ライセンスの場合は、 1 年間の有効期限があ り ます。 デザイン ツールの評価版は 30 日、 IP の評価版は 120 日間の有効期限があ り ます。

    ラ イセンスを有効にする と、 Web サイ ト でライセンス ファ イルが生成されます。 ラ イセンスを有効にする と、 ウェブサイ トでライセンス ファ イルが生成されます。 ラ イセンス ファ イルをインス トールする と、 購入または評価中のソフ ト ウェアおよび IP の使用が有効になり ます。 ラ イセンスやライセンス ファ イルはザイ リ ンクス ウェブサイ トで管理します。

    ラ イセンス アカウン トは、 ザイ リ ンクス ソフ ト ウェア購入リ ス トに掲載されている各ユーザーに与えられ、 エンドユーザーまたは管理者のいずれかと して登録されます。管理者が 1 人の場合は同じライセンス アカウン トですべての購入を管理できます。 企業の場合は、 異なる管理者によって複数のアカウン ト を持つこ とができるので、 デザインチームが複数存在し、 別々のプロジェク ト をしている場合や予算が異なる場合などに便利です。

    注記 : ラ イセンス ファ イルは、 製品権利の期限が切れていても生成でき、 有効期限までに リ リースされているバージ ョ ンに対しては使用できます。 ただし、 ラ イセンスの有効期限後にリ リースされた製品に対して、 このライセンスを使用する とエラーになり ます。

    ザイリンクス デザイン ツールでの LogiCORE IP ライセンスの生成LogiCORE™ IP および購入済みデザイン ツール ラ イセンスはすべて、 製品ライセンス サイ トにログインする と リ スト されます。 評価用ライセンスおよび無償 IP のライセンスも同じサイ トから入手できます。 すべてのデザイン ツールおよび IP のライセンスが 1 度で生成でき、 1 つのライセンス ファ イルがメールで送信されます。 また、 IP コアのFLEXnet ラ イセンスには、 シングルまたは ト リ プル リ ダンダン ト フローティ ング サーバーなどのラ イセンス オプシ ョ ンがあ り、 イーサネッ ト MAC アドレス、ハード ド ライブ シ リ アル番号、USB ドングル ID などのノード ロ ッ クラ イセンス ファ イルのホス ト オプシ ョ ンも選択できます。

    ユーザー タイプとアクシ ョ ン製品ライセンス サイ トには、 カスタマ アカウン ト管理者、 エンド ユーザー、 評価ユーザーの 3 つのユーザー タイプのいずれかでアクセスできます。

    カスタマ アカウン ト管理者各アカウン トに、 必ず 1 人のカスタマー アカウン ト管理者が必要です。 カスタマー アカウン ト管理者は、 複数のアカウン ト を管理できます。

    カスタマー アカウン ト管理者には、 次のよ うな権限があ り ます。

    http://japan.xilinx.com

  • Vivado Design Suite 2013.2 リ リース ノート japan.xilinx.com 38UG973 (v2013.2) 2013 年 6 月 19 日

    ライセンス キー ファイルの作成

    • ザイ リ ンクス デザイン ツールおよび IP 製品のノード ロ ッ クまたはフローティング ラ イセンスの生成

    • アカウン トへのユーザーの追加と削除

    • ほかのユーザーへの管理者権限の割り当て

    カスタマー アカウン ト管理者の情報は、製品注文の過程で送付先と して指定され、管理者の電子メール宛に購入した製品のダウンロードおよびライセンス情報などが送付されます。カスタマー アカウン ト管理者が電子メールのリ ンクをク リ ッ クするこ とで、 購入した製品へのアクセスができるよ うにな り ます。

    エンド ユーザーエンド ユーザーをアカウン トに追加する と、 ラ イセンス ファ イルの管理や生成を各エンジニアやデザイン チーム メンバーなどが管理できるよ うにな り ます。 エンド ユーザーは、 アカウン ト内でノード ロ ッ ク付きライセンス ファ イルを生成できるほか、 評価版の入手、 デザイン ツールや IP 製品の無料ライセンス ファ イルも生成できます。 カスタマー アカウン ト管理者は、 エンド ユーザーがフローティング ラ イセンスを生成できるよ うに、 エンド ユーザーのアカウン ト を設定できます。 ただし、 エンド ユーザーには、 次のよ うな制限があ り ます。

    • デフォルトの設定のままではフローティング ライセンス ファ イルを生成できません。 この権限は、 カスタマ アカウン ト管理者が設定できます。

    • ほかのユーザーが生成したライセンス ファ イルは表示されません。

    • ほかのユーザーをサイ トから追加または削除できません。

    評価ユーザー

    評価ユーザーは、 次を実行できます。

    • ISE および Vivado System Edition の 30 日間無償の評価版ライセンス ファ イルを生成できます。

    • 評価版および無償の IP 製品のライセンス ファ イルを生成できます。

    • ISE および Vivado 両方の WebPACK 機能を使用可能にする WebPACK™ ツール ラ イセンスを生成できます。

    注記 : ザイ リ ンクス デザイン ツール製品エディシ ョ ンのフル バージ ョ ンのライセンスを既に持っている場合は、 それ以外のザイ リ ンクス デザイン ツール製品エディシ ョ ンまたは IP を試用できます。 これらのライセンスは同じアカウン トで入手できるよ うになっています。

    どのタイプのユーザーでも、 製品の電子ダウンロード、 ザイ リ ンクス デザイン ツールの DVD の請求ができます。

    ライセンス キー ファイルの作成ラ イセンス ファ イルは、 製品ラ イセンス (Product Licensing) サイ トの [Create New Licenses] タブから生成します。 購入済みまたは評価するデザイン ツールおよび IP 製品が表にリ ス ト されます。

    http://japan.xilinx.com

  • Vivado Design Suite 2013.2 リ リース ノート japan.xilinx.com 39UG973 (v2013.2) 2013 年 6 月 19 日

    ライセンス キー ファイルの作成

    製品の選択

    購入済みまたは評価する製品のライセンスを、 次の手順で生成します。

    1. [Account] ド ロ ップダウン リ ス トからアカウン ト を選択します。

    注記 : 評価版または無償の製品を使用する場合は、 このド ロ ップダウン リ ス トは表示されません。

    2. キッ ト と一緒に購入したソフ ト ウェアまたは IP 製品ライセンスのバウチャー コードがある場合は、 それを入力します (オプシ ョ ン)。

    3. 表から評価版または無料の IP 製品を選択します (オプシ ョ ン)。

    4. 表から製品を選択します。

    ラ イセンスの種類は、 Full (購入済み)、 No Charge (無償)、 Evaluation (評価) のいずれかになり ます。 フル ラ イセンスおよび無償ライセンスの場合は、1 年間の有効期限があ り ます。デザイン ツールの評価版は 30 日、 IP の評価版は 120日間の有効期限があ り ます。

    フローティング ラ イセンス と ノード ロ ッ ク ラ イセンスを同じライセンス ファ イルに混ぜるこ とはできません。

    注記 : フローティング ラ イセンスはネッ ト ワーク サーバーにのみ置く こ とができ、アプ リケーシ ョ ンを起動する と ライセンスがチェッ クアウ ト されます。同時に使用できるユーザーの数は、購入したライセンスの数によって異なり ます。 ノード ロ ッ ク ライセンスの場合は、 特定のマシンに 1 ライセンスの使用が認められます。

    デザイン ツールの場合、 表の [Available Seats] に購入したライセンスの総数が表示されます。 IP の場合、 ライセンスはサイ トの契約に従って管理されます。

    X-Ref Target - Figure 5-5

    図 5-5 : 新規ライセンスの作成

    http://japan.xilinx.com

  • Vivado Design Suite 2013.2 リ リース ノート japan.xilinx.com 40UG973 (v2013.2) 2013 年 6 月 19 日

    ライセンス キー ファイルの作成

    表の [Status] に 「Current」 と表示されている場合は、 その製品が保証期間内にある こ と を示し、 「Expired」 と表示されている場合は、 その保証期間が終了したこ とを示しています。 [Available Seats] の数がまだある場合は、 「Current」または 「Expired」 の製品のライセンスを生成できます。

    Xilinx Design Tools: System Edition は Xilinx Design Tools ツール セッ トすべての機能にアクセスできる評価版です。 このライセンスは自動的にアカウン トに含まれます。

    デザイン ツールおよび IP 製品ライセンスの製品バウチャーは、 ザイ リ ンクスまたはパートナーの開発ボードまたはデザイン キッ ト と共に送信されます。製品バウチャー カードを所持している場合は、カードに記述されたバウチャーコードをテキス ト フ ィールドに入力し、 [Redeem Now] ボタンをク リ ッ ク します。 これで、 該当するデザイン ツールまたは IP 製品が表に追加され、 ラ イセンス キー