index [] · index aspect-ratio-dependent etching ... au–ge system 82, 336 backside metallization...

12
ACP, see adjacent channel power activation efficiency 125, 293, 298–299, 304–305, 329, 624–625 activation energy 107, 174, 206, 215, 303, 311, 317, 319–321, 326, 333, 356, 486, 572–575, 577, 613 activation energy method 547 adhesion 146, 148, 151, 202, 348, 485, 504, 512–513, 525 adjacent channel power (ACP) 560, 674 ALD, see atomic layer deposition ALE, see atomic layer epitaxy AlGaAs emitter 114, 126, 431, 584 alloy compositions 114–115, 132, 245, 603 alloying 231, 299, 315, 336, 348–352, 355, 588, 610–611 alloying systems 348–349 aluminum 117, 125, 201, 223–224, 234, 289, 335, 347, 363, 373, 465, 484, 486, 493 ammonia 113, 125, 145, 596, 599 annealing 93, 119, 231, 276, 292–299, 301, 304, 307, 356, 369, 381, 402, 410, 587, 613 ARDE, see aspect-ratio-dependent etching Arrhenius plot 310, 573, 575, 577 arsenic 2–3, 85–86, 89, 98, 101–102, 122, 129, 264, 276, 303, 329, 333, 346, 351 arsenic overpressure 85, 89, 124, 128, 298, 329 arsine 105, 108, 112, 122 Index aspect-ratio-dependent etching (ARDE) 228 atomic layer deposition 75, 129, 186, 270–271, 451, 453, 495, 610 atomic layer deposition (ALD) 75, 129, 186, 270–272, 451, 453–456, 458, 495, 610, 628, 674 atomic layer epitaxy 122, 129, 131, 188, 271, 454 atomic layer epitaxy (ALE) 122, 129–131, 188, 271, 454, 457–458, 674 Au–Ga system 82–83 Au–Ge system 82, 336 backside metallization 484, 497, 504–506, 510 backside processing 137, 185, 489, 497–499, 581 barrier metals 336, 484, 494, 588 beam-equivalent pressure (BEP) 120, 674 beam scanning systems 289 BEP, see beam-equivalent pressure BiFET process 429–431, 433, 435, 437–438 BiHEMT process 429, 439, 441, 443–444 BiHEMT processing 429–430, 432, 434, 436, 438, 440, 442, 444 bipolar junction transistors (BJT) 54–57, 59–60, 551 BJT, see bipolar junction transistors BOE, see buffered oxide etch boron 295, 307, 309, 311, 402–403, 581

Upload: doantuyen

Post on 02-May-2018

219 views

Category:

Documents


2 download

TRANSCRIPT

ACP, see adjacent channel poweractivation efficiency 125, 293,

298–299, 304–305, 329, 624–625

activation energy 107, 174, 206, 215, 303, 311, 317, 319–321, 326, 333, 356, 486, 572–575, 577, 613

activation energy method 547adhesion 146, 148, 151, 202, 348,

485, 504, 512–513, 525adjacent channel power (ACP)

560, 674ALD, see atomic layer depositionALE, see atomic layer epitaxyAlGaAs emitter 114, 126, 431, 584alloy compositions 114–115, 132,

245, 603alloying 231, 299, 315, 336,

348–352, 355, 588, 610–611alloying systems 348–349aluminum 117, 125, 201,

223–224, 234, 289, 335, 347, 363, 373, 465, 484, 486, 493

ammonia 113, 125, 145, 596, 599annealing 93, 119, 231, 276,

292–299, 301, 304, 307, 356, 369, 381, 402, 410, 587, 613

ARDE, see aspect-ratio-dependent etching

Arrhenius plot 310, 573, 575, 577arsenic 2–3, 85–86, 89, 98,

101–102, 122, 129, 264, 276, 303, 329, 333, 346, 351

arsenic overpressure 85, 89, 124, 128, 298, 329

arsine 105, 108, 112, 122

Index

aspect-ratio-dependent etching (ARDE) 228

atomic layer deposition 75, 129, 186, 270–271, 451, 453, 495, 610

atomic layer deposition (ALD) 75, 129, 186, 270–272, 451, 453–456, 458, 495, 610, 628, 674

atomic layer epitaxy 122, 129, 131, 188, 271, 454

atomic layer epitaxy (ALE) 122, 129–131, 188, 271, 454, 457–458, 674

Au–Ga system 82–83Au–Ge system 82, 336

backside metallization 484, 497, 504–506, 510

backside processing 137, 185, 489, 497–499, 581

barrier metals 336, 484, 494, 588beam-equivalent pressure (BEP)

120, 674beam scanning systems 289BEP, see beam-equivalent pressureBiFET process 429–431, 433, 435,

437–438BiHEMT process 429, 439, 441,

443–444BiHEMT processing 429–430,

432, 434, 436, 438, 440, 442, 444

bipolar junction transistors (BJT) 54–57, 59–60, 551

BJT, see bipolar junction transistorsBOE, see buffered oxide etchboron 295, 307, 309, 311,

402–403, 581

678 Index

buffered oxide etch (BOE) 473, 652, 674

bulk crystal growth 594–595, 597, 599

capacitance 38, 44–45, 72–73, 243, 265, 310, 341, 469–471, 476–477, 483, 544, 547–548, 555, 654–655

capacitors 37, 44, 72, 195, 221, 341, 363, 382, 461, 468–469, 471, 473, 475–476, 484, 488

carbon 22–23, 88, 92–93, 105, 111–112, 117–118, 126, 221, 223, 228, 289, 310, 525, 581–582

carbon doping 104, 111–112, 118, 416, 418, 424, 586

carboxylic acid 149CCD, see charge-coupled devicecharge-coupled device (CCD) 501,

674chemical vapor deposition (CVD)

99, 106, 237–238, 264–267, 269, 272, 363, 370, 380, 399, 404, 487, 494, 610, 617

circuits, mixed-signal 364, 487, 494, 589

cladding layers 632, 645 large-bandgap AlGaAs 635contact resistance 45, 49, 336,

338–343, 346–356, 387, 462, 581, 588, 611, 614, 662

ohmic 355, 388, 610–612contact resistance measurement

340–341, 343contacts alloyed 353, 414 collector 202, 402, 406, 414 gold-based device-level 493 high-temperature stable 347 low-resistance 53, 306 metal–semiconductor 337 micron-size 343, 347

nonalloyed 355 normal metal–GaAs 338 refractory 351–352, 416, 584contamination 143, 146,

186–187, 253, 509, 597copper 23, 201, 223–224, 326,

352–353, 484, 494–495, 504–507, 512, 514–515, 517, 523, 531, 581

copper electroplating 531, 533copper plating 517, 523, 531,

533–534cryo-sorption surface 242crystalline materials 3, 280–281crystallographic etches 175, 179CVD, see chemical vapor depositionCVD reactor types 265–266cyanide baths 522, 524–525, 531Czochralski crystal growth system

90

device contact resistance 384device degradation 23, 353, 575device isolation 275–276, 278,

280, 282, 284, 286, 288, 290, 292, 294–296, 298, 300, 302, 304, 306–310

device under test (DUT) 560, 562, 674

DHBT, see double-heterojunction bipolar transistor

dielectric constant 4, 7, 37, 44, 46, 270, 272, 338, 362, 471, 487, 548

dielectric films 181, 233, 264, 564, 566

dielectric materials 231, 454, 470–471, 491, 494

dielectrics 183, 191, 221, 224, 265, 270, 368, 374, 448, 453–454, 470–471, 475–476, 483, 660, 668–670

diffusion coefficients 303, 316–317, 320, 322–323, 325–327, 329, 331, 333

679Index

concentration-dependent 325–326

diffusion equations 321–323digital FETs 51, 365, 369, 371,

374, 549diode laser fabrication 643, 645dopant activation 276, 299, 303,

305double-heterojunction bipolar

transistor (DHBT) 66, 426–427

DUT, see device under test

E-mode devices 447, 458, 624ECR, see electron cyclotron

resonanceelectroluminescent devices 629electron affinity 10–11, 39–40,

356, 421electron barrier 42, 420, 645electron beam 138, 164, 191,

244–247electron beam gun 246–247electron beam lithography 164,

229, 365–366, 382, 386–387, 391

electron beam resists 139, 165electron beam techniques 138,

167electron cyclotron resonance

(ECR) 189, 211–212, 231, 453, 674

electron wind 486electro-optical devices 179electroplating 237, 489, 494, 499,

504–505, 507, 521–522, 524, 526, 528, 530, 532, 534

electroplating bath types 522–523

electroplating deposition process 525

emitter AlGaAs 402emitter contact layer 127, 404

emitter mesa 202, 232, 402, 407, 412–413, 431

emitter mesa etch 404–405, 409, 442, 625

emitter metal 407energy barrier 318, 338energy gap 4, 21–22, 631EPD, see etch pit densityepitaxial growth 2, 52, 54, 61, 97,

399, 600–601, 603, 638epitaxial layers 119, 175, 601, 605epitaxial layer structures 53, 380epitaxy 97–98, 100, 102, 104,

106, 108, 110, 112, 114, 116, 118, 120, 122, 128, 635

epiwafers 52, 636etch AlGaAs 404etching high-aspect-ratio 225,

227–228 standard GaAs peroxide/acid

509etching system, multiwafer

parallel-plate 210etch pit density (EPD) 88

fat-FET 540, 542–543, 548FET, see field-effect transistorFET device layers 430FET failure mechanisms 578FET gate fabrication 365, 367FET processing 308, 361–362,

364, 366, 368, 370, 372, 374, 376, 380, 387

FET source resistance measurement 549, 551

FET-type devices 54, 61, 164, 299, 345, 366, 429, 543, 553, 558, 578–581, 590, 610, 626

FET-type device wafers 297field-effect transistor (FET) 2–3,

44–46, 50–51, 54–55, 275, 336, 365–366, 372–375, 377, 379–381, 429–433, 549, 580–581, 608, 675–676

680 Index

field-effect transistors metal–oxide–semiconductor

129, 270, 372, 447 metal–semiconductor 44,

333, 336, 379, 430, 488, 594film stress 253–254, 269, 466,

529, 531film stress control 268, 566

GaAs bulk 92 doped 351, 422 p-Type 337, 353GaAs annealing 297–298GaAs corrosion 357GaAs crystals 5, 85GaAs epilayers 110GaAs etch chemical systems 175,

177, 179GaAs etching basics 171, 173GaAs FET 367GaAs FET-type devices 292, 579GaAs–GaP system 81GaAs heterojunction bipolar

transistors 3, 594GaAs ICs 87, 101, 310, 464, 468,

493GaAs layer, undoped 52GaAs layer etching 226GaAs MESFETs 75GaAs metal semiconductor FETs 2GaAs metal–semiconductor field-

effect transistor 581GaAs pseudomorphic high-

electron-mobility transistors 2

GaAs substrates 1, 47, 53, 119, 123, 130, 224, 386, 455, 457, 470, 500, 643, 656

GaAs-type materials 222, 355GaAs wafers 6–7, 94, 185, 306,

411, 474, 498–500, 508 uncapped 303

GaN 113, 124, 132, 355–356, 593–596, 599–600, 602, 604–605, 607–608, 610–614, 617, 620–621, 624–626, 637–640, 669

GaN-based devices 76, 635GaN device reliability 590, 594GaN electronic devices 593Ga-rich conditions 125, 602gate metal deposition 128, 367,

393gate metallization 364–365, 393,

442, 617gate metal–oxide–semiconductor

265gold 181, 183, 222, 224, 227, 253,

346–348, 353–356, 393–394, 484–486, 504–505, 507, 513–515, 521–522, 588

gold electrodeposition 531gold layers 348, 488, 495, 588Gummel plot 58, 60, 419, 551,

584

Hall mobility 303, 540–542HAST, see highly accelerated stress

testHBT, see heterojunction bipolar

transistorHBT contacts 413, 415HBT device fabrication 299HBT devices 60, 299, 307–308,

353, 431, 489, 553, 575, 578, 587, 624, 627

HBT epilayer design 422–423HBT fabrication issues 418–419,

421HBT structures 114, 118, 403,

430–431, 439, 463 standard InGaP/GaAs 432helium 242, 244, 292, 295, 307,

424, 431

681Index

HEMT, see high-electron-mobility transistor

heteroepitaxy 97heterojunction bipolar transistor

(HBT) 56–61, 65–67, 335–336, 399–400, 402–404, 406, 418–420, 422–426, 429–431, 438–439, 442–444, 551–554, 581–582, 586–590, 624–626

heterojunction field-effect transistor (HFET) 369, 373–374, 377, 451, 580, 593, 608–609

HFET, see heterojunction field-effect transistor

high-density plasma reactors 211high-dielectric-constant materials

264–265high-electron-mobility transistor

(HEMT) 2, 14, 52–54, 113, 336, 355, 365–366, 379–380, 386, 393–394, 439, 442–444, 447, 608, 614

highly accelerated stress test (HAST) 265, 466, 487, 489, 589, 675

high-pressure solution growth (HPSG) 599

high-temperature operating life (HTOL) 349, 675

HPSG, see high-pressure solution growth

HTOL, see high-temperature operating life

HVPE, see hydride vapor-phase epitaxy

hydride vapor-phase epitaxy (HVPE) 596, 605, 675

ICP, see inductively coupled plasmaICP etching 229, 626ICs, see integrated circuitsIII–V circuits 40, 471, 483–484,

544

III–V compounds 1, 3, 8, 122, 124, 172, 179–180, 202, 320, 326, 330, 604, 611, 652, 657

III–V compound semiconductors 315–316, 318, 320, 322, 324, 326, 328, 330, 332

III–V devices 2, 130, 132, 260, 448, 578, 620

III–V materials 49, 70, 84, 86, 89, 98, 104, 227, 276, 286, 367, 380, 453, 457–458, 513

low-bandgap 379–380III–V optoelectronic devices 333,

495III–V processing 97, 137, 144,

164, 168, 170, 181, 185, 202, 244–245, 264–265, 267, 275, 297, 301

III–V semiconductor circuits 469, 484

III–V semiconductor devices 571III–V semiconductor processing

283, 321, 323III–V semiconductors 2, 8, 38–39,

99, 160, 209, 225, 319, 325, 335–336, 345, 411, 454, 513, 540

III–V semiconductor wafers 97III–V wafer processing 185, 497III–V wafers 302, 349, 357, 660implant activation 297, 299, 301,

430implant isolation 295, 307–308,

343, 423, 442–443, 581, 613implants 276, 283, 290, 292–296,

300–301, 304, 310–311, 374, 403, 587, 613–614

indium-based contacts 347inductively coupled plasma (ICP)

139, 211–212, 219, 226, 229, 270, 502–503, 506, 626, 675

inductors 461, 477–479, 484

682 Index

InGaAs 53, 91, 118, 124–126, 180, 184, 310, 345–346, 355, 390, 412–413, 415, 426, 454–455, 662

InGaAs base layers 411–412InGaAs channel devices 382, 455InGaP 114, 179, 184, 186, 219,

377, 412, 418, 422, 432, 436, 449, 451, 584, 586

InGaP emitter layer 404, 409InP Devices 355InP substrates 118, 124, 127, 377,

387–388, 390–391, 411–412, 455–456, 458, 630, 661

insulated gate FETs 372–373, 375integrated circuits (ICs) 31, 55,

379, 448, 461, 464, 468, 475, 484, 490, 497, 588–589, 608, 610, 651

ion bombardment 182, 188, 209, 217, 221, 228–229, 253–254, 259, 268, 453

ion energies 201, 209, 211, 213, 216, 220, 261–262, 277

ion implantation 22–23, 97, 137, 230, 275–277, 279–281, 283–285, 291–292, 296–297, 306–310, 345, 370–371, 402–403, 410, 430–431

ion implantation systems 286–287, 289

ion implant isolation 275, 307, 309, 581, 587, 614

ions complex 522, 526–528 implanted 277, 279, 284 projectile 277, 279, 283, 285

JFET, see junction field-effect transistor

junction field-effect transistor (JFET) 45, 373, 438, 451, 580, 593, 675

junction resistance 45

large-scale integration (LSI) 156, 373, 381, 675

layers electron-blocking 637–638 epidevice 596 etch stop 116, 182, 382, 433 gold-based metallization 149 n-channel 297, 430 nitride 367, 370 sacrificial 652, 659, 661, 663 zinc-doped InGaAs 118LEC, see liquid-encapsulated

CzochralskiLEDs, see light-emitting diodesLEEBI, see low-energy electron

beam irradiationlight-emitting diodes (LEDs) 2,

32, 98, 113, 225, 593, 596, 625, 628–630, 640

liquid-encapsulated Czochralski (LEC) 88–89, 91–92, 329, 675

liquid-phase epitaxy 98, 329, 600liquid-phase epitaxy (LPE) 23, 98,

329, 600LNA, see low-noise amplifierlow-energy electron beam

irradiation (LEEBI) 604, 636low-noise amplifier (LNA) 2, 379,

387, 429, 675low-pressure chemical vapor

deposition (LPCVD) 99, 266low-surface-contaminant wafers

380LPCVD, see low-pressure chemical

vapor depositionLPE, see liquid-phase epitaxyLSI, see large-scale integration

mass flow controllers (MFCs) 104, 106, 122, 256, 265

materials amorphous 280, 283, 298 low-bandgap 390, 402, 422,

424, 611

683Index

single-crystal 283 thin-film 139, 143maximum stable gain (MSG) 558MBE, see molecular beam epitaxyMEMS, see microelectromechanical

systems MEMS devices 655, 657–659MESFETs 2, 44–52, 54, 76, 333,

336, 379, 430, 432, 488, 581, 594

metal deposition mechanisms 526–527

metal electrodes 66, 363metal etching 222–223, 510metal gate double-layer 368 two-layer 370metal–insulator–semiconductor

devices 71metal ions 186, 486, 525–527metallization 349, 353, 493 ohmic 348metallurgy, underbump 512metal– organic chemical vapor

deposition (MOCVD) 103–104, 114–115, 118–119, 124, 126, 129–130, 132–133, 345–346, 380, 584, 586, 598, 600–601, 603–605, 635

metal–organic vapor-phase epitaxy 98–99

metal–oxide–semiconductor field-effect transistor (MOSFET)

metal peeling 503, 505metal–semiconductor devices 38metal–semiconductor junctions

31, 33, 35, 37–39, 41–43, 361metal–semiconductor ohmic

contacts 337, 339metal systems 336, 365, 402, 485,

589Metal systems and contact

formation procedures for ohmic contacts 336

method, contactless 535, 539MFCs, see mass flow controllersmicroelectromechanical systems

(MEMS) 169, 225, 651–652, 662–663

microelectronics fabrication 191, 530

MMIC, see monolithic microwave integrated circuit

MOCVD, see metal– organic chemical vapor deposition

MOCVD-grown structures 418modulation transfer function

(MTF) 155molecular beam epitaxy (MBE)

98, 104, 114–116, 118–121, 123–128, 132–133, 238, 345–346, 373, 380, 399, 449, 598, 600–601, 675

monolithic microwave integrated circuit (MMIC) 132, 464, 471, 475, 477, 490, 497, 521, 555, 608, 617, 651, 662–663

MOSFET, see metal–oxide–semiconductor field-effect transistor 70–71, 73–75, 129, 180, 270, 372, 447, 450, 454–455, 457, 581

MOSFET devices 377, 458MOSFET processing 447–448,

450, 452, 454, 456, 458MSG, see maximum stable gainMTF, see modulation transfer

function

nichrome 143, 251, 465–466nitrides 222, 224, 255, 264, 266,

393, 402, 409, 435–436, 442, 450, 625, 628, 631, 635

nitrogen 239, 465–466, 594, 596, 604, 636

nonradiative recombination 638, 640, 642

684 Index

ohmic contact 335–336, 339–340, 342–344, 346, 348, 350–358, 384–385, 387, 389, 542, 544, 588–589, 610–611, 614, 621

alloyed 346, 353 nonalloyed 353, 611ohmic contact corrosion 357ohmic contact degradation 579,

588ohmic contact deposition 348,

611ohmic contact formation 308,

365, 610, 615ohmic contact layers 630ohmic contact metallization

systems 337ohmic contact metallurgical

systems 335open-tube systems 330–331optoelectronic devices 61, 295organic films 225organometallics 105

PAMBE, see plasma-assisted molecular beam epitaxy

parasitics 50–51, 54, 406–407, 416, 651–652

PBN, see pyrolytic boron nitridePCM, see process control monitorPECVD, see plasma-enhanced

chemical vapor depositionPHEMT, see pseudomorphic high-

electron-mobility transistorphotochemical transformations

146photomasks 154, 164, 466, 501photonic crystals 229photons 629, 634, 641, 647photoprocess 143, 145–146, 160,

167, 376photoresist 138, 141, 143–147,

149, 151, 178, 181, 223, 225, 291, 308, 310, 371–372, 489, 491

photoresist masks 170–171, 231, 283, 381, 503

photoresist materials 145–146physical vapor deposition (PVD)

237–238, 252, 469, 504PIN diodes 66–67plasma argon 200, 255 high-density 192, 212–213,

216 nonthermal 192plasma-assisted molecular beam

epitaxy (PAMBE) 124–125, 601–602

plasma damage 215–216, 219, 222, 230–231, 357, 420

plasma-enhanced chemical vapor deposition (PECVD) 123, 188, 222, 237, 264–265, 267–269, 370, 388, 404, 469, 471–472, 487, 566, 610, 660

plasma etch chemistries 218, 220plasma etching 137, 182, 202,

206–207, 218–219, 221, 223, 264, 307, 365, 370, 625

Plasma etch systems 204–205, 207–209, 211, 213, 241

plating 181, 222, 382–383, 514–515, 522, 525–526, 528–529, 531–532

electroless 506, 530–531plating baths 523, 528–529,

532–533polycrystalline materials 3, 5, 657pregate metal surface preparation

436pregate surface preparation 376,

438process control monitor (PCM)

341, 489, 542, 544pseudomorphic high-electron-

mobility transistor (PHEMT) 2, 52–53, 76, 117, 128, 164, 270, 307, 365, 373, 379–380, 439–440, 442–443, 489, 491

685Index

p-type dopants 104, 111, 127, 295, 305, 327, 353, 402

p-type doping 106, 118, 597, 604, 635

p-type layers 73, 315, 345, 353, 402, 461, 597

PVD, see physical vapor depositionpyrolytic boron nitride (PBN)

88–89, 92, 121

quantum well (QW) 629, 637, 639, 647, 676

QW, see quantum well

radiative recombination 634, 639–640

radio frequency (RF) 45, 69, 99, 101, 197, 246, 301, 438, 453, 468, 484, 539, 554–555, 577, 651–652

rapid thermal annealing (RTA) 231, 296–301, 303, 305–306, 348–349, 351, 369, 402, 406, 457, 614, 676

rapid thermal diffusion 333rapid thermal processing (RTP)

130, 300, 321, 333, 348–350, 352, 364, 385, 410, 588, 614, 676

reactive ion etching (RIE) 209, 219, 224, 226, 229, 370, 409, 502–503, 506, 611, 614

reflection high-energy electron diffraction (RHEED) 122, 676

refractory metals 222, 224, 246, 355, 369, 381, 394, 408, 433, 436, 611

residual gas analysis (RGA) 120, 233, 259, 676

resistance electromigration 484, 589 parasitic 49, 336resistivity control 86, 92–93

resist processing 157–159, 161, 163

RF, see radio frequencyRF circuits 510, 555, 558–560,

654RF MEMS 651–652, 654, 656,

658, 660, 662, 664RF sputter system 258RGA, see residual gas analysisRHEED, see reflection high-energy

electron diffractionRHEED intensity oscillations 123RIE, see reactive ion etchingRTA, see rapid thermal annealingRTP, see rapid thermal processing

sapphire 97, 129, 499–500, 593, 596, 598, 600, 602, 608, 625–626, 631, 635, 637–640, 645

sapphire substrate 498–500, 627, 636

sawing 172, 185, 507–508scanning transmission electron

microscopy (STEM) 621Schottky contacts 46, 356,

361–362, 364, 436, 544–545, 548, 590, 612

Schottky diodes 38, 42–45, 186, 222, 337, 361, 363, 365, 367, 468, 546, 548, 596

secondary ion mass spectrometry (SIMS) 118, 132, 303–304, 325, 543, 587

selective epitaxy 119selective etch 170, 184, 219, 234,

413semiconducting materials 13, 84,

220, 339–340, 343, 345semiconductor basics 1–2, 4, 6,

8, 10, 12, 16, 18, 20, 22, 24, 26, 28

semiconductor crystals 315, 319

686 Index

semiconductor devices 31, 38, 42, 283–284, 335, 340, 373, 413, 571, 573, 577, 589

semiconductor materials 1, 3, 49, 173, 280, 292, 297, 307, 310, 343, 348

semiconductor physics 9, 629, 644, 646

semiconductor processing 80, 241–243, 246, 280, 283, 316, 564, 581

semiconductor resistors 306, 461–464, 468

semiconductors doped 10, 14, 17, 19, 275 n-type 9, 20, 32, 39, 62,

71–72, 338, 362semiconductor wafers 244, 566semi-insulating GaAs 23, 380,

464, 479sheet resistance 132, 309, 341,

343, 364, 462, 467, 535–537, 625

sheet resistivity 66, 304, 326, 462, 466, 484, 536

silicides 222, 224silicon 1, 3–4, 13–14, 22, 130,

218, 222–225, 279–280, 297–298, 335, 447–448, 483–484, 494–495, 596–597, 600

silicon complementary metal–oxide semiconductor 379, 454

silicon devices 1, 55, 315, 411, 577, 607

silicon etching 218silicon nitride 181, 221–222, 227,

264–265, 267, 299, 303, 365, 370–371, 376, 402, 404, 469, 472, 487–488

silicon processing 202, 221, 275, 290, 299, 303, 333, 369

silicon wafers 185, 498 large 483, 495

SIMS, see secondary ion mass spectrometry

SPC, see statistical process controlsputter deposition 209, 239, 253,

255–257, 259, 261, 263, 267, 348, 471, 628

sputtering 138, 192, 199–200, 209, 213, 228, 237–238, 252, 254, 256–257, 259, 261–263, 369, 465, 469

reactive 255, 259, 465, 471statistical process control (SPC)

233, 297, 529, 535, 571, 676STEM, see scanning transmission

electron microscopysticking coefficient 122, 124, 206,

215sulfur 188, 329–331, 333, 525sulfur diffusion 329, 332

TaN resistors 466TDDB, see time-dependent

dielectric breakdownTFRs, see thin-film resistorsthin-film resistors (TFRs) 137,

464–465, 488, 617, 620through-wafer slot via 617, 620through-wafer via (TWV) 213,

225–227, 479, 492, 497–498, 500–504, 506–508, 510–512, 514, 516, 518, 531, 620, 659

time-dependent dielectric breakdown (TDDB) 472

titanium 201, 223, 253, 363, 485, 495, 579

TLM, see transmission line methodtotal thickness variation (TTV)

499, 676transconductance 46–47, 51–52,

75, 333, 388, 450, 458, 543, 549, 580

transmission line method (TLM) 340–341, 343, 542

TTV, see total thickness variationTWV, see through-wafer via

687Index

vapor-phase epitaxy (VPE) 98–99, 101, 103, 105, 107, 109, 111, 113, 115, 117, 119, 596, 604, 675

VCOs, see voltage-controlled oscillators

VCSELs, see vertical cavity surface emitting lasers

vertical cavity surface emitting lasers (VCSELs) 645–647, 663–664, 677

very-large-scale integration (VLSI) 373, 377, 411, 483, 677

VLSI, see very-large-scale integration

voltage-controlled oscillators (VCOs) 44

voltage standing wave ratio (VSWR) 559, 563

VPE, see vapor-phase epitaxyVSWR, see voltage standing wave

ratio

wafer bonding 498–499wafer dicing 508–509wafer handling 265, 286 automatic 104, 256, 522wafer thinning 492, 497–498, 500wet etches 170, 172, 179,

181–182, 184, 202, 307, 610, 625, 660

wet etching 7, 128, 139, 169–174, 176, 178, 180–184, 186, 188, 202–203, 226, 307, 365, 381–382, 384

wet-etching 169–170

XPS, see X-ray photoelectron spectroscopy

X-ray photoelectron spectroscopy (XPS) 187–188, 448–449

zinc-blende structure 3, 605–606zinc diffusion 306, 325, 327, 331,

333

“Drawing from decades of directly relevant experience in the field, the authors have created a modern, comprehensive review of all aspects of compound semiconductor IC fabrication, useful for both experts in the field and newcomers wanting to gain familiarity with the topic. This book contains extensive references, plus useful appendices of constants, acronyms, and material properties, making it a handy and often-pulled-off-the-shelf resource for CS professionals.”

Dr. Martin J. BrophySenior GaAs Test, Reliability, and Development Engineer, Avago Technologies

“This book will be greatly appreciated by researchers and students engaged in work with III–V semiconductors. With the primary focus on GaAs-based HBTs and related devices, the book also describes critical issues for emerging technologies such as GaN and RF MEMS. It brings together process information and insights from widely dispersed sources and provides a physics and chemistry background, along with up-to-date process design considerations, meeting several important needs of the III–V fabrication community.”

Prof. Peter AsbeckDepartment of Electrical and Computer Engineering, University of California

GaAs processing has reached a mature stage. New semiconductor compounds are emerging that will dominate future materials and device research, although the processing techniques used for GaAs will still remain relevant. This book covers all aspects of the current state of the art of III–V processing, with emphasis on HBTs. It is aimed at practicing engineers and graduate students and engineers new to the field of III–V semiconductor IC processing. The book’s primary purpose is to discuss all aspects of processing of active and passive devices, from crystal growth to backside processing, including lithography, etching, and film deposition.

Shiban Tiku is an electrical engineer from Kashmir University, India, an M. Tech. from IIT Kanpur, India, and a PhD in materials science from the University of Southern California, Los Angeles. Dr. Tiku started work in GaAs ICs at Texas Instruments, Dallas, and has been with the Rockwell Semiconductor Division, from which Skyworks, California, was spun off, for over 20 years, first in process development and then in process engineering and yield engineering, covering design, layout, wafer

fabrication, and back-end processing. He has worked on epigrowth, ion implantation, thin-film deposition, FET- and HBT-type device processing, piezoelectric devices, etc., and is currently in charge of new process/product introduction at Skyworks. He has served on the CS MANTECH technical committee for over 10 years and is now on the executive committee as the university liaison. He has published numerous papers and is the (co)author of 15 patents.

Dhrubes Biswas is an electrical engineer from IIT Kharagpur, India, and an MS and PhD in engineering (electronic devices and materials) from the University of Illinois, Urbana-Champaign. Prof. Biswas has made significant contributions to gas-source molecular beam epitaxy and played an instrumental role in establishing and advising strategic technology ventures in wireless communication and optical networking electronics worldwide. Currently at IIT Kharagpur, he is the professor of

electronics and electrical communication engineering and a professor at Rajendra Mishra School of Engineering Entrepreneurship. He is deeply involved in the integration of III–V electronics/optical front-end devices epitaxially on silicon for high-performance systems. He has authored over 170 technical papers and books and holds numerous patents. He is a senior member of the Institute of Electrical Engineers (IEEE) and a member of Tau Beta Pi and Phi Kappa Phi.

Tiku | Biswas

Shiban TikuDhrubes Biswas

III-V Integrated Circuit Fabrication Technology

III-V Integrated Circuit Fabrication Technology

ISBN 978-981-4669-30-6V490