wafer-based metrology · 2018-11-21 · fast, precise wafer-based metrology for processes across...

22
Wafer-Based Metrology Thierry Dupraz KLA-Tencor, SensArray European Business Manager

Upload: others

Post on 20-Apr-2020

10 views

Category:

Documents


1 download

TRANSCRIPT

Page 1: Wafer-Based Metrology · 2018-11-21 · Fast, Precise Wafer-based Metrology for Processes Across the Fab •Understand and manage process window • Time-resolved temperature map

Wafer-Based Metrology

Thierry Dupraz

KLA-Tencor, SensArray European Business Manager

Page 2: Wafer-Based Metrology · 2018-11-21 · Fast, Precise Wafer-based Metrology for Processes Across the Fab •Understand and manage process window • Time-resolved temperature map

2

Process Matching and Monitoring is Critical More Than Ever

Throttle valve, Manometer

MFC, Rate of rise

MFC, Manometer

ESC temperature probe

Liquid flow, bath temp

Liquid flow, bath temp

Power

RF Gen, in line probe

RF Gen, in line probe

Process

Tool Knobs

Pressure

Gas 1

Gas 2

Gas 3

He Pressure

Temperature

ESC

Wall

Lid

Power

Source

Bias

Process Tool Metrology

Time Based

Responses

SensorWafer™ Metrology

Spatial

Responses

Better understand what’s happening at wafer level through spatial and time based information

Page 3: Wafer-Based Metrology · 2018-11-21 · Fast, Precise Wafer-based Metrology for Processes Across the Fab •Understand and manage process window • Time-resolved temperature map

SensArray® PortfolioProcesses

EPI

ALD

PVD/CVD

STRIP

TRACK

IMPLANT

OXIDATION

DIFFUSION

POLY ETCH

OXIDE ETCH

DEPOSITION

LITHOGRAPHY

ESC CAL

PROCESS OPTIMIZATION

TROUBLESHOOTING

PROCESSMONITORING

CHAMBERMATCHING

TOOLINSTALLATION

POST PM

Use Cases

IN-SITUMEASUREMENT

HighTemp

ScannerTemp

UV Wafer

Integrated Wafer™(2019 ready)

Vibration Wafer

Epi Wafer (in development)

MaskTemp

EtchTemp

WetTemp

EUV Wafer(in development)

Wired Wafer

Automation compatible

Page 4: Wafer-Based Metrology · 2018-11-21 · Fast, Precise Wafer-based Metrology for Processes Across the Fab •Understand and manage process window • Time-resolved temperature map

Wafer-based Metrology for Etch

Page 5: Wafer-Based Metrology · 2018-11-21 · Fast, Precise Wafer-based Metrology for Processes Across the Fab •Understand and manage process window • Time-resolved temperature map

3D Structure Process DevelopmentTemperature sensitivity

Yield ImprovementAging ESC impacts yield

Wireless Wafer-based Temperature MetrologyFor etcher & etch process development, matching and monitor

Chamber MatchingBaseline and Routine Monitor

HAR Bending Process Issue

Temperature impact

FinFET

3D NAND

Process CorrelationThermal sensitivity

Temperature to CD correlation to Si recess correlation

Page 6: Wafer-Based Metrology · 2018-11-21 · Fast, Precise Wafer-based Metrology for Processes Across the Fab •Understand and manage process window • Time-resolved temperature map

Signature Development with Plasma ON

No signature

apparent

STEP 3

STEP 5

STEP

6+7 STEP 8

Qualify your chamber with a Plasma ON recipe

Page 7: Wafer-Based Metrology · 2018-11-21 · Fast, Precise Wafer-based Metrology for Processes Across the Fab •Understand and manage process window • Time-resolved temperature map

Wafer-based Metrology for Litho

Page 8: Wafer-Based Metrology · 2018-11-21 · Fast, Precise Wafer-based Metrology for Processes Across the Fab •Understand and manage process window • Time-resolved temperature map

MonitoringTemperature

MeasurementTrack

Integrated Wafer: Classic PEB Control Use CaseTemperature Monitoring of Litho Track Uniformity & Stability

• Monitor PEB temperature using an Integrated SensorWafer

• AutoCal and AutoCD software for hotplate optimization

• Analyze and diagnose failures

Temp CalibrationPlate Offsets

CDU CalibrationPlate Offsets

Process Monitoring Cycle- “In Control”

Corrective Action Loop

Go No Go

Accuracy: +/- 0.1°C

Range: 15 - 145°C

Page 9: Wafer-Based Metrology · 2018-11-21 · Fast, Precise Wafer-based Metrology for Processes Across the Fab •Understand and manage process window • Time-resolved temperature map

Qualify Your Litho Track, Saving Tool and Resources Time

▪ Full track flow profile

▪ Takes only minutes to get to the data

▪ 6 to 10x faster than a wired solution

Page 10: Wafer-Based Metrology · 2018-11-21 · Fast, Precise Wafer-based Metrology for Processes Across the Fab •Understand and manage process window • Time-resolved temperature map

Process: CDU Improvement

• Hotspot detection: fast troubleshooting of temperature signature

• Flag out-of-control temperature mean & range

• Temperature uniformity signature improve CDU (100% coverage with up to 65 sensors)

Hotplate Steady State Analysis

Page 11: Wafer-Based Metrology · 2018-11-21 · Fast, Precise Wafer-based Metrology for Processes Across the Fab •Understand and manage process window • Time-resolved temperature map

POST EXPOSURE BAKE

EXPOSE RESISTE-BEAM

DEVELOP PATTERN

ETCH PATTERN

REMOVE PHOTORESIST

SOFT BAKE

QUARTZ PHOTORESIST

PLATE

ΔX⁰C = YnmCD variation Post Exposure Bake FlowChamber Matching

Mission Delta

Chamber Matching Pass or Fail

In-Situ CD Control with SensArray MaskTemp

Page 12: Wafer-Based Metrology · 2018-11-21 · Fast, Precise Wafer-based Metrology for Processes Across the Fab •Understand and manage process window • Time-resolved temperature map

Wafer-based Metrology for Deposition

Page 13: Wafer-Based Metrology · 2018-11-21 · Fast, Precise Wafer-based Metrology for Processes Across the Fab •Understand and manage process window • Time-resolved temperature map

Hotplate AutoCal: 10-40% uniformity improvement

Yield Improvement:With <1% temperature reduction

Process Characterization:Direct correlation to ALD TiN thickness and R

HighTemp Use Case ALD, PVD, CVD and BARC Bake Processes

Temp 2D

MapThickness 2D Resistivity 2D

Chamber Matching:Baseline and monitor

Chamber A Chamber B Chamber DChamber C

X

Page 14: Wafer-Based Metrology · 2018-11-21 · Fast, Precise Wafer-based Metrology for Processes Across the Fab •Understand and manage process window • Time-resolved temperature map

Heater Performance Monitor Use Case PVD

Customer issue:

• Yield impact due to film thickness and quality drift

Solution:

• HighTemp identified root cause in tool heater drift over time

• HighTemp highlighted process ramp difference that impacted film quality and thickness

Recommendation:

• Use HighTemp for process monitoring using trace comparison

Recipe length (sec)

Te

mp

era

ture

Same recipe, same chamber temperature curve over time

One month

process ramp drift

Page 15: Wafer-Based Metrology · 2018-11-21 · Fast, Precise Wafer-based Metrology for Processes Across the Fab •Understand and manage process window • Time-resolved temperature map

Wafer-based Metrology -Other Than Temperature

Page 16: Wafer-Based Metrology · 2018-11-21 · Fast, Precise Wafer-based Metrology for Processes Across the Fab •Understand and manage process window • Time-resolved temperature map

Characterize Chamber Uniformity, Ramping & MatchingTemperature, UV Intensity at Wafer

Process Parameters Spatial and Temporal Resolved

Temperature HighTemp EtchTemp20-400°C 20-140°C

Low-K Dielectric

CuringUV intensity

UV Wafer (200-400nm)20-400°C

Page 17: Wafer-Based Metrology · 2018-11-21 · Fast, Precise Wafer-based Metrology for Processes Across the Fab •Understand and manage process window • Time-resolved temperature map

Characterize Chamber other than TemperaturePower Delivered, Neutral Density, UV Radiation at Wafer

Optical window

Plasma

+e

R

Process Parameters Spatial and Temporal Resolved

PlasmaPower on Wafer

Ion Flux

HeatFlux Wafer

Neutrals Density(OES Intensity)

Spectra Wafer

Temperature EtchTemp; EtchTemp-SE

Low-K Dielectric Curing UV Intensity

UV Wafer

Thermal insulating layer

Bottom temperature sensor

Top temperature sensor

Page 18: Wafer-Based Metrology · 2018-11-21 · Fast, Precise Wafer-based Metrology for Processes Across the Fab •Understand and manage process window • Time-resolved temperature map

Automated Solutions For Fab Efficiency

Page 19: Wafer-Based Metrology · 2018-11-21 · Fast, Precise Wafer-based Metrology for Processes Across the Fab •Understand and manage process window • Time-resolved temperature map

Out of spec Out of spec

3. Process correction

2. SA chamber matching result

1. Collect SA Automation data

Source: AMAT Producer website

All are matched

4. Process optimized

SensArray AutomationFaster Chamber Matching, Process Optimization Feedback Loop

Page 20: Wafer-Based Metrology · 2018-11-21 · Fast, Precise Wafer-based Metrology for Processes Across the Fab •Understand and manage process window • Time-resolved temperature map

ConclusionFast, Precise Wafer-based Metrology for Processes Across the Fab

• Understand and manage process window

• Time-resolved temperature map on wafer from 20-400°C under process condition

• Time-resolved power and neutral distribution on wafer with plasma on

• >5x lower time than using test wafers for

• Chamber calibration, qualification, monitor

• Chamber matching

• Optimization of thermal, power & neutral uniformity

• Precise and efficient tool for

• Installation, PM

• Monitor & control

• Process development, troubleshooting

Page 21: Wafer-Based Metrology · 2018-11-21 · Fast, Precise Wafer-based Metrology for Processes Across the Fab •Understand and manage process window • Time-resolved temperature map

Thank you!

Page 22: Wafer-Based Metrology · 2018-11-21 · Fast, Precise Wafer-based Metrology for Processes Across the Fab •Understand and manage process window • Time-resolved temperature map