understanding focus effects in submicrometer optical …...subject terms: microlithography; depth of...

8
Understanding focus effects in submicrometer optical lithography Chris A. Mack National Security Agency 9800 Savage Road Fort Meade, Maryland 20755 -6000 CONTENTS 1. Introduction 2. Aerial image 3. Focus and the aerial image 4. Relationship to modulation transfer function (MTF) 5. Lithography simulation 6. Conclusions 7. References Abstract. A new approach for characterizing resolution and depth of focus (DOF) in optical microlithography is introduced. By examination of the interaction of the aerial image with the photoresist process, a metric of image quality is defined. The variation of this metric with feature size and defocus can be used to measure the resolution and DOF. The effects of various imaging parameters on DOF can then be determined. To further study focus effects in submicrometer imaging, the lithography simulation program PROLITH (the positive resist optical lithography model) is modi- fied to account for defocus within the photoresist film. Subject terms: microlithography; depth of focus; resolution; lithography simula- tion. Optical Engineering 27(12), 1093 -1100 (December 1988). 1. INTRODUCTION In the age of submicrometer optical lithography, focus has become a critical process parameter. Each decrease in min- imum feature size is accompanied by a corresponding de- crease in depth of focus (DOF). However, sources of focus errors, such as wafer warpage, topography, and the thickness Paper 2550 received March 25, 1988; revised manuscript received Aug. 29, 1988; accepted for publication Aug. 29, 1988; received by Managing Editor Sept. 8, 1988. This paper is a revision of Paper 922 -13, presented at the SPIE conference Optical /Laser Microlithography, March 2 -4, 1988, Santa Clara, Calif. The paper presented there appears (unrefereed) in SPIE Proceedings Vol. 922. © 1988 Society of Photo -Optical Instrumentation Engineers. of the photoresist are not being reduced in proportion to the DOF. Thus, the effects of focus on the practical resolution capabilities of a lithographic tool are becoming increasingly important. In describing the resolution and DOF of a lithographic system, it is common to apply the Rayleigh criteria. The Rayleigh criterion for the minimum resolvable feature size is X resolution = k1 NA (1) where X is the exposure wavelength, NA is the numerical aperture of the objective lens, and k1 is referred to as a "process- dependent constant." Typically, k1 is in the range of 0.4 to 0.9. Similarly, the Rayleigh DOF is given by DOF - k2 X NA2 (2) where k2 is another process- dependent constant with values typically in the range of 0.5 to 1.0. In the submicrometer regime, the simple Rayleigh criteria are not adequate for describing the resolution and DOF of a OPTICAL ENGINEERING / December 1988 / Vol. 27 No. 12 / 1093 Understanding focus effects in submicrometer optical lithography Chris A. Mack National Security Agency 9800 Savage Road Fort Meade, Maryland 20755-6000 Abstract. A new approach for characterizing resolution and depth of focus (DOF) in optical microlithography is introduced. By examination of the interaction of the aerial image with the photoresist process, a metric of image quality is defined. The variation of this metric with feature size and defocus can be used to measure the resolution and DOF. The effects of various imaging parameters on DOF can then be determined. To further study focus effects in submicrometer imaging, the lithography simulation program PROLITH (the positive resist optical lithography model) is modi- fied to account for defocus within the photoresist film. Subject terms: microlithography; depth of focus; resolution; lithography simula- tion. Optical Engineering 27(12), 1093-1100 (December 1988). CONTENTS 1. Introduction 2. Aerial image 3. Focus and the aerial image 4. Relationship to modulation transfer function (MTF) 5. Lithography simulation 6. Conclusions 7. References 1. INTRODUCTION In the age of submicrometer optical lithography, focus has become a critical process parameter. Each decrease in min- imum feature size is accompanied by a corresponding de- crease in depth of focus (DOF). However, sources of focus errors, such as wafer warpage, topography, and the thickness Paper 2550 received March 25, 1988; revised manuscript received Aug. 29, 1988; accepted for publication Aug. 29, 1988; received by Managing Editor Sept. 8, 1988. This paper is a revision of Paper 922-13, presented at the SPIE conference Optical/Laser Microlithography, March 2-4, 1988, Santa Clara, Calif. The paper presented there appears (unrefereed) in SPIE Proceedings Vol. 922. © 1988 Society of Photo-Optical Instrumentation Engineers. of the photoresist are not being reduced in proportion to the DOF. Thus, the effects of focus on the practical resolution capabilities of a lithographic tool are becoming increasingly important. In describing the resolution and DOF of a lithographic system, it is common to apply the Rayleigh criteria. The Rayleigh criterion for the minimum resolvable feature size is resolution = NA (1) where X is the exposure wavelength, NA is the numerical aperture of the objective lens, and k! is referred to as a "process-dependent constant." Typically, ki is in the range of 0.4 to 0.9. Similarly, the Rayleigh DOF is given by DOF = k2- NA2 (2) where k2 is another process-dependent constant with values typically in the range of 0.5 to 1.0. In the submicrometer regime, the simple Rayleigh criteria are not adequate for describing the resolution and DOF of a OPTICAL ENGINEERING / December 1988 / Vol. 27 No. 12 / 1093 Downloaded From: http://opticalengineering.spiedigitallibrary.org/ on 06/02/2014 Terms of Use: http://spiedl.org/terms

Upload: others

Post on 13-Mar-2021

5 views

Category:

Documents


0 download

TRANSCRIPT

Page 1: Understanding focus effects in submicrometer optical …...Subject terms: microlithography; depth of focus; resolution; lithography simula tion. Optical Engineering 27(12), 1093-1100

Understanding focus effects in submicrometer opticallithography

Chris A. MackNational Security Agency9800 Savage RoadFort Meade, Maryland 20755 -6000

CONTENTS1. Introduction2. Aerial image3. Focus and the aerial image4. Relationship to modulation transfer function (MTF)5. Lithography simulation6. Conclusions7. References

Abstract. A new approach for characterizing resolution and depth of focus(DOF) in optical microlithography is introduced. By examination of theinteraction of the aerial image with the photoresist process, a metric ofimage quality is defined. The variation of this metric with feature size anddefocus can be used to measure the resolution and DOF. The effects ofvarious imaging parameters on DOF can then be determined. To furtherstudy focus effects in submicrometer imaging, the lithography simulationprogram PROLITH (the positive resist optical lithography model) is modi-fied to account for defocus within the photoresist film.

Subject terms: microlithography; depth of focus; resolution; lithography simula-tion.

Optical Engineering 27(12), 1093 -1100 (December 1988).

1. INTRODUCTIONIn the age of submicrometer optical lithography, focus hasbecome a critical process parameter. Each decrease in min-imum feature size is accompanied by a corresponding de-crease in depth of focus (DOF). However, sources of focuserrors, such as wafer warpage, topography, and the thickness

Paper 2550 received March 25, 1988; revised manuscript received Aug. 29,1988; accepted for publication Aug. 29, 1988; received by Managing EditorSept. 8, 1988. This paper is a revision of Paper 922 -13, presented at the SPIEconference Optical /Laser Microlithography, March 2 -4, 1988, Santa Clara,Calif. The paper presented there appears (unrefereed) in SPIE ProceedingsVol. 922.© 1988 Society of Photo -Optical Instrumentation Engineers.

of the photoresist are not being reduced in proportion to theDOF. Thus, the effects of focus on the practical resolutioncapabilities of a lithographic tool are becoming increasinglyimportant.

In describing the resolution and DOF of a lithographicsystem, it is common to apply the Rayleigh criteria. TheRayleigh criterion for the minimum resolvable feature size is

Xresolution = k1

NA(1)

where X is the exposure wavelength, NA is the numericalaperture of the objective lens, and k1 is referred to as a"process- dependent constant." Typically, k1 is in the range of0.4 to 0.9. Similarly, the Rayleigh DOF is given by

DOF - k2X

NA2(2)

where k2 is another process- dependent constant with valuestypically in the range of 0.5 to 1.0.

In the submicrometer regime, the simple Rayleigh criteriaare not adequate for describing the resolution and DOF of a

OPTICAL ENGINEERING / December 1988 / Vol. 27 No. 12 / 1093

Understanding focus effects in submicrometer optical lithography

Chris A. MackNational Security Agency9800 Savage RoadFort Meade, Maryland 20755-6000

Abstract. A new approach for characterizing resolution and depth of focus (DOF) in optical microlithography is introduced. By examination of the interaction of the aerial image with the photoresist process, a metric of image quality is defined. The variation of this metric with feature size and defocus can be used to measure the resolution and DOF. The effects of various imaging parameters on DOF can then be determined. To further study focus effects in submicrometer imaging, the lithography simulation program PROLITH (the positive resist optical lithography model) is modi­ fied to account for defocus within the photoresist film.

Subject terms: microlithography; depth of focus; resolution; lithography simula­ tion.

Optical Engineering 27(12), 1093-1100 (December 1988).

CONTENTS1. Introduction2. Aerial image3. Focus and the aerial image4. Relationship to modulation transfer function (MTF)5. Lithography simulation6. Conclusions7. References

1. INTRODUCTION

In the age of submicrometer optical lithography, focus has become a critical process parameter. Each decrease in min­ imum feature size is accompanied by a corresponding de­ crease in depth of focus (DOF). However, sources of focus errors, such as wafer warpage, topography, and the thickness

Paper 2550 received March 25, 1988; revised manuscript received Aug. 29, 1988; accepted for publication Aug. 29, 1988; received by Managing Editor Sept. 8, 1988. This paper is a revision of Paper 922-13, presented at the SPIE conference Optical/Laser Microlithography, March 2-4, 1988, Santa Clara, Calif. The paper presented there appears (unrefereed) in SPIE Proceedings Vol. 922. © 1988 Society of Photo-Optical Instrumentation Engineers.

of the photoresist are not being reduced in proportion to the DOF. Thus, the effects of focus on the practical resolution capabilities of a lithographic tool are becoming increasingly important.

In describing the resolution and DOF of a lithographic system, it is common to apply the Rayleigh criteria. The Rayleigh criterion for the minimum resolvable feature size is

resolution =NA

(1)

where X is the exposure wavelength, NA is the numerical aperture of the objective lens, and k! is referred to as a "process-dependent constant." Typically, ki is in the range of 0.4 to 0.9. Similarly, the Rayleigh DOF is given by

DOF = k2-NA2 (2)

where k2 is another process-dependent constant with values typically in the range of 0.5 to 1.0.

In the submicrometer regime, the simple Rayleigh criteria are not adequate for describing the resolution and DOF of a

OPTICAL ENGINEERING / December 1988 / Vol. 27 No. 12 / 1093

Downloaded From: http://opticalengineering.spiedigitallibrary.org/ on 06/02/2014 Terms of Use: http://spiedl.org/terms

Page 2: Understanding focus effects in submicrometer optical …...Subject terms: microlithography; depth of focus; resolution; lithography simula tion. Optical Engineering 27(12), 1093-1100

microlithographic process. In fact, the common characteriza-tion of k, and k2 as constants leads to many misinterpretationsof these equations. A more appropriate way to view theRayleigh criteria is as scaling equations. Resolution scales asX./NA, so k, is, in fact, the scaled resolution. Similarly, theDOF scales as X /NA2, so k2 is the scaled DOF. The scaledquantities k1 and k2 are not constants and vary greatly as afunction of many lithographic parameters. The Rayleighequations give no information about the values of k, and k2,their interdependence, or their dependence on other param-eters.

In this paper, alternative definitions of resolution and DOFare given based on an understanding of the interactions of theaerial image with the photoresist process. Earlier studies'.2have characterized the interaction of the aerial image with thephotoresist process. This interaction points to various aspectsof the aerial image that are important from a lithographicpoint of view. Defining a physically significant metric ofaerial image quality allows one to characterize the effects offeature size and focus and leads to new definitions for resolu-tion and DOF. The effects of numerical aperture, wavelength,feature size, and feature type also can be characterized usingthis technique, thereby permitting objective comparisons ofdifferent lithographic tools.

Current lithography simulation programs predict an aerialimage based on the parameters of the projection tool. Thisimage is then used to "expose" the photoresist. A tacit as-sumption of these models is that the photoresist thickness isless than the DOF and that, therefore, the aerial image doesnot change through the thickness of the resist. In the case ofsubmicrometer imaging, however, this assumption is not ade-quate. Thus, Sec. 5 describes an enhancement to the lithog-raphy simulation program PROLITH (the positive resist op-tical lithography model3.4), which includes the effects ofdefocus through the resist. Using this model, the effects ofexposure and focus on linewidth control and sidewall anglecan be determined and asymmetric focus -exposure diagramscan be constructed. Also, the optimum position of the focalplane can be determined.

2. AERIAL IMAGETo simplify the analysis of a lithographic process, it is highlydesirable to separate the effects of the lithographic tool fromthose of the photoresist process. This can be done with rea-sonable accuracy only if the interaction of the tool (i.e. , theaerial image) with the photoresist is known. Consider anaerial image of relative intensity I(x) where x is the horizontalposition (i.e., in the plane of the wafer and mask) and is zeroin the center of a symmetric mask feature. The aerial imageexposes the photoresist to produce some chemical distributionm(x) within the resist. This distribution is called the latentimage. Many important properties of the lithographic process,such as exposure and development latitude, are a function ofthe gradient of the latent image am /ax. Larger gradients resultin improved process latitude. It has been shown that the latentimage gradient is related to the aerial image by'

am a alnl

ax ax(3)

The development properties of the photoresist translate thelatent image gradient into a development gradient, which then

1094 / OPTICAL ENGINEERING / December 1988 / Vol. 27 No. 12

MACK

allows for the generation of a photoresist image. Optimumphotoresist image quality is obtained with a large develop-ment rate gradient. A lumped parameter called the photoresistcontrast, -y, can be defined that relates the aerial image andthe development rate r (Ref. 1):

alnr alni-yax ax

(4)

The development rate gradient is maximized by higher resistcontrast and by a larger slope of the log -aerial image (thelog- slope).

A second important lithographic parameter is the sidewallangle of the resist profile. There are two ways in which theaerial image affects sidewall angle. First, the latent image hasa sidewall slope due to absorption. This slope is again directlyproportional to the log -slope of the image.' Second, the verynature of the development rate process gives rise to a slopedsidewall since the top of the resist is under attack by thedeveloper for a longer period of time than the bottom. Ne-glecting absorption, the approximate slope due to develop-ment is given by'

resist slope --r(0)

r(x)(5)

where r(0) is the development rate in the center of a space andr(x) is the development rate at the line edge (i.e., at the edgeof the photoresist profile). This ratio of development ratesshould be maximized in order to maximize the resist slope.Further, this ratio is a function of the aerial image. A simpleapproximation gives2

r(0)= f 1(0) 1(0)

r(x) . 1(x) 1(x)(6)

The ratio of center to edge intensities also affects mask linear-ity, i.e., the ability to reproduce in photoresist the maskdimension for a variety of different feature sizes.

The above discussion gives two ways in which the aerialimage and photoresist process interact. First, the slope of thelog -image affects process latitude and sidewall angle. Sec-ond, the ratio I(0) /1(x) also affects sidewall angle, as well asmask linearity. Thus, there are two logical metrics by whichto judge the quality of the aerial image:

alnl

ax

I(center)

I(edge)

Which metric to choose depends on what factors limit thequality of the final photoresist image. If process latitude isconsidered of prime importance, then the log -image slope isthe preferred metric of aerial image quality. This metric hasbeen discussed in relation to focus effects in the excellentwork of Levinson and Arnold.5,6

The most commonly used metric of image quality is theimage contrast, which is defined for a periodic pattern as

1(center of space) - I(center of line)contrast - (9)

1(center of space) + l(center of line)

(7)

(8)

MACK

microlithographic process. In fact, the common characteriza­ tion of k, and k2 as constants leads to many misinterpretations of these equations. A more appropriate way to view the Rayleigh criteria is as scaling equations. Resolution scales as X/NA, so kj is, in fact, the scaled resolution. Similarly, the DOF scales as \/NA2 , so k2 is the scaled DOF. The scaled quantities ki and k2 are not constants and vary greatly as a function of many lithographic parameters. The Rayleigh equations give no information about the values of k t and k2 , their interdependence, or their dependence on other param­ eters.

In this paper, alternative definitions of resolution and DOF are given based on an understanding of the interactions of the aerial image with the photoresist process. Earlier studies 1 ' 2 have characterized the interaction of the aerial image with the photoresist process. This interaction points to various aspects of the aerial image that are important from a lithographic point of view. Defining a physically significant metric of aerial image quality allows one to characterize the effects of feature size and focus and leads to new definitions for resolu­ tion and DOF. The effects of numerical aperture, wavelength, feature size, and feature type also can be characterized using this technique, thereby permitting objective comparisons of different lithographic tools.

Current lithography simulation programs predict an aerial image based on the parameters of the projection tool. This image is then used to '"expose" the photoresist. A tacit as­ sumption of these models is that the photoresist thickness is less than the DOF and that, therefore, the aerial image does not change through the thickness of the resist. In the case of submicrometer imaging, however, this assumption is not ade­ quate. Thus, Sec. 5 describes an enhancement to the lithog­ raphy simulation program PROLITH (the positive resist op­ tical lithography model 3 ' 4 ), which includes the effects of defocus through the resist. Using this model, the effects of exposure and focus on linewidth control and sidewall angle can be determined and asymmetric focus-exposure diagrams can be constructed. Also, the optimum position of the focal plane can be determined.

2. AERIAL IMAGE

To simplify the analysis of a lithographic process, it is highly desirable to separate the effects of the lithographic tool from those of the photoresist process. This can be done with rea­ sonable accuracy only if the interaction of the tool (i.e., the aerial image) with the photoresist is known. Consider an aerial image of relative intensity I(x) where x is the horizontal position (i.e., in the plane of the wafer and mask) and is zero in the center of a symmetric mask feature. The aerial image exposes the photoresist to produce some chemical distribution m(x) within the resist. This distribution is called the latent image. Many important properties of the lithographic process, such as exposure and development latitude, are a function of the gradient of the latent image dm/dx. Larger gradients result in improved process latitude. It has been shown that the latent image gradient is related to the aerial image by 1

am dlnl a

dx dx(3)

The development properties of the photoresist translate the latent image gradient into a development gradient, which then

allows for the generation of a photoresist image. Optimum photoresist image quality is obtained with a large develop­ ment rate gradient. A lumped parameter called the photoresist contrast, 7, can be defined that relates the aerial image and the development rate r (Ref. 1):

ainr ainiax

(4)

The development rate gradient is maximized by higher resist contrast and by a larger slope of the log-aerial image (the log-slope).

A second important lithographic parameter is the sidewall angle of the resist profile. There are two ways in which the aerial image affects sidewall angle. First, the latent image has a sidewall slope due to absorption. This slope is again directly proportional to the log-slope of the image. 1 Second, the very nature of the development rate process gives rise to a sloped sidewall since the top of the resist is under attack by the developer for a longer period of time than the bottom. Ne­ glecting absorption, the approximate slope due to develop­ ment is given by 1

resist sloper(0)

r(x)(5)

where r(0) is the development rate in the center of a space and r(x) is the development rate at the line edge (i.e., at the edge of the photoresist profile). This ratio of development rates should be maximized in order to maximize the resist slope. Further, this ratio is a function of the aerial image. A simple approximation gives2

jr(_0)_ = f ( 1(0).r(x)

)-[•I(x)

(6)

The ratio of center to edge intensities also affects mask linear­ ity, i.e., the ability to reproduce in photoresist the mask dimension for a variety of different feature sizes.

The above discussion gives two ways in which the aerial image and photoresist process interact. First, the slope of the log-image affects process latitude and sidewall angle. Sec­ ond, the ratio I(0)/I(x) also affects sidewall angle, as well as mask linearity. Thus, there are two logical metrics by which to judge the quality of the aerial image:

ainiax

I(center)

I(edge)

(1}

(8)

Which metric to choose depends on what factors limit the quality of the final photoresist image. If process latitude is considered of prime importance, then the log-image slope is the preferred metric of aerial image quality. This metric has been discussed in relation to focus effects in the excellent work of Levinson and Arnold. 5 ' 6

The most commonly used metric of image quality is the image contrast, which is defined for a periodic pattern as

I(center of space) I(center of line) contrast * I(center of space) 4- [(center of line)

(9)

1094 /OPTICAL ENGINEERING / December 1988 /Vol. 27 No. 12

Downloaded From: http://opticalengineering.spiedigitallibrary.org/ on 06/02/2014 Terms of Use: http://spiedl.org/terms

Page 3: Understanding focus effects in submicrometer optical …...Subject terms: microlithography; depth of focus; resolution; lithography simula tion. Optical Engineering 27(12), 1093-1100

.7

UNDERSTANDING FOCUS EFFECTS IN SUBMICROMETER OPTICAL LITHOGRAPHY

no defocus.6 - 0.5 pm defocus

NA = 0.35a = 0.5

X = 436 nn,0.6 pm lines and spaces

4m

1.0 pm defocus

-6

Mask Edge, ,, , I , , , l

-4 0 2

Horizontal Distance (am)

4 6

Fig. 1. The effect of defocus on the aerial image: 0 pm, 0.5 µm, and1.0 pm defocused aerial images were predicted using PROLITH.

The most obvious limitation of the image contrast is that itapplies only to periodic patterns (and is useful only for equallines and spaces). Also, this definition is not directly relatedto the lithographic parameters of interest such as latitude andsidewall angle. For these reasons, image contrast is a poormetric by which to judge the effects of defocus.

3. FOCUS AND THE AERIAL IMAGE

Figure 1 shows the well -known effect of defocus on the aerialimage. Both the edge slope of the image and the center inten-sity decrease with defocus, and the intensity at the mask edgeremains nearly constant. To examine the behavior of thelog- slope, the aerial images of Fig. 1 were used to calculatethe log- slope, which is plotted in Fig. 2. Clearly, the log -slope varies considerably with horizontal position x. To corn -pare aerial images using the log -slope, one must pick an xvalue to use. An obvious choice is the mask edge. Thus, allsubsequent reference to the slope of the log- aerial image willbe at the mask edge. Now the effect of defocus on the aerialimage can be expressed by plotting log -slope as a function ofdefocus, as shown in Fig. 3. Superimposed on this curve is agraph of the ratio of center to edge intensities. Note that thesetwo metrics of image quality give nearly identical variationwith defocus to within a scale factor. The agreement betweenthese two metrics is very good for images near the resolutionlimit but worsens for larger features. Since this paper dealswith high resolution imaging, the use of the log -slope issufficient to characterize the degradation of the aerial imagewith defocus.

Some useful information can be obtained from a plot oflog -slope versus defocus. As was previously discussed, bothprocess latitude and sidewall slope vary directly with thelog -slope of the image. Thus, minimum acceptable processlatitude and sidewall slope specifications translate directlyinto a minimum acceptable value of the log- slope. The log -slope versus defocus curve then can be used to give a max-imum defocus to keep the process within this specification. If,

r- 1" , , , C-1-1-7-1-70.6 pm lines and spaces

NA = 0.35o =0.5

X = 436 nm

no defocus

i'0.5 pm defocus.

/ 1.0 pm defocus\

o .7 2 .3 4 .5

Distance from Center of Space (pm)

6

Fig. 2. Variation of the slope of the log -image with horizontal posi-tion. The mask edge is represented by the vertical line.

NA = 0.35a =0.5

X = 436 nm0.6 pm lines and spaces

0 .2 .4 .6 .8 1 1.2 1.4 1.6 1.8 2

Defocus Distance (pm)

Fig. 3. Comparison of the two possible metrics of image quality:log -slope (solid line, left ordinate) and the center to edge intensityratio (data points, right ordinate).

for example, the minimum acceptable log -slope of a givenprocess was determined to be 3.5 µm -1, the maximumdefocus of 0.6 p m lines and spaces on a 0.35 NA g -lineprinter would be, from Fig. 3, about ±0.5 µm. This gives apractical definition of the DOF that separates the effects of theaerial image and the photoresist process. The printer deter-mines the shape of the log -slope defocus curve, and the pro-cess determines the range of operation (i.e., the minimumlog -slope value). If the minimum log -slope needed was 4.5p m I, one would conclude from Fig. 3 that this printercould not adequately resolve 0.6 p.m lines and spaces. Thus,resolution can also be determined from a log -slope defocuscurve.

OPTICAL ENGINEERING / December 1988 / Vol. 27 No. 12 / 1095

UNDERSTANDING FOCUS EFFECTS IN SUBMICROMETER OPTICAL LITHOGRAPHY

NA = 0.35a = 0.5

X = 436 nm0.6 pm lines and spaces

0.6 um lines and spaces NA = 0.35

o = 0.5 X = 436 nm

-.2 0 2

Horizontal Distance (pm)

1 2 .3 4 .5

Distance from Center of Space (urn)

Fig. 1. The effect of defocus on the aerial image: 0 Jim, 0.5 jxm, and 1.0 jjim defocused aerial images were predicted using PROLITH.

Fig. 2. Variation of the slope of the log-image with horizontal posi­ tion. The mask edge is represented by the vertical line.

The most obvious limitation of the image contrast is that it applies only to periodic patterns (and is useful only for equal lines and spaces). Also, this definition is not directly related to the lithographic parameters of interest such as latitude and sidewall angle. For these reasons, image contrast is a poor metric by which to judge the effects of defocus.

3. FOCUS AND THE AERIAL IMAGE

Figure 1 shows the well-known effect of defocus on the aerial image. Both the edge slope of the image and the center inten­ sity decrease with defocus, and the intensity at the mask edge remains nearly constant. To examine the behavior of the log-slope, the aerial images of Fig. 1 were used to calculate the log-slope, which is plotted in Fig. 2. Clearly, the log- slope varies considerably with horizontal position x. To com­ pare aerial images using the log-slope, one must pick an x value to use. An obvious choice is the mask edge. Thus, all subsequent reference to the slope of the log-aerial image will be at the mask edge. Now the effect of defocus on the aerial image can be expressed by plotting log-slope as a function of defocus, as shown in Fig. 3. Superimposed on this curve is a graph of the ratio of center to edge intensities. Note that these two metrics of image quality give nearly identical variation with defocus to within a scale factor. The agreement between these two metrics is very good for images near the resolution limit but worsens for larger features. Since this paper deals with high resolution imaging, the use of the log-slope is sufficient to characterize the degradation of the aerial image with defocus.

Some useful information can be obtained from a plot of log-slope versus defocus. As was previously discussed, both process latitude and sidewall slope vary directly with the log-slope of the image. Thus, minimum acceptable process latitude and sidewall slope specifications translate directly into a minimum acceptable value of the log-slope. The log- slope versus defocus curve then can be used to give a max­ imum defocus to keep the process within this specification. If,

.6 .8 1 1.2 1.4 1.6 1.8 2

Defocus Distance (ym)

Fig. 3. Comparison of the two possible metrics of image quality: log-slope (solid line, left ordinate) and the center to edge intensity ratio (data points, right ordinate).

for example, the minimum acceptable log-slope of a given process was determined to be 3.5 (xm" 1 , the maximum defocus of 0.6 Jim lines and spaces on a 0.35 NA g-line printer would be, from Fig. 3, about ±0.5 |xm. This gives a practical definition of the DOF that separates the effects of the aerial image and the photoresist process. The printer deter­ mines the shape of the log-slope defocus curve, and the pro­ cess determines the range of operation (i.e., the minimum log-slope value). If the minimum log-slope needed was 4.5 jjim" 1 , one would conclude from Fig. 3 that this printer could not adequately resolve 0.6 (xm lines and spaces. Thus, resolution can also be determined from a log-slope defocus curve.

OPTICAL ENGINEERING / December 1988 / Vol. 27 No. 12 / 1095

Downloaded From: http://opticalengineering.spiedigitallibrary.org/ on 06/02/2014 Terms of Use: http://spiedl.org/terms

Page 4: Understanding focus effects in submicrometer optical …...Subject terms: microlithography; depth of focus; resolution; lithography simula tion. Optical Engineering 27(12), 1093-1100

MACK

6

NA = 0.30a = 0.5

X = 436 nmequal lines and spaces

.3 - \\

2 -

.0.9 pm

0.6 pm

0 5 1

Defocus Distance (pm)

2.5 3

Fig. 4. The effect of feature size and focus on the edge slope of thelog- aerial image. The resolution /DOF can be determined from thesecurves.

To define resolution, consider Fig. 4, which shows theeffect of feature size on the log -slope defocus curve. If, forexample, a particular photoresist process requires a log -slopeof 3.5 p.m ' , one can see that the 0.6 vim features will notbe resolved, the 0.7 µm features will be resolved only whenin perfect focus, the 0.8 vim features will have a DOF of ± 1p.m, and the 0.9 p,m features will have a DOF of -}2 pm.Obviously, the DOF is extremely sensitive to feature size, afact that is not evident in the common Rayleigh definition.Since DOF is a strong function of feature size, it is logical thatresolution is a function of DOF. Thus, in the situation shownin Fig. 4, if the minimum acceptable DOF is ± 1 µm, thepractical resolution is 0.8 p m lines and spaces. Resolutionand DOF cannot be independently defined but rather are inter-dependent.

The log -slope defocus curve can now be used to explore theeffects of various parameters on the resolution and DOF. Thenumerical aperture is one of the most important parametersdefining lithographic performance, and yet it is the mostmisunderstood. The Rayleigh DOF seems to predict a dra-matic decrease of DOF with increasing numerical aperture.Figure 5 shows the effect of numerical aperture on the log -slope defocus curve of 0.6 p m lines and spaces. The effect isto improve the aerial image log -slope for all values of de-focus. Thus, the DOF increases with increasing numericalaperture for a given feature size near the resolution limit, overthe range of numerical apertures shown.

There has been some discussion recently about the role ofthe numerical aperture in the DOF. In particular, manyauthors have used image simulators such as PROLITH orSAMPLE to look at the effects of very high numerical aper-tures on DOF. The conclusion drawn based on these studies isthat DOF increases with numerical aperture to a point andthen decreases for very high numerical apertures.6'8 How-ever, these results should be viewed with some skepticism.The aerial image models used by SAMPLE and PROLITH aredifferent, but both are based on the assumptions of scalar

1096 / OPTICAL ENGINEERING / December 1988 / Vol. 27 No. 12

6

5

4

2

NA=0.40

NA = 0.30'.

\'.'.

0.6 pm lines and spaceso = 0.5

X = 436 nm

0 .5 1 1.5 2

Defocus Distance (pm)

2.5 3

Fig. 5. The effect of numerical aperture on focus latitude using theedge slope of the log- aerial image as a measure.

6

5

0.6 gm lines and spacesNA = 0.35

a = 0.5

' á = 365 nm

X = 405 nm

0 5 1 1.5 2

Defocus Distance (pm)

2.5 3

Fig. 6. The effect of wavelength on focus latitude using the edgeslope of the log- aerial image as a measure.

wave theory. The models are known to break down for highnumerical apertures, where electromagnetic diffraction theoryis required. Thus, the effects of very high numerical aper-tures on DOF cannot be well understood using the scalarmodels in SAMPLE or PROLITH.

The role of wavelength in DOF is also misunderstood.Although Eq. (2) seems to indicate worse DOF with shorterwavelength, Fig. 6 shows that DOF improves as wavelengthdecreases. Note that the effect of wavelength is differentfrom that of the numerical aperture in that the curves do notconverge at zero slope as they do for increasing numericalaperture. Figures 5 and 6 show clearly the danger of using the

MACK

NA = 0.30o = 0.5

X = 436 nmequal lines and spaces

0.6 Mm lines and spaceo = 0.5

X = 436 nm

1 1.5 2 2.5 3

Defocus Distance (ym)

Fig. 4. The effect of feature size and focus on the edge slope of the log-aerial image. The resolution/DOF can be determined from these curves.

Fig. 5. The effect of numerical aperture on focus latitude using the edge slope of the log-aerial image as a measure.

To define resolution, consider Fig. 4, which shows the effect of feature size on the log-slope defocus curve. If, for example, a particular photoresist process requires a log-slope of 3.5 (Jim" 1 , one can see that the 0.6 (xm features will not be resolved, the 0.7 jjim features will be resolved only when in perfect focus, the 0.8 (xm features will have a DOF of ± 1 jxm, and the 0.9 jxm features will have a DOF of ±2 |xm. Obviously, the DOF is extremely sensitive to feature size, a fact that is not evident in the common Rayleigh definition. Since DOF is a strong function of feature size, it is logical that resolution is a function of DOF. Thus, in the situation shown in Fig. 4, if the minimum acceptable DOF is ±1 jjim, the practical resolution is 0.8 jxm lines and spaces. Resolution and DOF cannot be independently defined but rather are inter­ dependent.

The log-slope defocus curve can now be used to explore the effects of various parameters on the resolution and DOF. The numerical aperture is one of the most important parameters defining lithographic performance, and yet it is the most misunderstood. The Rayleigh DOF seems to predict a dra­ matic decrease of DOF with increasing numerical aperture. Figure 5 shows the effect of numerical aperture on the log- slope defocus curve of 0.6 jxm lines and spaces. The effect is to improve the aerial image log-slope for all values of de- focus. Thus, the DOF increases with increasing numerical aperture for a given feature size near the resolution limit, over the range of numerical apertures shown.

There has been some discussion recently about the role of the numerical aperture in the DOF. In particular, many authors have used image simulators such as PROLITH or SAMPLE7 to look at the effects of very high numerical aper­ tures on DOF. The conclusion drawn based on these studies is that DOF increases with numerical aperture to a point and then decreases for very high numerical apertures. 6 ' 8 How­ ever, these results should be viewed with some skepticism. The aerial image models used by SAMPLE and PROLITH are different, but both are based on the assumptions of scalar

^ 4

£

0.6 urn lines and spacesNA = 0.35

a = 0.5

' X = 365 nm

1 1.5 2 2.5

Defocus Distance (pm)

Fig. 6. The effect of wavelength on focus latitude using the edge slope of the log-aerial image as a measure.

wave theory. The models are known to break down for high numerical apertures, where electromagnetic diffraction theory is required. 9 Thus, the effects of very high numerical aper­ tures on DOF cannot be well understood using the scalar models in SAMPLE or PROLITH.

The role of wavelength in DOF is also misunderstood. Although Eq. (2) seems to indicate worse DOF with shorter wavelength, Fig. 6 shows that DOF improves as wavelength decreases. Note that the effect of wavelength is different from that of the numerical aperture in that the curves do not converge at zero slope as they do for increasing numerical aperture. Figures 5 and 6 show clearly the danger of using the

1096 / OPTICAL ENGINEERING / December 1988/Vol. 27 No. 12

Downloaded From: http://opticalengineering.spiedigitallibrary.org/ on 06/02/2014 Terms of Use: http://spiedl.org/terms

Page 5: Understanding focus effects in submicrometer optical …...Subject terms: microlithography; depth of focus; resolution; lithography simula tion. Optical Engineering 27(12), 1093-1100

UNDERSTANDING FOCUS EFFECTS IN SUBMICROMETER OPTICAL LITHOGRAPHY

0.6 pm lines and spaceso = 0.5

4

o

NA = 0.42a = 436 nm

NA = 0.35a = 365 nm

5 1.5 2

Defocus Distance (pm)

2.5 3

Fig. 7. Two printers with nominally the same resolution (i.e., thesame a/NA) in fact do not have the same practical resolution. Theshaded box represents the example described in the text.

Rayleigh criterion for comparing the DOF of different printers(i.e., different values of wavelength and numerical aperture).

The log -slope defocus curve can be used to compare differ-ent printers objectively. For example, there has been muchdiscussion about the advantages of lower wavelength versushigher numerical aperture. It is common to compare a g -line,0.42 NA system with an i -line, 0.35 NA system. Both havethe same value of X /NA (almost) and thus, according to theRayleigh criterion, the same resolution. In terms of the log -slope curve, the same value of k /NA corresponds to the samevalue of the log -slope of the image with no defocus (Fig. 7).The practical resolution is defined as the smallest featuremeeting a given log -slope specification over a given focusrange. If a process requires a log -slope of 4 pm-1 and afocus budget of ± 1 µm, Fig. 7 shows that the i -line systemwill resolve a 0.6 p.m feature, but the g -line system will not.Thus, the lower wavelength system has better resolution eventhough X/NA is the same.

It is important to note that all of the aerial image calcula-tions presented in this paper assume diffraction -limited lensperformance, i.e., ideal lenses. Obviously, the ideal lens doesnot exist, and thus real lenses have log -slope versus defocuscurves that are degraded to some extent from the ideal curvesshown here. To a first approximation, the aberrations in anoptical system can be thought of as a "built -in defocus,"where the degradation of the image is roughly equivalent todefocusing by a certain amount. An effective defocus of 0.5µm is probably typical. This translates into a shift to the leftof the log -slope curve. When comparing different lenses, aswas done above, one must keep in mind that one lens may befarther from the ideal than the other.

Figure 8 shows the differences between an isolated line, anisolated space, and equal lines and spaces. The differences arequite remarkable. For very small features [Fig. 8(a)], packedlines and spaces have the worst resolution /DOF. Interest-ingly, for the 0.6 p.m features the curves for isolated features

7

6

5

ÉS 4°xce

c 3

2

o

o

' i " " , " . ' , "0.6 pm features

NA = 0.35o = 0.5

a = 436 nm

isolated line- - - - isolated space- equal lines /spaces

\

8 4a

3

5 1 1.5 2

Defocus Distance (um)

(a)

2.5 3

isolated line- - - - isolated space

equal lines /spaces

0.8 um featuresNA = 0.35

o=0.5 _a = 436 nm

0 .5 1.5 2

Defocus Distance (pm)

(b)

2.5 3

Fig. 8. The effect of feature type on focus latitude for (a) very smallfeatures and (b) moderately small features.

cross, in this case at about 1 p.m defocus. For defocus lessthan 1µm the isolated line has better image quality than thespace. However, for greater defocus the isolated line falls offquite rapidly, and the isolated space has the better qualityimage. This variation with feature type is strongly dependenton the feature size. For 0.8 p m features [Fig. 8(b)] there islittle difference between the various feature types for theconditions given. For 1.0 µm features the situation is com-pletely reversed, with equal lines and spaces having the bestlog -slope over the entire focal range.

As a final example, the coherence of the illumination af-fects image quality, as shown in Fig. 9. For the conditionsgiven, a partial coherence of 0.5 is optimum. As expected,however, this result is very feature -size dependent.

OPTICAL ENGINEERING / December 1988 / Vol. 27 No. 12 / 1097

UNDERSTANDING FOCUS EFFECTS IN SUBMICROMETER OPTICAL LITHOGRAPHY

c 3

1 1.5 2

Defocus Distance (pm)

Fig. 7. Two printers with nominally the same resolution (i.e., the same X/NA) in fact do not have the same practical resolution. The shaded box represents the example described in the text.

Rayleigh criterion for comparing the DOF of different printers (i.e., different values of wavelength and numerical aperture).

The log-slope defocus curve can be used to compare differ­ ent printers objectively. For example, there has been much discussion about the advantages of lower wavelength versus higher numerical aperture. It is common to compare a g-line, 0.42 NA system with an i-line, 0.35 NA system. Both have the same value of X/NA (almost) and thus, according to the Rayleigh criterion, the same resolution. In terms of the log- slope curve, the same value of X/NA corresponds to the same value of the log-slope of the image with no defocus (Fig. 7). The practical resolution is defined as the smallest feature meeting a given log-slope specification over a given focus range. If a process requires a log-slope of 4 jxm" 1 and a focus budget of ±1 |xm, Fig. 7 shows that the i-line system will resolve a 0.6 |xm feature, but the g-line system will not. Thus, the lower wavelength system has better resolution even though X/NA is the same.

It is important to note that all of the aerial image calcula­ tions presented in this paper assume diffraction-limited lens performance, i.e., ideal lenses. Obviously, the ideal lens does not exist, and thus real lenses have log-slope versus defocus curves that are degraded to some extent from the ideal curves shown here. To a first approximation, the aberrations in an optical system can be thought of as a "built-in defocus," where the degradation of the image is roughly equivalent to defocusing by a certain amount. An effective defocus of 0.5 fjum is probably typical. This translates into a shift to the left of the log-slope curve. When comparing different lenses, as was done above, one must keep in mind that one lens may be farther from the ideal than the other.

Figure 8 shows the differences between an isolated line, an isolated space, and equal lines and spaces. The differences are quite remarkable. For very small features [Fig. 8(a)], packed lines and spaces have the worst resolution/DOF. Interest­ ingly, for the 0.6 |xm features the curves for isolated features

0.6 um features NA = 0.35

o = 0.5 X = 436 nm

•••••• isolated line- - - isolated space

equal lines/spaces

1 1.5 2

Defocus Distance (um)

2.5

(a)

• •• - I I I I

0.8 pm features NA = 0.35

a = 0.5 X = 436 nm

• • • isolated line- — - isolated space—— equal lines/spaces

1 1.5 2

Defocus Distance dim)

(b)

2.5

Fig. 8. The effect of feature type on focus latitude for (a) very small features and (b) moderately small features.

cross, in this case at about 1 jxm defocus. For defocus less than 1 jjim the isolated line has better image quality than the space. However, for greater defocus the isolated line falls off quite rapidly, and the isolated space has the better quality image. This variation with feature type is strongly dependent on the feature size. For 0.8 |xm features [Fig. 8(b)] there is little difference between the various feature types for the conditions given. For 1.0 |xm features the situation is com­ pletely reversed, with equal lines and spaces having the best log-slope over the entire focal range.

As a final example, the coherence of the illumination af­ fects image quality, as shown in Fig. 9. For the conditions given, a partial coherence of 0.5 is optimum. As expected, however, this result is very feature-size dependent.

OPTICAL ENGINEERING / December 1988 / Vol. 27 No. 12 / 1097

Downloaded From: http://opticalengineering.spiedigitallibrary.org/ on 06/02/2014 Terms of Use: http://spiedl.org/terms

Page 6: Understanding focus effects in submicrometer optical …...Subject terms: microlithography; depth of focus; resolution; lithography simula tion. Optical Engineering 27(12), 1093-1100

MACK

. 3 -áÁ

\ 1.

0.6 pm lines and spacesNA = 0.35

X = 436 nm

-- - - a=0.1- o - 0.50=0.9

`.

o,,,,1,,, 1,1111, It] I 1,1,.,,1,,,,

0 .2 .4 .6 .8 1 1.2 1.4

Defocus Distance (pm)

1.6 1.8

Fig. 9. The effect of partial coherence on focus latitude using theedge slope of the log- aerial image as a measure.

4. RELATIONSHIP TO MTFThe log -slope of the aerial image has a strong relationshipwith the MTF of the optical system. This relationship can beelucidated for the simple case of incoherent illumination. Fora periodic mask pattern, the aerial image takes the form of aFourier series,

I(x) = ao + 2 E an c o s( 27rnx )1ATF(n ) ,

n=1 P Pvo

where

wao =

P

sin(nirw/p)an =

ntr

2NAvo =

X

(10)

which is the cut -off frequency, and p is the pitch, w is thelinewidth, and n is the diffraction order. For the case of equallines and spaces, Eq. (10) simplifies to

1 sin(nr /2) arnx nI(x) _ -+ 2 E cos MTF (11)2 -1 n-rr w pvo

Note that, because of the sine term, only odd diffractionorders contribute to the aerial image. If the feature size issmall enough, only the first diffraction order is used to gen-erate the image. This occurs when

w < 0.75X

NA

For these small features, the aerial image simplifies further to

(12)

1098 / OPTICAL ENGINEERING / December 1988 / Vol. 27 No. 12

I(x) = 2 + cos( w /MTF (1) . (13)

\ / pvo

One can see that the aerial image has become a sinusoid.The above expression can now be used to compute the slope

of the image at the mask edge:

amnI 4 1MTF

ax w pvo(14)

Thus, the edge slope of the log -aerial image is directly relatedto the value of the MTF at the first diffraction order. Thedegradation of the MTF with defocus results directly in adegradation of the log- slope. For the case of partially co-herent illumination, an MTF cannot be defined. However, theconcept of MTF remains important for understanding theimage formation process. The above analysis suggests that thelog -slope can be used as a measure of MTF and thus as ameasure of the imaging capabilities of an optical system.

Note that from Eq. (11) the intensity at the mask edge isalways 0.5 for incoherent illumination. The center intensitycan be obtained from Eq. (13) and the ratio of center to edgeintensities can be calculated:

I(center) 4 1- 1 + - MTF - .I(edge) a pvo

(15)

Thus, the ratio of center to edge intensities is related to thelog -slope by a scale factor for small features, as was shown inFig. 3.

5. LITHOGRAPHY SIMULATIONPrimary parameter lithography models such as PROLITH3'4can be used to study in detail the effects of focus on thelithographic process. Previously, these models have assumedthat the aerial image is a constant throughout the thickness ofthe resist film. This is equivalent to saying that the resistthickness is less than the DOF of the process, so the imagedoes not defocus as it propagates through the resist. In sub -micrometer imaging, however, this approximation is nolonger valid. Thus, to accurately describe the effects of focusone must take into account the defocusing with the resist. Themost rigorous solution to this problem is to calculate theimage in two or three dimensions using, for example, theextended source method10 or electromagnetic diffractiontheory.9 Such rigorous approaches are quite complicated,however, and a simple extension of current modeling tech-niques will now be proposed.

An integral part of current lithography models such asPROLITH is the assumption that the image is a plane wavetraveling normal to the resist surface. In such a case the aerialimage I1(x) and the standing wave intensity I5(z) may becalculated independently. The total intensity is

I(x,z) = I;(x)Is(z) , (16)

where z is the depth into the resist and is zero at the top.Yeung's rigorous solutions9.1° do not make these assump-tions, and the total intensity is not separable. To avoid thecomplications of Yeung's calculations, we will assume thatthe aerial image and standing wave intensity are still sep-arable, but make the aerial image a function of depth into thephotoresist:

MACK

0.6 um lines and spaces NA = 0.35

X = 436 nm

0 .2 .4 .6 .8 1 1.2 1.4 1.6 1.8 2

Fig. 9. The effect of partial coherence on focus latitude using the edge slope of the log-aerial image as a measure.

4. RELATIONSHIP TO MTFThe log-slope of the aerial image has a strong relationship with the MTF of the optical system. This relationship can be elucidated for the simple case of incoherent illumination. For a periodic mask pattern, the aerial image takes the form of a Fourier series,

T/ x , ~ \r» / 27rnx I(x) = a0 + 2 V an cos n =l \ P

, MTF (10)

where

an =

v0 =

w

P

sin(mrw/p)

nir

2NA

which is the cut-off frequency, and p is the pitch, w is the linewidth, and n is the diffraction order. For the case of equal lines and spaces, Eq. (10) simplifies to

sin(mT/2)cos

n=l

TTI1X

wMTF -S-

Vpv0(11)

Note that, because of the sine term, only odd diffraction orders contribute to the aerial image. If the feature size is small enough, only the first diffraction order is used to gen­ erate the image. This occurs when

w < 0.75-NA

(12)

100----2 IT

/ 7TX \ / 1 \cos MTF .

Vpv0(13)

One can see that the aerial image has become a sinusoid.The above expression can now be used to compute the slope

of the image at the mask edge:

ainl ax

= JL MTF (_L).w \pv0 /

(14)

Thus, the edge slope of the log-aerial image is directly related to the value of the MTF at the first diffraction order. The degradation of the MTF with defocus results directly in a degradation of the log-slope. For the case of partially co­ herent illumination, an MTF cannot be defined. However, the concept of MTF remains important for understanding the image formation process. The above analysis suggests that the log-slope can be used as a measure of MTF and thus as a measure of the imaging capabilities of an optical system.

Note that from Eq. (11) the intensity at the mask edge is always 0.5 for incoherent illumination. The center intensity can be obtained from Eq. (13) and the ratio of center to edge intensities can be calculated:

I(edge) \pv0(15)

For these small features, the aerial image simplifies further to

Thus, the ratio of center to edge intensities is related to the log-slope by a scale factor for small features, as was shown in Fig. 3.

5. LITHOGRAPHY SIMULATIONPrimary parameter lithography models such as PROLITH3 '4 can be used to study in detail the effects of focus on the lithographic process. Previously, these models have assumed that the aerial image is a constant throughout the thickness of the resist film. This is equivalent to saying that the resist thickness is less than the DOF of the process, so the image does not defocus as it propagates through the resist. In sub- micrometer imaging, however, this approximation is no longer valid. Thus, to accurately describe the effects of focus one must take into account the defocusing with the resist. The most rigorous solution to this problem is to calculate the image in two or three dimensions using, for example, the extended source method 10 or electromagnetic diffraction theory. 9 Such rigorous approaches are quite complicated, however, and a simple extension of current modeling tech­ niques will now be proposed.

An integral part of current lithography models such as PROLITH is the assumption that the image is a plane wave traveling normal to the resist surface. In such a case the aerial image Ii(x) and the standing wave intensity Is(z) may be calculated independently. The total intensity is

I(x,z) = Ii(x)Is(z) , (16)

where z is the depth into the resist and is zero at the top. Yeung's rigorous solutions9 ' 10 do not make these assump­ tions, and the total intensity is not separable. To avoid the complications of Yeung's calculations, we will assume that the aerial image and standing wave intensity are still sep­ arable, but make the aerial image a function of depth into the photoresist:

1098 / OPTICAL ENGINEERING / December 1988/Vol. 27 No. 12

Downloaded From: http://opticalengineering.spiedigitallibrary.org/ on 06/02/2014 Terms of Use: http://spiedl.org/terms

Page 7: Understanding focus effects in submicrometer optical …...Subject terms: microlithography; depth of focus; resolution; lithography simula tion. Optical Engineering 27(12), 1093-1100

1.1

.9

.8

Ê .7s

6

G .5cs)

.4

.3

.2

UNDERSTANDING FOCUS EFFECTS IN SUBMICROMETER OPTICAL LITHOGRAPHY

-1.5 -1 -.5 0 .5

Defocus Distance áo (pm)

15

Fig. 10. Focus latitude as a function of exposure as predicted byPROLITH. A 1 µm resist film with typical g -line parameters wasassumed.

I(x,z) = I1(x,z)Is(z) . (17)

The image Ii(x,z) is calculated using the standard aerialimage model by defocusing different amounts for differentvalues of z. The depth into the resist is not the defocusdistance, however. Rather, the defocus distance 8 is given byRef. 6 as

8(z) = So + - , (18)

where 80 is the defocus distance at the top of the resist and n isthe index of refraction of the resist. Although the aerial imageis an even function of defocus (a defocus distance of -Sproduces the same image as a defocus of 8), it is not an evenfunction of 80. Since So can be thought of as the verticalposition of the wafer plane in a stepper, focus effects insubmicrometer lithography are not symmetric about the opti-mum focal position. In fact, the position of the optimum focalplane is not obvious when the resist thickness is not negligiblecompared to the DOF.

PROLITH v1.4 includes the defocus model describedabove. Using this program, the effects of defocus and ex-posure on submicrometer features can be simulated. Figure 10shows the common plot of linewidth versus focus for differentvalues of exposure. The defocus distance 80 is zero when thefocal plane is at the top of the resist. If the wafer is movedupward 1 µm from this position, the value of 80 is -1 µm(i.e., the focal plane is 1µm below the resist surface). Onecan see that these curves are not symmetric. The optimumexposure appears to be about 120 to 125 mJ /cm2 with maskbias or about 100 mJ /cm2 without. The optimum focal posi-tion is about -0.3 to -0.5 µm. In other words, the focalplane should be about V3 to i of the way down into the resist.

Another way to represent the data of Fig. 10 is the focus -exposure process volume. In Fig. 11, the values of focus and

30

25

20

é4 15ao

10W

-5

-10

15

-1.4 -1.2 -1

1 1,, 1,,,,111 [1,1111,.,1"1"1 11,71,1.',111NA = 0.35

a = 0.5a = 436 nm

0.6 am lines and spaces

- linewidth ± 10%sidewall angle = 75°

-.8 -.6 -.4 -.2 0 .2 .4 .6 .8 1

Defocus Distance d° (ym)

Fig. 11. Focus -exposure process volume for ±10% linewidth and 75°sidewall angle specifications (as predicted by PROLITHI.

exposure that result in a ± 10% variation in linewidth from thenominal are plotted. The result is a process window, withinwhich the linewidth specification is met. Other specifications,such as sidewall angle, can also be plotted,6 as shown inFig. 11.

In addition to an asymmetric behavior of linewidth versusfocus, the above defocus model also predicts that the shape ofthe resist profile behaves differently with defocus. Figure 12shows the variation of the resist profile through focus. Whenthe focal plane moves from below the resist surface to above,the profile changes from convex to concave. This variation ofresist profile with focus and the asymmetric focus -exposurecurves have been confirmed by the more rigorous model andby experiment.9

6. CONCLUSIONSThe Rayleigh criteria for resolution and DOF are not adequatein describing submicrometer optical lithography. In fact, it isquite easy to misinterpret the Rayleigh criteria and draw com-pletely inaccurate conclusions. Thus, a new approach to char-acterizing resolution and DOF has been introduced. By ex-amining the interaction of the lithographic tool (via the aerialimage) with the photoresist process, a metric for judgingaerial image quality has been established. By examining theeffects of feature size and defocus on this metric, accurate andmeaningful definitions of resolution and DOF can be made.This technique also leads to aR .nderstanding of the influenceof various parameters on the resolution /DOF and the ability tocompare the theoretical performance of different lithographictools.

An enhanced version of PROLITH has been introduced toaccount for defocus within the photoresist layer. With thismodel, various focus effects can be characterized in greatdetail.

7. REFERENCES1. C. A. Mack, "Photoresist process optimization," in KTI Microelectron-

ics Seminar Interface '87, pp.153 -167, KTI Chemicals, Sunnyvale,Calif. (1987).

OPTICAL ENGINEERING / December 1988 / Vol. 27 No. 12 / 1099

UNDERSTANDING FOCUS EFFECTS IN SUBMICROMETER OPTICAL LITHOGRAPHY

1

.9

.8

1 .7 |~ ^aJS

3 .6vo1^

-1.5 -1 -.5 0

Defocus Distance 50

1.5

30

25

20

i 158

I 10

-15

NA = 0.35a = 0.5

X = 436 nm0.6 um lines and spaces

linewidth ± 10% sidewall angle = 75

-1.4 -1.2 -1 -.8 -.6 -.4 -.2 0 .2 .4 .6

Defocus Distance 6 0 (Mm)

Fig. 11. Focus-exposure process volume for ±10% linewidth and 75° sidewall angle specifications (as predicted by PROLITM).

Fig. 10. Focus latitude as a function of exposure as predicted by PROLITH. A 1 (Jim resist film with typical g-line parameters was assumed.

I(x,z) = Ii(x,z)Is(z) . (17)

The image Ii(x,z) is calculated using the standard aerial image model by defocusing different amounts for different values of z. The depth into the resist is not the defocus distance, however. Rather, the defocus distance 8 is given by Ref. 6 as

8(z) = 80 + , n

(18)

where 80 is the defocus distance at the top of the resist and n is the index of refraction of the resist. Although the aerial image is an even function of defocus (a defocus distance of -8 produces the same image as a defocus of 8), it is not an even function of 80 . Since 80 can be thought of as the vertical position of the wafer plane in a stepper, focus effects in submicrometer lithography are not symmetric about the opti­ mum focal position. In fact, the position of the optimum focal plane is not obvious when the resist thickness is not negligible compared to the DOF.

PROLITH vl.4 includes the defocus model described above. Using this program, the effects of defocus and ex­ posure on submicrometer features can be simulated. Figure 10 shows the common plot of linewidth versus focus for different values of exposure. The defocus distance 80 is zero when the focal plane is at the top of the resist. If the wafer is moved upward 1 |xm from this position, the value of 80 is -1 |im (i.e., the focal plane is 1 jxm below the resist surface). One can see that these curves are not symmetric. The optimum exposure appears to be about 120 to 125 ml/cm2 with mask bias or about 100 ml/cm2 without. The optimum focal posi­ tion is about -0.3 to -0.5 jjim. In other words, the focal plane should be about 1A to l/2 of the way down into the resist.

Another way to represent the data of Fig. 10 is the focus- exposure process volume. In Fig. 11, the values of focus and

exposure that result in a ±10% variation in linewidth from the nominal are plotted. The result is a process window, within which the linewidth specification is met. Other specifications, such as sidewall angle, can also be plotted, 6 as shown in Fig. 11.

In addition to an asymmetric behavior of linewidth versus focus, the above defocus model also predicts that the shape of the resist profile behaves differently with defocus. Figure 12 shows the variation of the resist profile through focus. When the focal plane moves from below the resist surface to above, the profile changes from convex to concave. This variation of resist profile with focus and the asymmetric focus-exposure curves have been confirmed by the more rigorous model and by experiment. 9

6. CONCLUSIONSThe Rayleigh criteria for resolution and DOF are not adequate in describing submicrometer optical lithography. In fact, it is quite easy to misinterpret the Rayleigh criteria and draw com­ pletely inaccurate conclusions. Thus, a new approach to char­ acterizing resolution and DOF has been introduced. By ex­ amining the interaction of the lithographic tool (via the aerial image) with the photoresist process, a metric for judging aerial image quality has been established. By examining the effects of feature size and defocus on this metric, accurate and meaningful definitions of resolution and DOF can be made. This technique also leads to a^ understanding of the influence of various parameters on the resolution/DOF and the ability to compare the theoretical performance of different lithographic tools.

An enhanced version of PROLITH has been introduced to account for defocus within the photoresist layer. With this model, various focus effects can be characterized in great detail.

7. REFERENCES

1. C. A. Mack, "Photoresist process optimization," in KTI Microelectron­ ics Seminar Interface '87, pp. 153-167, KTI Chemicals, Sunnyvale, Calif. (1987).

OPTICAL ENGINEERING / December 1988 / Vol. 27 No. 12 / 1099

Downloaded From: http://opticalengineering.spiedigitallibrary.org/ on 06/02/2014 Terms of Use: http://spiedl.org/terms

Page 8: Understanding focus effects in submicrometer optical …...Subject terms: microlithography; depth of focus; resolution; lithography simula tion. Optical Engineering 27(12), 1093-1100

o

-6o

0 .2 .4 .6 - 6 -.4 -.2 0

1

.8

6

.4

.2

MACK

.8

6

.4

.2

0

-.4 -.2 0 .2 .4 -6 - 6 --4 -.2

Horizontal Distance (um)

0 2 4 6

0 .2 .4 .6

Fig. 12. Variation of the resist profile shape with defocus (as predicted by PROLITH).

2. R. Hershel and C. A. Mack, "Lumped parameter model for opticallithography," in Lithography for VLSI, VLSI Electronics- Microstruc-ture Science, R. K. Watts and N. G. Einspruch, eds., pp. 19-55, Aca-demic Press, New York (1987).

3. C. A. Mack, "PROLITH: a comprehensive optical lithography model,"in Optical Microlithography IV, H. L. Stover, ed., Proc. SPIE 538,207 -220 (1985).

4. C. A. Mack, "Advanced topics in lithography modeling," in Advances inResist Technology and Processing III, C. G. Willson, ed., Proc. SPIE631, 276 -285 (1986).

5. H. J. Levinson and W. H. Arnold, "Focus: the critical parameter forsubmicron lithography," J. Vac. Sci. Technol. B5(1), 293 -298 (1987).

6. W. H. Arnold and H. J. Levinson, "Focus: the critical parameter forsubmicron optical lithography: part 2," in Optical Microlithography VI,H. L. Stover, ed., Proc. SPIE 772, 21 -34 (1987).

7. W. G. Oldham, S. N. Nandgaonkar, A. R. Neureuther, and M. O'Toole,"A general simulator for VLSI lithography and etching processes: PartI- application to projection lithography," IEEE Trans. Electron DevicesED- 26(4), 717 -722 (1979).

8. A. Suzuki, S. Yabu, and M. Ookubo, "Intelligent optical system of anew stepper," in Optical Microlithography VI, H. L. Stover, ed., Proc.SPIE 772, 58-65 (1987).

9. M. S. Yeung, "Modeling high numerical aperture optical lithography,"in Optical /Laser Microlithography, B. J. Lin, ed., Proc. SPIE 922,149 -167 (1988).

10. M. Yeung, "Modeling aerial images in two and three dimensions,"Kodak Microelectronics Seminar Interface '85, 115 -126, EastmanKodak, Rochester, N.Y. (1985).

Chris A. Mack received bachelor's degrees inphysics, chemistry, electrical engineering,and chemical engineering from the Rose -Hulman Institute of Technology in 1982. Hejoined the Microelectronics Research Lab-oratory at the National Security Agency in1983 and began work in optical lithographyresearch. He has authored numerous papersin the area of optical lithography and hasdeveloped the lithography simulation pro-gram PROLITH.

1100 / OPTICAL ENGINEERING / December 1988 / Vol. 27 No. 12

MACK

.6 -

-.6 -.4 -.2 0 .6 -.6 -.4 -.2 0 .6 -.6

-.6 -.4 -.2 0 .2 ,4 .6 -.6 -.4 -.2

Horizontal Distance (pm)

Fig. 12. Variation of the resist profile shape with defocus (as predicted by PROLITH}.

R. Hershel and C. A. Mack, "Lumped parameter model for optical lithography," in Lithography for VLSI, VLSI Electronics—Microstruc- ture Science, R. K. Watts and N. G. Einspruch, eds., pp. 19-55, Aca­ demic Press, New York (1987).C. A. Mack, "PROLITH: a comprehensive optical lithography model," in Optical Microlithography IV, H. L. Stover, ed., Proc. SPIE 538, 207-220 (1985).C. A. Mack, "Advanced topics in lithography modeling," in Advances in Resist Technology and Processing ///, C. G. Willson, ed., Proc. SPIE 631, 276-285 (1986).H. J. Levinson and W. H. Arnold, "Focus: the critical parameter for submicron lithography," J. Vac. Sci. Technol. B5(l), 293-298 (1987). W. H. Arnold and H. I. Levinson, "Focus: the critical parameter for submicron optical lithography: part 2," in Optical Microlithography VI, H. L. Stover, ed., Proc. SPIE 772, 21-34 (1987). W. G. Oldham, S. N. Nandgaonkar, A. R. Neureuther, and M. O'Toole, "A general simulator for VLSI lithography and etching processes: Part I application to projection lithography," IEEE Trans. Electron Devices ED-26(4), 717-722(1979).A. Suzuki, S. Yabu, and M. Ookubo, "Intelligent optical system of a new stepper," in Optical Microlithography VI, H. L. Stover, ed., Proc. SPIE 772, 58-65 (1987).

9. M. S. Yeung, "Modeling high numerical aperture optical lithography," in Optical/Laser Microlithography, B. J. Lin, ed., Proc. SPIE 922,149-167(1988).

10. M. Yeung, "Modeling aerial images in two and three dimensions," Kodak Microelectronics Seminar Interface '85, 115-126, Eastman Kodak, Rochester, N.Y. (1985). s

Chris A. Mack received bachelor's degrees in physics, chemistry, electrical engineering, and chemical engineering from the Rose- Hulman Institute of Technology in 1982. He joined the Microelectronics Research Lab­ oratory at the National Security Agency in 1983 and began work in optical lithography research. He has authored numerous papers in the area of optical lithography and has developed the lithography simulation pro­ gram PROLITH.

1100 / OPTICAL ENGINEERING / December 1988 / Vol. 27 No. 12Downloaded From: http://opticalengineering.spiedigitallibrary.org/ on 06/02/2014 Terms of Use: http://spiedl.org/terms