the eda laboratory the electronic design automation lab (edalab) po-ya hsu 2013/6/7

20
The EDA Laboratory The Electronic Design Automation Lab (EDALab) Po-Ya Hsu 2013/6/7

Upload: nickolas-williams

Post on 18-Jan-2016

219 views

Category:

Documents


0 download

TRANSCRIPT

Page 1: The EDA Laboratory The Electronic Design Automation Lab (EDALab) Po-Ya Hsu 2013/6/7

The EDA Laboratory

The Electronic Design Automation Lab(EDALab)

Po-Ya Hsu

2013/6/7

Page 2: The EDA Laboratory The Electronic Design Automation Lab (EDALab) Po-Ya Hsu 2013/6/7

The EDA Laboratory

Outline

․ Introduction of EDALab

․ Software introduction¾ Floorplanning: B*-tree Floorplanner¾ Placement: NTUPlace¾ Global Routing: NTUgr

Page 3: The EDA Laboratory The Electronic Design Automation Lab (EDALab) Po-Ya Hsu 2013/6/7

The EDA Laboratory

Research Focuses

․ Physical design for nanometer IC’s

․ Design for manufacturability & reliability

․ Low-power design methodology

․ Board-package-chip co-design

Page 4: The EDA Laboratory The Electronic Design Automation Lab (EDALab) Po-Ya Hsu 2013/6/7

The EDA Laboratory

Physical Design Flow

Page 5: The EDA Laboratory The Electronic Design Automation Lab (EDALab) Po-Ya Hsu 2013/6/7

The EDA Laboratory

Research Topics

․ Physical Design Engines¾ Floorplanning, placement,

routing, clock network

․ Manufacturability¾ OPC, CMP, DPT, e-beam,

EUV, Process variation

․ Analog Layout Design

․ Reliability¾ Antenna effect¾ Redundant via¾ Thermal, ESD analysis

․ Power/Signal Integrity¾ Low power, IR drop,

Crosstalk

Page 6: The EDA Laboratory The Electronic Design Automation Lab (EDALab) Po-Ya Hsu 2013/6/7

The EDA Laboratory

Outline

․ Introduction of EDALab

․ Software introduction¾ Floorplanning: B*-tree Floorplanner¾ Placement: NTUPlace¾ Global Routing: NTUgr

Page 7: The EDA Laboratory The Electronic Design Automation Lab (EDALab) Po-Ya Hsu 2013/6/7

The EDA Laboratory

EDALAB Software Download

․ Download: http://eda.ee.ntu.edu.tw/research.htm

Floorplanning

Placement

Global Routing

Page 8: The EDA Laboratory The Electronic Design Automation Lab (EDALab) Po-Ya Hsu 2013/6/7

The EDA Laboratory

Outline

․ Introduction of EDALab

․ Software introduction¾ Floorplanning: B*-tree Floorplanner¾ Placement: NTUPlace¾ Global Routing: NTUgr

Page 9: The EDA Laboratory The Electronic Design Automation Lab (EDALab) Po-Ya Hsu 2013/6/7

The EDA Laboratory

B*-tree Floorplanner

․ B*-tree representation

․ Simulated Annealing

Page 10: The EDA Laboratory The Electronic Design Automation Lab (EDALab) Po-Ya Hsu 2013/6/7

The EDA Laboratory

B*-tree Floorplanner

․ Floorplanning by simulated annealing using B*-tree

Bounding Box Setting

Packing

Page 11: The EDA Laboratory The Electronic Design Automation Lab (EDALab) Po-Ya Hsu 2013/6/7

The EDA Laboratory

Outline

․ Introduction of EDALab

․ Software introduction¾ Floorplanning: B*-tree Floorplanner¾ Placement: NTUPlace¾ Global Routing: NTUgr

Page 12: The EDA Laboratory The Electronic Design Automation Lab (EDALab) Po-Ya Hsu 2013/6/7

The EDA Laboratory

Popular Placement Flow

Page 13: The EDA Laboratory The Electronic Design Automation Lab (EDALab) Po-Ya Hsu 2013/6/7

The EDA Laboratory

NTUPlace

․ NTUplace3: ¾ An Analytical Placer for Large-Scale Mixed-Size Designs

․ Feature:¾ Analytical Global Placement Model

Page 14: The EDA Laboratory The Electronic Design Automation Lab (EDALab) Po-Ya Hsu 2013/6/7

The EDA Laboratory

Example Result of NTUPlace

Page 15: The EDA Laboratory The Electronic Design Automation Lab (EDALab) Po-Ya Hsu 2013/6/7

The EDA Laboratory

Outline

․ Introduction of EDALab

․ Software introduction¾ Floorplanning: B*-tree Floorplanner¾ Placement: NTUPlace¾ Global Routing: NTUgr

Page 16: The EDA Laboratory The Electronic Design Automation Lab (EDALab) Po-Ya Hsu 2013/6/7

The EDA Laboratory

Popular Routing Flow

Page 17: The EDA Laboratory The Electronic Design Automation Lab (EDALab) Po-Ya Hsu 2013/6/7

The EDA Laboratory

NTUgr

․ Feature:¾ High-Performance Global Routing with Fast Overflow

Reduction

Page 18: The EDA Laboratory The Electronic Design Automation Lab (EDALab) Po-Ya Hsu 2013/6/7

The EDA Laboratory

Example Routing Result of NTUgr

Page 19: The EDA Laboratory The Electronic Design Automation Lab (EDALab) Po-Ya Hsu 2013/6/7

The EDA Laboratory

Example Routing Result

Page 20: The EDA Laboratory The Electronic Design Automation Lab (EDALab) Po-Ya Hsu 2013/6/7

The EDA Laboratory

Download Website

․ http://eda.ee.ntu.edu.tw/research.htm

․ Registration is needed