pic lcd artikkeli16 kuvat - lea.hamradio.silea.hamradio.si/~s56fpw/dir/freq. metri/fc...

23
1(23) Osmo Pasuri, OH6CJ [email protected] TAAJUUSLASKIN 14.08.2001 Artikkelin esittelykuva: PIC_LCD_counter.jpg Kuvat, piirikaaviot, taulukot: OH6CJ paitsi kuva 4 : http://smallwonderlabs.com/ freqmite.gif) FILE: PIC_LCD_ARTIKKELI16_KUVAT.DOC

Upload: others

Post on 04-Sep-2019

7 views

Category:

Documents


0 download

TRANSCRIPT

Page 1: PIC LCD artikkeli16 kuvat - lea.hamradio.silea.hamradio.si/~s56fpw/dir/freq. metri/fc QRP/PIC_LCD_artikkeli16_kuvat.pdf · 7(23) ikkunaa näytön edessä. Jokainen aktivoitu segmentti

1(23)

Osmo Pasuri, [email protected]

TAAJUUSLASKIN

14.08.2001

Artikkelin esittelykuva: PIC_LCD_counter.jpg

Kuvat, piirikaaviot, taulukot: OH6CJ paitsi kuva 4 : http://smallwonderlabs.com/ freqmite.gif)

FILE: PIC_LCD_ARTIKKELI16_KUVAT.DOC

Page 2: PIC LCD artikkeli16 kuvat - lea.hamradio.silea.hamradio.si/~s56fpw/dir/freq. metri/fc QRP/PIC_LCD_artikkeli16_kuvat.pdf · 7(23) ikkunaa näytön edessä. Jokainen aktivoitu segmentti

2(23)

Page 3: PIC LCD artikkeli16 kuvat - lea.hamradio.silea.hamradio.si/~s56fpw/dir/freq. metri/fc QRP/PIC_LCD_artikkeli16_kuvat.pdf · 7(23) ikkunaa näytön edessä. Jokainen aktivoitu segmentti

3(23)

Sisällysluettelo

1. JOHDANTO ......................................................................................................................................................................................5

2. TAAJUUSMITTAUKSEN PERIAATE.....................................................................................................................................5

3. KÄSITTEITÄ....................................................................................................................................................................................5

3.1 SUORA TAAJUUSLASKIN.............................................................................................................................................................53.2 OHJELMOITAVA TAAJUUSLASKIN JA DISPLAY OFFSET .........................................................................................................63.3 ESIJAKAJA ....................................................................................................................................................................................63.4 PIC.................................................................................................................................................................................................6

4. TAAJUUSLASKINTEKNIIKKA 20 VUOTTA SITTEN.....................................................................................................6

5. TAAJUUSINDIKAATTORIT......................................................................................................................................................6

5.1 7-SEGMENTTINÄYTTÖ ................................................................................................................................................................65.2 LCD ELI NESTEKIDENÄYTTÖ ....................................................................................................................................................7

5.2.1 Taustavalolliset LCD-näytöt............................................................................................................................................95.3 TAAJUUDEN ILMAISU SÄHKÖTYKSELLÄ..................................................................................................................................95.4 TAAJUUDEN ILMAISU LED-MATRIISILLA DEKADEITTAIN ..................................................................................................10

6. KUINKA HARRASTAJAN TAAJUUSLASKIN VOITAISIIN TOTEUTTAA EDULLISESTINYKYTEKNIIKALLA? ................................................................................................................................................................. 11

6.1 PIC-MIKROPROSESSORI ............................................................................................................................................................11

7. PIC-SOVELLUKSET JA OM3CPH....................................................................................................................................... 12

7.1 OM3CPH PERUSLASKIMEN TOIMINTA..................................................................................................................................12

8. LASKIMEN MODIFIOITU HW-KYTKENTÄ................................................................................................................... 12

9. LASKIMEN MODIFIOITU OHJELMISTO (SW)............................................................................................................ 15

9.1 COUNTER MODE...................................................................................................................................................................159.2 EEPROM MODE.....................................................................................................................................................................169.3 MUUT LISÄTYT FUNKTIOT .......................................................................................................................................................169.4 EEPROM-PARAMETRIEN FUNKTIOT .....................................................................................................................................16

9.4.1 Display Offset ja kytkin S4 (EEPROM 00h…05h).................................................................................................... 179.4.2 Suora tai ohjelmoitava taajuuslaskenta (EEPROM 06h)........................................................................................ 179.4.3 Laskimen kalibrointi (EEPROM 07h, 08h) ................................................................................................................ 179.4.4 Näytön valinta (09h) ...................................................................................................................................................... 189.4.5 Näytettävien numeroiden määrä (0Ah)....................................................................................................................... 189.4.6 Oletusarvojen palautus (0Fh) ...................................................................................................................................... 18

9.5 KÄYTTÄJÄN ASETUSTEN MUUTTAMINEN ..............................................................................................................................189.5.1 EEPROM MODEn aktivointi ....................................................................................................................................... 189.5.2 Parametrien arvojen muuttaminen.............................................................................................................................. 19

10. LASKIMEN RAKENTAMINEN ........................................................................................................................................... 20

11. LASKIMEN KÄYTTÖÖNOTTO .......................................................................................................................................... 21

11.1 MITTAUKSET JÄNNITTEETTÖMÄNÄ......................................................................................................................................2111.2 ENSIMITTAUKSET JÄNNITE KYTKETTYNÄ...........................................................................................................................2111.3 LOPPUASENNUS JA TESTAUS..................................................................................................................................................21

12. LASKIMEN TUOTTAMAT HÄIRIÖT............................................................................................................................... 21

13. MISTÄ OHJELMAN SAA? .................................................................................................................................................... 21

14. JATKOKEHITYSIDEOITA JA PUUTTEET.................................................................................................................... 22

14.1 KEHITYSIDEAT ........................................................................................................................................................................22

Page 4: PIC LCD artikkeli16 kuvat - lea.hamradio.silea.hamradio.si/~s56fpw/dir/freq. metri/fc QRP/PIC_LCD_artikkeli16_kuvat.pdf · 7(23) ikkunaa näytön edessä. Jokainen aktivoitu segmentti

4(23)

14.2 PUUTTEITA...............................................................................................................................................................................22

15. PIC-LCD-TAAJUUSLASKIMEN OSALUETTELO....................................................................................................... 22

16. LÄHTEET..................................................................................................................................................................................... 23

Page 5: PIC LCD artikkeli16 kuvat - lea.hamradio.silea.hamradio.si/~s56fpw/dir/freq. metri/fc QRP/PIC_LCD_artikkeli16_kuvat.pdf · 7(23) ikkunaa näytön edessä. Jokainen aktivoitu segmentti

5(23)

1. JohdantoTämä artikkeli kertoo taajuuslaskimen toimintaperiaatteesta ja sen toteutuksesta viimeisen 20vuoden aikana. Taajuuslaskimia on tyypillisesti käytetty mittalaitteena esim. radioharrastajienlaitteissa vastaanotto- ja lähetystaajuuden indikointiin ja näin on päästy tarkempaan tulokseenverrattuna mekaanisiin taajuusasteikkoihin. Tosin nykyisissä kaupallisissa lähetinvastaanottimissakäytetään tyypillisesti paikallisoskillaattorina DDS:ään (Direct Digital Synthetics) perustuvaaratkaisua ja näytön taajuuslukema perustuukin DDS-piirille annettuun taajuusohjeeseen.Rakenteluesimerkkinä esitellään PIC-mikroprosessorilla ja LCD-näytöllä toteutettu 7 numeronohjelmoitava 30 MHz:n taajuuslaskin, jonka toiminta voidaan parametroida käyttäjän tarpeenmukaan.

2. Taajuusmittauksen periaateVaihtosähkön taajuus määritellään värähtelyjaksojen lukumääränä aikayksikössä. Taajuushan onyksi hertzi, kun tapahtuu yksi värähdys yhdessä sekunnissa. Seuraavasta kaavasta voidaan todetaettä taajuuden mittaaminen on ajan mittaamisen käänteinen toimitus. 7) Taajuus saadaan ratkaistuajakamalla mitattavan signaalin värähdysten lukumäärä tarkasteluajalla.

f (Hz) = n / t (s) f = taajuus hertzeinä n = värähdysten lukumäärä t = tarkasteluaika

Taajuuslaskimessa lasketaan määrämittaisena aikana (laskenta-aikaikkuna ) jaksojen määrä jamuunnetaan tulos taajuudeksi. 7) Hiukan tarkennettuna toimintaperiaate on yksinkertaisestiseuraava:1. Lasketaan kalibroidussa laskenta-aikaikkunassa mitattavasta signaalista pulssien lukumäärä.2. Talletetaan pulssien määrä välimuistiin (latch)3. Tulostetaan laskimen näytölle pulssiluku = taajuus välimuistista. Desimaalipisteen paikka

ohjataan valitun laskenta-aikaikkunan (= resoluution) mukaan4. Nollataan pulssilaskurit ja aloitetaan seuraava mittausjakso (hyppy kohtaan 1…)

Esimerkki:Laskenta-aikaikkuna on 100 ms. Mitattava taajuus on 14100.155 kHz = 14100155 jaksoasekunnissa. Laskenta-aikaikkuna määrää maksimi resoluution, jos esijakajaa ei käytetämittaussisääntulossa eli esim. 100 ms:n aikana jaksoja tulee 14100155 x 0.1 s = 1410015. Tämävastaa siten taajuutta 14100.15 kHz eli vähiten merkitsevä numero (5) indikoi kymmenet Hz:t. Josaika-ikkuna olisi 1 s, niin silloin päästäisiin 1 Hz:n resoluutioon.

Taajuuslaskimen elektroniikalla on aina maksimimittaustaajuus, jonka laskin pystyy tietyissäolosuhteissa mittaamaan. Taajuusaluetta voidaan kasvattaa ylöspäin käyttämällä laskimen edessäesijakajaa tai superheterodyneperiaatetta eli alassekoittamista 7).

3. KäsitteitäSeuraavassa muutamia käsitteitä, jotka on hyvä ymmärtää tätä artikkelia luettaessa.

3.1 Suora taajuuslaskinSummaa mitattavia pulsseja laskenta-aikaikkunan osoittaman aikayksikön ajan ja näyttää tuloksen.8)

Page 6: PIC LCD artikkeli16 kuvat - lea.hamradio.silea.hamradio.si/~s56fpw/dir/freq. metri/fc QRP/PIC_LCD_artikkeli16_kuvat.pdf · 7(23) ikkunaa näytön edessä. Jokainen aktivoitu segmentti

6(23)

3.2 Ohjelmoitava taajuuslaskin ja Display OffsetTaajuuslaskin rakennetaan ohjelmoitavaksi, kun se liitetään esim. supervastaanottimeen mittaamaanvastaanottimen VFO-taajuutta, mutta laskimen halutaan indikoivan vastaanotettu taajuus. Tällöin onvastaanottimen rakenteen mukaan AM- ja FM-modella joko vähennettävä välitaajuudesta VFO-taajuus tai lisättävä välitaajuus VFO-taajuuteen. CW- ja SSB-modella välitaajuuden asemestakäytetään BFO-taajuutta. Tätä summattavaa tai vähennettävänä olevaa lukuarvoa kutsutaan tässäartikkelissa Display Offset (näytön offsetiksi).

3.3 EsijakajaMitattavan taajuuden lisäjakaja, joka kytketään taajuuslaskimen sisääntuloon. Sen avulla voidaanmitata taajuuksia, jotka ovat perustaajuuslaskimen mittausalueen yläpuolella.

3.4 PICPIC (Programmable Interface Controller) on yksisiru (single-chip) mikroprosessori, joka sisältääohjelman suorituksen kannalta melkein kaiken tarvittavan kuten I/O:n, RAM- ja ROM-muistit,käskydekooderin, kello-oskillaattorin ja tilakoneen. Piiri tarvitsee +5 V:n käyttöjännitteen jaoskillaattorikytkentään vastuksen ja kondensaattorin (RC) tai suuremmille taajuuksille esim.kvartsikiteen ja kaksi kondensaattoria. PIC-mikroprosessorit ovat Microchip Technology Inc.valmistamia tuotteita. 10)

4. Taajuuslaskintekniikka 20 vuotta sittenRakensin ensimmäisen taajuuslaskimen 1980-luvun alussa DX-kuuntelijalehdessä olleen Ilpo J.Leppäsen ansiokkaan artikkelin mukaan. Laskin oli toteutettu sen ajan tekniikan ja rakenteluhengenmukaan noin parillakymmenellä TTL-piireillä. Virrankulutus oli taattua…noin 1 A viiden voltinkäyttöjännitteellä! Kolmannes mikropiireistä käytettiin muodostamaan laskenta-aikaikkunajakamalla 1 MHz:n kidetaajuus siten että lopputuloksena positiivisen jakson pituus oli 100 mslaskenta-aikaikkunaksi. Loput piireistä olivat itse laskurina (74192), välimuistina (7475 latch) ja 7-segmenttinäytön ohjaimena (7447). Lisäsin vielä 100 Hz dekadin laskimeen jamaksimimittaustaajuutta nostin käyttämällä erillistä esijakajaa (10-jakaja) mittaustulossa 5). Näinesim. taajuus 145.550 MHz oli jakajan lähdössä 14.5550 MHz. Tarvittiin desimaalipisteen siirtooikealle, jotta laskimen indikoima taajuuslukema oli taas oikea 145.550 MHz. Muita 1980-luvuntaajuuslaskinpiirejä olivat ainakin Intersil ICM 7217A yhdessä ICM 7207 (time base) kanssa 2) ,ICM7226 ja Mostek MK50398 3). Ensin mainittua löytyy vieläkin komponenttikauppojenluettelosta.

5. TaajuusindikaattoritTutkitaanpa seuraavaksi millä eri tavoilla taajuuslaskimen mittaama taajuus voidaan indikoidakäyttäjälle.

5.1 7-segmenttinäyttöNäyttönä voi edelleenkin olla LED-tyyppinen 7-segmenttinäyttö, joka koostuu seitsemästä valoaemittoivasta diodista (LED) sijoitettuna numero kahdeksan muotoon. Lisäksi desimaalipisteelle (dp)on oma pinni. LEDien muodostamia viivoja kutsutaan segmenteiksi, jotka on nimetty kirjaimina,b,c,d,e,f ja g. LEDien anodit (yhteisanodi-kytkentä) tai katodit (yhteiskatodi-kytkentä) on kytkettyyhteen muodostaen kytkentään yhteisen COMMON-pinnin. 7-segmenttinäytön hyvänäominaisuutena on numeroiden selkeä näkyvyys, mutta varjopuolena suuri virrankulutus. Jottanumerot näkyvät myös kirkkaassa auringon valossa, käytetään usein tummennettua lasi- tai muovi-

Page 7: PIC LCD artikkeli16 kuvat - lea.hamradio.silea.hamradio.si/~s56fpw/dir/freq. metri/fc QRP/PIC_LCD_artikkeli16_kuvat.pdf · 7(23) ikkunaa näytön edessä. Jokainen aktivoitu segmentti

7(23)

ikkunaa näytön edessä. Jokainen aktivoitu segmentti kuluttaa virtaa 5…20 mA sarjavastuksenmukaan.

7-segmenttinäyttöjen ohjaus voi olla numerokohtainen kuten esim. Ilpo J. Leppäsen artikkelinlaitteessa, jossa jokaiselle näytön dekadille on oma laskuri-, latch- ja dekooderipiiri. Toinentoteutustapa on ns. multipleksattu näytön ohjaus, jolloin jokaista dekadia näytetään hetki yksikerrallaan. Kytkennässä käytetään kytkintransistoreita kytkettynä jokaisen 7-segmenttinäytönCOMMON-pinniin. Transistorit ohjataan johtavaan tilaan dekadi kerrallaan multiplekserinohjaamana ja näin ainoastaan kyseiseen näyttöön syttyvät ledit, jotka ohjataan synkronoidustisegmenttien ohjausväylästä (a…g). Kun multipleksaustaajuus on riittävän suuri, näkee silmä valonjatkuvana ilman häiritsevää vilkkumista. Multipleksatun näytön etuna on pienempi virrankulutuskuin numerokohtaisella ohjauksella, mutta haittapuolena se voi häiritä vastaanotinta ellei sitäsuojata metallikoteloon.

abcdefg

Segmenttienohjausdekadeittain

7-segmentti-näytön dekadinvalinta

Common Common Common Common

Kuva 1. Multipleksatun 7-segmenttinäyttöryhmän ohjausperiaate. (multiplexer_block_diagram.eps)

5.2 LCD eli nestekidenäyttöVähemmän virtaa kuluttavat LCD-näytöt (LCD = Liquid Crystal Display). Näyttö itse onpassiivinen 7-segmenttityyppinen näyttö, johon on yhdistetty useita numeroita esim. 3.5 numeronnäyttö (maksimi lukema on 1999). Esimerkkinä tällaisesta on K1 cw-lähetinvastaanotin (USA:ssarakennussarjana) varustettuna kolmen numeron LCD-näytöllä, jonka segmenttejä (3 x 7 = 21 I/O-pinniä) ohjataan yhdellä PIC-piirillä 16C77. 11) Piirilevyn rakenteesta tulee monimutkainen johtuenohjattavien segmenttien suuresta määrästä.

Yksinkertaisempi soveltaa on valmis ohjauselektroniikalla varustettu LCD-näyttömoduli (esim 1 x16 merkkiä = näytössä on yksi rivi, jossa 16 merkkiä). Tällöin näyttöä ohjataan erillisellämikroprosessorilla 4- tai 8-bittisellä standardoidulla (esim. Hitach HD44780) dataväylällä jakolmella ohjauslinjalla. 3) Pinnien merkitys on vakio eri tyypeillä riippumatta näytönmerkkimäärästä ja riveistä. Yhdelle merkille on varattu 5 x 10 pisteen matriisi, josta normaalistikäytetään 5 x 7 pisteen alue ylhäältä lukien. Näin mahdollistetaan alareunaan myös kursoriviivan

Page 8: PIC LCD artikkeli16 kuvat - lea.hamradio.silea.hamradio.si/~s56fpw/dir/freq. metri/fc QRP/PIC_LCD_artikkeli16_kuvat.pdf · 7(23) ikkunaa näytön edessä. Jokainen aktivoitu segmentti

8(23)

ohjaus. Näytölle voidaan tulostaa ASCII-merkkejä, sekä ohjelmoida myös tarvittaessa omiamerkkiä.Näytön voi myös asettaa 5 x 10 pisteen moodiin, jolloin tietyt pienet kirjaimet (esim. j, p, q, y…)tulostuvat oikealle korkeudelle kuten normaalisti kirjoitettaessa.

Taulukko 1. LCD-näyttömodulien pinnit HD44780-standardin mukaan.Pin Signal Level Function1 Vss Ground2 Vdd +5 VDC Logic supply3 VLC +0.7 VDC Power supply for LC driving4 RS L=INST,

H=CHARLCD Register select control line

5 R/W L=Write, H=Read LCD Read/Write control line6 E Latch on fall edge LCD Enable control line. Operation start signal for data R/W.

Causes R/W to be latched on falling edge.78910

DB0DB1DB2DB3

Lower order 4-bit bi-directional tri-state data-bus

11121314

DB4DB5DB6DB7

Upper order 4-bit bi-directional tri-state data-bus

1516

A (LED+)K (LED-)

Supply voltage for LED Backlighting

LCD-näytön ohjauselektroniikka on CMOS-tekniikkaa ja kuluttaa virtaa vain muutaman mA:n.LCD-näyttömoduuleja on saatavana alle 50 mk:sta lähtien ja niiden ohjauksesta löytyy internetistähakusanalla ”LCD” runsaasti tietoa. Käytettyjä näyttömoduuleja löytyy kierrätystarkoituksiin mm.lasertulostimista ja erilaisista teollisuuden ohjauspaneeleista.

LCD

LCD module

LCDController

SegmentDriver

LED Backlight

Commonsignal

Segment signal

Serial Data

Timing Signal

RSR/WE

DB0...DB7Data bus

Vdd

Vss

VA

C

(Anode)

(Cathode)1

2

3

4

21

22

LC

Kuva 2. Erään 2 x 20 merkkisen taustavalollisen LCD-modulin lohkokaavio.(lcd_module_block_diagram.eps)

LCD-näyttöä hankittaessa kannattaa suhtautua varauksella halvalla myytäviin näyttöihin (alle 50mk), koska kokemuksen mukaan niiden oikea toiminta voi olla sattumanvaraista ja olisi ikävää, josesim. PIC-LCD rakennusprojekti menisi sen takia kiville. Kokeilin laskuria neljän eri valmistajanLCD-modulilla ja lopputuloksena havaitsin, ettei näyttö toiminut halvimmalla moduleilla lainkaan,ennenkuin lisäsin ohjelmakoodiin ylimääräisiä viiveluuppeja tiettyjen LCD-komentojen jälkeen.

Page 9: PIC LCD artikkeli16 kuvat - lea.hamradio.silea.hamradio.si/~s56fpw/dir/freq. metri/fc QRP/PIC_LCD_artikkeli16_kuvat.pdf · 7(23) ikkunaa näytön edessä. Jokainen aktivoitu segmentti

9(23)

Havaitsin tietyissä moduleissa myös häiriöherkkyyttä, jos LCD:n ja PIC:n välinen johdotus oli yli15 cm pitkä.LCD-näyttömodulia valittaessa toinen tärkeä asia on näytön oikea katselukulma. Jos näyttö tuleeetupaneeliin, joka on pystysuorassa, tulee näytön merkkien olla myös terävät katsottaessa sitäkohtisuoraan ja hiukan yläviistosta. Esim. 1 x 16 merkkisen TM161A/B LCD-näyttömodulin paraskatselukulma on edestä alhaalta (kukahan katsoo radion taajuusnäyttöä alaviistosta?). Kuitenkinkohtisuoraan katsottaessa merkit näkyvät hiukan epäterävästi. Tämähän on tuttu juttutaskulaskimien näytöistä. (Jos ostat LCD-näyttömoduulin, vaadi myyjältä selvitys näytönkatselukulmaominaisuuksista).

5.2.1 Taustavalolliset LCD-näytöt

LCD-näyttö ei itse emittoi valoa, joten sen luettavuus huononee pimeässä ilman näytön taiympäristön taustavaloa. Sitä varten on kehitetty taustavalollisia LCD-näyttöjä. Taustavalot onsijoitettu näytön alle ja itse LCD-näytön taustamateriaali päästää valoa läpi. Taustavaloinakäytetään elektroluminenssiin ja LED:hin perustuvia ratkaisuja, joista jälkimäinen on pitkäikäinenja siten suositeltava. LED-taustavalo koostuu useasta rinnankytketystä LED-diodiryhmästä, jokatarvitsee aina etuvastuksen. Itse käytin toisessa protossa 18 ohmin /0.5W vastusta sarjassa +5 V:n jaLED-näytön anodin kanssa. Taustavalo koostui 11 lediryhmästä, jossa kussakin oli kaksi lediäsarjassa. Sarjavastus rajoitti LEDien virran n. 50 mA:iin. LED:n anodi ja katodiliittimet ovatyleensä moduulin toisessa päässä ja lisäksi liittimissä 15 ja 16. LED-taustavalon virrankulutusriippuu tietysti halutusta taustavalon kirkkaudesta (esim. 50..275 mA), joten kannettavissa laitteissataustavalolle kannattaa laittaa oma kytkin virrankulutuksen minimoimiseksi.

Kuva 3. Taustavalollinen 2 x 20 merkkinen LCD-näyttömoduli. Oikeassa reunassa näkyytaustavalon jännitesyötön liittimet ja vasemmassa reunassa kahdessa pystyrivissä näytön ohjauksenliitinnastat 1…14. (2x20_lcd.jpg)

5.3 Taajuuden ilmaisu sähkötykselläUSA:ssa on myynnissä 20 dollarin hintaan PIC-piirillä toteutettu taajuuslaskin FREQ-Mite, jokaaktivoitaessa indikoi taajuuden sähkötyksellä piezo-summeriin tai kaiuttimeen/kuulokkeisiin. Hyvälaite kertomaan, missä ollaan, mutta tietylle taajuudelle tulo vaatii toistoa. Oiva apuvälineyksinkertaisiin QRP-laitteisiin ja myös näkövammaisille radioamatööreille. RF-tulojännitteeksitarvitaan vähintään 200 mVpp 10 MHz:iin asti ja 600 mVpp 30 MHz:lla. Mittaustarkkuudeksiluvataan +/- 1.5 kHz 25 MHz:iin asti ja +/-2 kHz yläpäässä. Tässä sovelluksessa käytetään PIC-piirin ns. SLEEP-moodia hyväksi, jolloin piiri menee passiiviseen ”unitilaan” taajuusindikoinninjälkeen. Näin PIC-piirin kello-oskillaattori pysähtyy ja ei häiritse itse vastaanotinta. Taajuus-offsetohjelmoidaan oikosulkupistokkeilla (jumppereilla) piirilevyn liittimille. 4)

Page 10: PIC LCD artikkeli16 kuvat - lea.hamradio.silea.hamradio.si/~s56fpw/dir/freq. metri/fc QRP/PIC_LCD_artikkeli16_kuvat.pdf · 7(23) ikkunaa näytön edessä. Jokainen aktivoitu segmentti

10(23)

Kuva 4. Freq-Mite taajuuslaskin PIC-prosessorilla toteutettuna. (kuva http://smallwonderlabs.com/freqmite.gif)

5.4 Taajuuden ilmaisu LED-matriisilla dekadeittainJapanilaisella kekseliäisyydellä JF1OZM:n kotisivuilta löytää mainion CD4017 CMOS-piireillätehdyn suoran taajuuslaskimen, jossa käytetään erillisledejä jokaiselle näytettävälle dekadille.Kytkentä on tehty minimi komponenttimäärällä. CMOS-piirien johdosta laskimen ylärajataajuus jääreilusti alle 10 MHz:iin. Huolellisella ledien asennuksella ja koteloinnilla saadaan näyttävä ja myöserikoinen taajuusnäyttö radioon. 9)

Kuva 5. Timon OH2BFZ homemade 80m:n lähetinvastaanotin varustettuna LED-matriisinäyttöisellä taajuuslaskimella. Ylin ledirivi indikoi 100 kHz, seuraava 10 kHz ja alin 1 kHz.(Kuva oh2bfz_trcvr.jpg)

Page 11: PIC LCD artikkeli16 kuvat - lea.hamradio.silea.hamradio.si/~s56fpw/dir/freq. metri/fc QRP/PIC_LCD_artikkeli16_kuvat.pdf · 7(23) ikkunaa näytön edessä. Jokainen aktivoitu segmentti

11(23)

6. Kuinka harrastajan taajuuslaskin voitaisiin toteuttaaedullisesti nykytekniikalla?Nykyään ei kannata lähteä rakentamaan taajuuslaskinta erillislaskuripiireistä (TTL tai CMOS),koska kytkennästä tulee monimutkainen johtuen piirien suuresta määrästä. Kaupallisiataajuuslaskimeksi suunniteltuja yksisirupiirejä on vähän ja ne ovat kalliita johtuen ilmeisestipienistä volyymeista. Myöskään ne eivät välttämättä ole optimoituja harrastajan tarpeeseen. Entäpämikroprosessoripohjaiset ratkaisut? Ensimmäinen reaktioni oli: monimutkaisia, tarvitsevat useitamikropiirejä, kuinka kehittää ohjelmisto ja mistä saada kehitysympäristö minimi-investoinnilla?Yllätyksekseni otsikon kysymykseen löysin vastauksen internetistä: PIC-prosessorit.

6.1 PIC-mikroprosessoriMikrochipin tuotevalikoimaan kuuluu useita erityyppisiä piirejä mm.:- kertaohjelmoitavat piirit PROM- uudelleenohjelmoitavat piirit perustuen EEPROM tai FLASH-piiritekniikkaan (ohjelmointi n.13V:n jännitteellä)- EPROM-piiritekniikkaan perustuvat piirit (nollataan UV-valolla) Harrastajaa kiinnostaa tietysti helpoiten uudelleenohjelmoitavat piirit.

Kuva 6. 18-nastainen PIC-mikropiiri 16C84 mikropiirikannassa protopiirilevyllä. (KuvaPrinted_board_view.jpg)

PIC:n lisäksi tarvitaan vain kide ja pari kondensaattoria sekä 5 V:n reguloitu apujännitelähde. Eli eitarvita RAM-, ROM- ym. piirejä osoite- ja dataväylineen kuten yleensä vanhemmissamikroprosessorisukupolvissa. Kaikki tämä on integroitu yhteen PIC-mikropiiriin.

Hyvin yleinen PIC-piiri on 8-bittinen 16F84 (16C84 on vanhempi EEPROM-versio), jossa on 13ohjelmoitavaa I/O-pinniä (RA0…RA2, RB0…RB7) ja mikä parasta harrastajalle, piirin flash-ohjelmamuistin (FPROM) voi ohjelmoida n. 1000 kertaa. Tämä tarkoittaa sitä, että harrastaja voiitse niin halutessaan kehittää ja testata sovellusta n kertaa, kunnes haluttu lopputulos on saavutettu

Page 12: PIC LCD artikkeli16 kuvat - lea.hamradio.silea.hamradio.si/~s56fpw/dir/freq. metri/fc QRP/PIC_LCD_artikkeli16_kuvat.pdf · 7(23) ikkunaa näytön edessä. Jokainen aktivoitu segmentti

12(23)

(vertaa tinaa ja kokeile…savun hälvettyä tarkistetaan ja muutetaan kytkentä …heh heh). Piirissä onmyös data-muisti, johon voi tallettaa sovelluskohtaisia asetteluja. Toisena vaihtoehtona voidaankäyttää PIC-harrastajien valmiita sovelluksia, joita löytyy internetistä ja ohjelmoida PIC-piiri niillä.Myös PC:n printteriporttiin liitettävän yksinkertaisen ohjelmointilaitteen rakennusohjeita löytyynetistä. Piirin 16F84-04/P hinta (4 MHz:n tyyppi) on ollut halvimmillaan alle 40 mk.Internetistä voi imuroida ilmaiseksi MPLAB™ ohjelmistokehitysympäristön IDE (IntegratedDevelopment Environment) tietokoneelle 10). Myös kaupallisia Basic ja C-kielen kääntäjiä onsaatavilla 3).

7. PIC-sovellukset ja OM3CPHPeter OM3CPH:n kotisivulla on monia PIC-pohjaisia taajuuslaskimia ja DDS-VFO-kytkentöjä. Hänon rakennellut jo 30 vuotta kotikutoisia laitteita. Hän sai ensimmäisen radioamatööriluvan 1975kutsulla OK3CPH. Myöhemmin Tsekkoslovakian jakautuessa Tsekin ja Slovakian tasavaltaan,kutsu muuttui OM3CPH:ksi. Muutamia vuosia sitten Peter löysi radioharrasteeseen PIC-piirit! PICtaajuuslaskin- ja DDS-sovelluksia löytyy runsaasti hänen kotisivultaan. 1)

7.1 OM3CPH peruslaskimen toimintaSeuraavassa on selostettu alkuperäisen PIC-LCD taajuuslaskinohjelman algoritmi, joka onperustana myöhemmin selostetulle laskimelle:

1. Muunnetaan 24-bittinen laskuriarvo seitsemäksi desimaalinumeroksi2. Muunnetaan desimaaliarvot ASCII-merkeiksi LCD-näyttöä varten3. Tyhjennetään LCD-näytöltä edellisessä laskennassa tulostetut merkit4. Lähetetään taajuuslukema ASCII-merkki kerrallaan RB0…RB3 avulla LCD-näytölle ja asetetaan desimaalipisteen paikka tarvittaessa5. Nollataan laskurirekisterit6. Aloitetaan uusi 100 ms:n mittausjakso7. Testataan PIC:n sisäisen laskurin TMR0:n ylivuoto, jos ylivuoto on tapahtunut niin kasvatetaan ohjelman rekisteriä TimerH:ta yhdellä8. Hyppy kohtaan seitsemän, kunnes mittausjakso (mittausaika-ikkuna) on suoritettu.9. Pysäytetään mittaus10. Siirretään TimerH arvo HigB-rekisteriin ja TMR0 arvo MidB-rekisteriin11. Lasketaan LowByte rekisterin arvo digitaalilähdön RA3 avulla Prescaler-laskurista.12. Tehdään Display Offset laskenta valinnan mukaan (Add tai Sub).13. Hyppy kohtaan 1.

Laskenta-aikaikkuna on siis 100 ms, jolloin vähiten merkitsevä numero näyttää kymmenet Hz:it.Laskin sisältää 24-bittisen laskurin, joka on jaettu kolmeen 8-tavuiseen laskurirekisteriin: Highbyte, Midbyte ja LowByte. Esim. LCD-näytölle tulostetaan taajuuslukema 7.331.02 MHz. Tällöinrekisterien arvot ovat: HighByte = 0Bh, MidByte = 2Fh ja LowByte = AE, koska 73310210kymmenjärjestelmässä vastaa 0B2FAE16 kuusitoistajärjestelmässä.

8. Laskimen modifioitu HW-kytkentäTibor OM2ATM on suunnitellut alkuperäisen 1-puolisen piirilevyn, jota olen modifioinutlisäämällä +5 V:n jänniteregulaattorin ja 4 painonappia, jotka löytyivät vanhasta videonauhurinromusta. Painonappien avulla tehdään tarvittaessa käyttäjän asetukset EEPROM-muistiin. Samoihinliittimiin kytketään koskettimet varsinaisille kytkimille tai relekoskettimille tarpeen mukaan(piirikaaviossa ”External Control”).

Page 13: PIC LCD artikkeli16 kuvat - lea.hamradio.silea.hamradio.si/~s56fpw/dir/freq. metri/fc QRP/PIC_LCD_artikkeli16_kuvat.pdf · 7(23) ikkunaa näytön edessä. Jokainen aktivoitu segmentti

13(23)

Mitattava taajuus kytketään NPN-transistoriasteen vahvistamana I/O-pinneihin RA3 ja RA4. RA4toimii schmitt trigger-tyyppisenä digitaalitulona PIC:n sisäiselle laskurimoduulille TMR0, jokamuodostaakin pulssilaskennan ytimen tässä sovelluksessa. RA3:a tarvitaan digitaalilähtönälaskennan jälkeen selvitettäessä Prescaler-laskurin arvo. Tämä siksi, koska ko. laskuriarvoa ei voiPIC:ssä lukea suoraan.Digitaalituloa RA2 käytetään LCD:n R/W toimintoon sekä Display Offsetin summaus (Add) taivähennys (Sub) valintaan ohjelmoitavana taajuuslaskimena. Valinnan määrää tietystivastaanottimen rakenne, onko vastaanotettu taajuus välitaajuuden ala- vai yläpuolella.

Painopiirilevy on melkein samaa kokoa itse 1 x 16 merkkisen LCD-näyttömoduulin kanssa (n. 8 cmx 3.6 cm) ja piirikortit asennetaankin päällekkäin esim. kierreholkkien ja ruuvien avulla. Näinsaadaan LCD:n data- ja ohjausväylä lyhyeksi piirikorttien välillä.

4

14

RA3

RA4

LM317LZVdd

10uH

2310k680

outin

470

470

22uF25V

100n

2 x 1N4148

FrequencyInput

ref

OSC1

OSC2

22 pF

22 pF

4 MHz

16

15

- Eeprom Mode

4 x1k

+5 V

Programmingbuttons

Displ. 0ffset1 Displ. Offset2

Offset 1&2 Direct Freq.

Normal Decimal point

+5V (True)

S1

S2

S3

RB0

RB1

RB2

RB3

6

7

8

9

S0

ExternalControl

PIC16F84-04P(16C84)

MCLR

+5 V2

3

GND (0V)

R/W

14

RB4

RB5

RB6

RB7

11

12

13

14

LCD(HD44780)

Vo (LCD Contrast)22k

5

6

17

18

1

RA0

RA1RA2

RS

E

D4

D5

D6

D7

10

11

12

13

7

8

9

10

D0

D1

D2

D3

100 nF3k3

Add Offset Sub Offset

+8...13.8V

100R

25.07.2001 OH6CJ

5Vss (GND)

220

*)

*) Can also be fixed 22 pF

Open (False)

0 V (False) +5V (True)

0 V

S4

C1

R2 R3

C2

L1

R5

R1

XT1

R6

2N390422nF Q1

C5

C4

R4D1

C6

R7...R10

R11

C7

C3100n R13

U2 U3U1

PIC LCD FREQUENCY COUNTER

Data bus

Control Signals47k

R12

D2

Vdd (+5V)

Kuva 7. PIC-LCD-taajuuslaskimen kytkentäkaavio (schematic_1.eps)

LCD-modulin näyttö tarvitsee toimiakseen kontrastijännitteen, joka on normaalisti n. 0.5…0.7 V japakkasta kestävissä malleissa –6…-7 V 3). Kytkennässä 22 kilo-ohmin trimmeripotentiometrilläR13 säädetään näytön kontrasti sopivaksi. Koska LCD-näyttöä ohjataan 4-bittisellä väylällä(D4…D7), on pinnit D0…D3 kytkettävä nollaan, jotta näyttömoduli toimii oikein. PIC-piiri jaLCD-näyttömoduli tarvitsevat stabiloidun + 5V:n käyttöjännitteen. Regulaattoriksi löytyikomponenttilaatikosta LM317LZ max. 100 mA versio. Yhtä hyvin voisi käyttää tyyppiä 78L05,jolloin vastukset R1, R2 ja R3 voidaan jättää pois ja kytkeä regulaattorin GND piirikortin 0 V:iin(GND). Kiteenä on vanhasta väritelevisiosta purettu 4 MHz:n kide, jota löytyy myös yleisestikomponenttikaupoista. Toisessa protossa käytin trimmerikondensaattoria C6:ssa laskimenkalibroimiseksi, mutta 22 pF:n kiintokondensaattoria voi käyttää tilalla ja tehdä kalibroinnin

Page 14: PIC LCD artikkeli16 kuvat - lea.hamradio.silea.hamradio.si/~s56fpw/dir/freq. metri/fc QRP/PIC_LCD_artikkeli16_kuvat.pdf · 7(23) ikkunaa näytön edessä. Jokainen aktivoitu segmentti

14(23)

SW:ssa. Mitattava taajuus kytketään 100 ohmin vastuksen R4 ja 22 nF:n kondensaattorin C4 kauttaNPN-transistorin Q1 kannalle, joka on esijännitetty vastuksella R12 (33k…150 k) parhaanherkkyyden saavuttamiseksi transistorityypin mukaan . Diodit D1 ja D2 leikkaavat mitattavansignaalin tason +/- 0.6 V:iin suojaten transistorin Q1. Rakentamani laskinyksilön ylärajataajuus olimelkein 36 MHz huoneenlämpötilassa signaalitasolla n. 0.2 Vpp. Virrankulutus oli n. 15 mA.Käyttöjännite 8…13.8 V DC.Huom! Digitaalitulot RB0…RB3 ovat normaalisti tilassa FALSE (0V) 1 kilo-ohminalasvetovastusten R7…R10 avulla, jos niihin ei ole kytketty esim. ulkopuolista kytkintä. Näindigitaalituloja RB0…RB3 voidaan ohjata +5 voltin jännitteellä 1-napaisella kytkimellä tai releenkoskettimella.

Kuva 8. PIC-LCD-taajuuslaskimen komponenttien sijoitus (lcd_countr_comp_layout.bmp)

Page 15: PIC LCD artikkeli16 kuvat - lea.hamradio.silea.hamradio.si/~s56fpw/dir/freq. metri/fc QRP/PIC_LCD_artikkeli16_kuvat.pdf · 7(23) ikkunaa näytön edessä. Jokainen aktivoitu segmentti

15(23)

Kuva 9. Piirilevy juotospuolelta katsottuna. (lcd_countr_pcb_oh6cj.bmp)

9. Laskimen modifioitu ohjelmisto (SW)Idea taajuuslaskinohjelman edelleen kehittämisestä tuli Eeron OH5OI, Pekan OH1PP ja PertinOH1IR taholta, koska he olivat rakentamassa OM3CPH:n taajuuslaskinta 8-bittiselläohjausväylällä. Display Offset-asetuksia ei voinut muuttaa kuin itse lähdekoodiin ja kääntääMPASM-tiedosto uudestaan heksamuotoon ohjelmointilaitetta varten. Se tuntui hiukan hankalalta.Täytyi olla helpompi keino asettaa Display Offset-parametrit! Löysin seuraavaksi internetistäPeterin LCD_DIG4.asm taajuuslaskin-ohjelman 4-bittisellä LCD-ohjausväylällä. Kokeilinohjelmaa, mutta näytön ohjaus ei aluksi toiminut oikein. Sähköpostiyhteys Peterin kanssa tuottihetken päästä tuloksia (myöhemmin meillä oli myös skedi 14.250 MHz:llä). Itse halusin vieläkehittää laskuria. Kun PIC:ssä kerran on käyttäjän EEPROM-datamuisti, niin miksipä ei käytettäisisitä hyväksi. Kopioin myös ohjelmoitavan taajuuslaskimen ominaisuudet Sub / ADD RF hänen 8-bittisestä koodista lisäten samalla kaksi eri Display Offsettia 1 ja 2. Käytän Peterin ohjelmakoodinSub / ADD RF-termistä tässä nimitystä Display Offset = näytön offset, joka kuvaa paremminfunktiota eli siitä vähennetään tai siihen summataan mitattu taajuus.

9.1 COUNTER MODENormaalisti laskin toimii COUNTER MODE:ssa mitaten mittaustuloon kytkettyä taajuutta.Kun LCD:n ohjausväylä muutetaan 8-bittisestä neljäksi, vapautuu neljä I/O-pinniä RB0…RB3.Käyttäjää varten on siten ohjelmoitu digitaalituloille RB0…RB3 ja RA2 seuraavan taulukonmukaiset funktiot:

Page 16: PIC LCD artikkeli16 kuvat - lea.hamradio.silea.hamradio.si/~s56fpw/dir/freq. metri/fc QRP/PIC_LCD_artikkeli16_kuvat.pdf · 7(23) ikkunaa näytön edessä. Jokainen aktivoitu segmentti

16(23)

Taulukko 2. COUNTER MODEn digitaalitulojen funktiotCOUNTER MODEInput signal FALSE (0 V) TRUE (+5 V)RA2 Sub Display Offset Add Display OffsetRB0 - -RB1 Display Offset1 Display Offset2RB2 Display Offset 1&2 Direct frequencyRB3 Normal / Sub Display

Offset10-divider *)

*) RB3 tilassa TRUE voidaan desimaalipisteitä siirtää yksi dekadi oikealle. Tätä ominaisuuttatarvitaan, jos taajuuslaskurin eteen kytketään erillinen 10-jakaja mitattavan taajuusalueenlaajentamiseksi VHF:lle.

9.2 EEPROM MODELisäsin ohjelmaan toisen erillisen toimintamoodin EEPROM MODE, johon päästään vain, jospainike S0 on 1-tilassa (+5V) ja sähköt kytketään PIC:iin. Sen jälkeen painikkeiden S0…S3 jaLCD-näytön avulla voidaan tarpeen mukaan muuttaa taajuuslaskurin käyttäjän asetuksia ja tallettaane parametreina EEPROM-muistiin, jossa ne säilyvät sähkökatkojen yli.

Taulukko 3. EEPROM MODEn digitaalitulojen funktiotEEPROM MODEInput signal FALSE (0 V) TRUE (+5 V)S0 (RB0) - Increase addressS1 (RB1) - Increase data valueS2 (RB2) - Decrease data valueS3 (RB3) - Save to EEPROM

9.3 Muut lisätyt funktiot- toinen desimaalipiste lisätty 1 kHz:n ja 100 Hz:n dekadien väliin- jos taajuus näytölle on alle 10 MHz, niin 10 MHz:n dekadin nollaa ei tulosteta (esim. 01.440.00 MHz näytetään 1.440.00 MHz)- ylimääräisiä viiveitä lisätty LCD:n merkkien lähetysalgorimiin, jotta ohjelmisto toimisi myös paremmin ”ei standardit täyttävillä” LCD-näyttömoduleilla- laskin toimii suorana taajuuslaskimena oletusarvoilla (katso EEPROM 06h)- kun laskimeen kytketään ensi kertaa PIC-piirin ohjelmoinnin jälkeen sähköt päälle, talletetaan oletusarvot automaattisesti EEPROM-muistiin. Tästä indikoi teksti ”EE-INIT:00...0F” näytössä

9.4 EEPROM-parametrien funktiotSeuraavassa taulukossa on listattu käyttäjän EEPROM-asetukset. EEPROM-osoite ja data näytetäänja asetetaan aina heksaluku-formaatissa (h). Sen vuoksi Display Offsettia laskettaessa on tehtävälukumuunnos 10-järjestelmästä 16-järjestelmään. Kuulostaa hankalalta, mutta lukumuunnoksiatarvitsee tehdä vain Display Offsetteja laskettaessa ja laskinhan löytyy esim. kotitietokoneenapuohjelmat-valikosta. Asetukset ovat tyypillisesti kertaluonteisia.

Page 17: PIC LCD artikkeli16 kuvat - lea.hamradio.silea.hamradio.si/~s56fpw/dir/freq. metri/fc QRP/PIC_LCD_artikkeli16_kuvat.pdf · 7(23) ikkunaa näytön edessä. Jokainen aktivoitu segmentti

17(23)

Taulukko 4. EEPROM-muistipaikkojen 00h...0Fh funktiot:Address Name Description Default00h Display Offset 1 Highbyte High Byte Display Offset1 9001.50 kHz = 0DBC36 0Dh01h Display Offset 1 Midbyte Mid Byte Display Offset1 BCh02h Display Offset 1 Lowbyte Low Byte Display Offset1 36h03h Display Offset 2 Highbyte High Byte Display Offset2 8998.50 kHz = 0DBB0A 0Dh04h Display Offset 2 Midbyte Mid Byte Display Offset2 BBh05h Display Offset 2 Lowbyte Low Byte Display Offset2 0Ah06h Direct_frequency Suora taajuuslaskenta ilman sub or add funktioita = 00

Sub or add function activated = 01…FF00h

07h EE_Fine1 Kalibrointiarvo 1 (1 == 3*4/fx = 3us) 15h08h EE_Fine2 Kalibrointiarvo 2 (1 == 4*4/fx = 4us) 01h09h 1x16_Display LCD näyttötyyppi: 00 = 1x16 LCD, 01…FF = 2x20 LCD 01h0Ah Digits Näytettävien numeroiden määrä: 00 = 7 numeroa, 01…FF =

6 numeroa01h

0Bh not in use - FFh0Ch not in use - FFh0Dh not in use - FFh0Eh not in use - FFh0Fh EEPROM default values Jos > 0 niin default arvot ladataan seuraavassa sähköjen

päällekytkennässä.00h

9.4.1 Display Offset ja kytkin S4 (EEPROM 00h…05h)

Kytkimen S4 avulla voidaan RB1:llä valittu Display Offset 1 tai 2 lukuarvo summata mitattuuntaajuuteen tai vähentää mitatusta taajuudesta. Vastaanottimen AM- ja FM-modella välitaajuuteensummataan VFO-taajuus tai välitaajuudesta vähennetään VFO-taajuus. SSB:llä ja CW:llävälitaajuuden asemesta käytetään BFO-taajuutta sillä olettamuksella, että BFO-taajuus on vakio jatunnettu kyseisellä sivunauhalla.

9.4.2 Suora tai ohjelmoitava taajuuslaskenta (EEPROM 06h)

Laskin toimii suorana taajuuslaskimena oletusarvoilla eikä digitaalituloa RB2 ei tarvitse aktivoida.Haluttaessa ohjelmoitavaa moodia muutetaan parametri arvoon 01h.

9.4.3 Laskimen kalibrointi (EEPROM 07h, 08h)

Laskenta-aikaikkuna perustuu PIC-prosessorin käskyjen tunnettuun suoritusaikaan. Yksi kellojaksot[µs] = 4/ fxtal [MHz], kun fxtal on kidetaajuus. Prosessorin käskyt vievät yhdestä kahteen kellojaksoakäskytyypin mukaan. Näistä käskyistä on ohjelmoitu 100 ms:n viiveluuppi, jota voidaanhienosäätää suhteessa 1 µs / 100000 µs EEPROM-parametrien 07h ja 08h kombinaatioilla taivaihtoehtoisesti vielä tarkemmin muuttamalla kidekytkennän toinen kondensaattori säädettäväksi.Jos laskimen näyttö on alle referenssitaajuuden , mittausaikaikkuna on liian lyhyt. Silloinhienosäätöviivettä on kasvatettava ohjelmistossa (SW) tai kiteen taajuutta laskettavatrimmerikondensaattorin avulla. Kalibrointi voidaan tehdä esim. taajuusstandardiasemien mukaantai mittalähettimen ja toisen kalibroidun laskimen kanssa.

Seuraavasta taulukosta voidaan valita kombinaatio parametreille 07h ja 08h, jotka ovat mukanalaskenta-aikaikkunan luupissa:

Page 18: PIC LCD artikkeli16 kuvat - lea.hamradio.silea.hamradio.si/~s56fpw/dir/freq. metri/fc QRP/PIC_LCD_artikkeli16_kuvat.pdf · 7(23) ikkunaa näytön edessä. Jokainen aktivoitu segmentti

18(23)

Taulukko 5. Laskenta-aikaikkunan kalibrointiarvotLaskenta-aikaikkunanhienosäätöviive / µs

07h EE_fine11 yksikkö = = 3 µs

08h EE_fine21 yksikkö = = 4 µs

60 us 02h 09h61 us 13h 01h62 us 12h 02h63 us 03h 09h64 us 14h 01h65 us 13h 02h66 us 12h 03h67 us 15h (default) 01h (default)68 us 14h 02h69 us 13h 03h70 us 16h 01h71 us 15h 02h72 us 14h 03h73 us 13h 04h74 us 16h 02h

9.4.4 Näytön valinta (09h)

HD44780 standardin mukaan 1 x 16 merkkinen LCD-näytön ohjauksessa rivinvaihto tehdäänkahdeksannen merkin jälkeen, vaikkei itse näytössä olekaan toista riviä. 2 x 20 merkkisessänäytössä tällaista kummallisuutta ei ole. Näyttötyypin valinta:00h = 1 x 16 LCD01h = 2 x 20 LCD

9.4.5 Näytettävien numeroiden määrä (0Ah)

00h = 7 digittiä, esim. 14.250.51 MHz01h = 6 digittiä, esim. 14.250.5 MHz

9.4.6 Oletusarvojen palautus (0Fh)

Alkuperäiset oletusarvot voidaan palauttaa tallettamalla muistipaikkaan 0Fh erisuuriarvo kuin nolla.Kun seuraavan kerran kytketään sähköt laskimeen, palautuvat oletusdata-arvot (default) EEPROM-muistipaikkoihin 00h…0Fh.

9.5 Käyttäjän asetusten muuttaminen

9.5.1 EEPROM MODEn aktivointi

1. Pidä painonappi S0 pohjassa ja kytke jännite laskurille. Näyttöön ilmestyy teksti: ”EEPROMMODE 1.2”.

2. Kun S0 vapautetaan (=FALSE) ja RB1…RB3 ovat myös tilassa FALSE, näyttöön ilmestyyparametrien asetusnäyttö: ”ADDR:00 DATA:0D” jossa ADDR merkitsee EEPROM-osoitetta00…0Fh ja DATA osoitteen muistipaikan data-arvoa.

Page 19: PIC LCD artikkeli16 kuvat - lea.hamradio.silea.hamradio.si/~s56fpw/dir/freq. metri/fc QRP/PIC_LCD_artikkeli16_kuvat.pdf · 7(23) ikkunaa näytön edessä. Jokainen aktivoitu segmentti

19(23)

Kuva 10. PIC-taajuuslaskin EEPROM MODEssa, jossa laskimen käyttäjän asetuksia voidaanmuuttaa. (Kuva EEPROM_MODE_view.jpg)

9.5.2 Parametrien arvojen muuttaminen

EEPROM-muistipaikkojen osoitteet ja funktiot on selostettu aiemmin (katso taulukko 3).

1. Muistipaikan osoitetta (00h…0Fh) kasvatetaan painamalla painonappia S0. Maksimiosoitteen0Fh jälkeen osoitelaskuri pyörähtää taas 00h:aan.

2. Data-arvoa joko kasvatetaan S1:llä tai vähennetään S2:lla.3. Jotta muutos jää pysyväksi, on kyseisen datan arvo talletettava EEPROM-muistiin painamalla

painonappia S3 hetkeksi, jolloin onnistuneen talletuksen merkiksi näyttöön ilmestyy teksti”*SAVED*” ja kyseinen muistipaikka luetaan automaattisesti uudelleen muistista näyttöön.

4. Näin tehdään jokaiselle parametrille, jota halutaan muuttaa. Kun halutut muutokset onohjelmoitu, poistutaan EEPROM MODEsta kytkemällä laskimesta hetkeksi sähköt pois.

Esimerkki 1:3.5 MHz / 14 MHz:n vastaanottimen BFO-taajuudeksi mitattiin LSB:llä 9001.49 kHz ja USB:llä8998.5 kHz. Esimerkissä kytkimellä S4 Sub/Add Display Offset- funktiota voidaan käyttäävastaanottimissa, joissa molemmat sekoitustulokset käytetään hyväksi.

Taulukko 6, Display Offset esimerkki 1Esim.1 SSB vastaanotin 9 MHz:n välitaajuudella.

BFO-taajuus 9001.49 kHz = 0DBC3516 8998.5 kHz = 0DBC3516

Asetettu VFO-taajuus (esim.) 5251.49 kHz 5251.49 kHzDisplay Offset =BFO-taajuus LSB =BFO-taajuus USBDisplay Offset 1 HighByte 0D16

Display Offset 1 MidByte BB16

Display Offset 1 LowByte 0516

Display Offset 2 HighByte 0D16

Display Offset 2 MidByte BB16

Display Offset 2 LowByte 0A16

RB1 = Display Offset valinta FALSE (0 V) = Display Offset 1 TRUE (0 V) = Display Offset 2S4 FALSE (0V) = Sub Display Offset TRUE (+5V) = Add Display OffsetNäytön lukema: 3.750.00 MHz 14.249.99 MHz

Page 20: PIC LCD artikkeli16 kuvat - lea.hamradio.silea.hamradio.si/~s56fpw/dir/freq. metri/fc QRP/PIC_LCD_artikkeli16_kuvat.pdf · 7(23) ikkunaa näytön edessä. Jokainen aktivoitu segmentti

20(23)

Esimerkki 2:Laskin kytketään BC-vastaanottimeen, jonka välitaajuus on 455 kHz. Vastaanottimen VFO-taajuuson aina välitaajuuden verran ylempänä vastaanottotaajuutta.

Taulukko 7, Display Offset esimerkki 2Esim.3 BC-vastaanotin 455 kHz:n välitaajuudella.

Vastaanottimen välitaajuus 455 kHzAsetettu VFO-taajuus (esim.) 1885.00 MHzDisplay Offset = välitaajuusDisplay Offset 1 HighByte 0016

Display Offset 1 MidByte B116

Display Offset 1 LowByte BC16

RB1 = Display Offset valinta FALSE (0 V) = Display Offset 1S4 = +5 V, näytön lukema: 1440.00 MHz

10. Laskimen rakentaminenVaroitus! PIC-piiri ja LCD-näyttömoduli saattavat vaurioitua staattisesta sähköstä! Käsittele niitäkuin CMOS-piirejä.

Piirilevyn kuparifoliovedot ovat aika yksinkertaisia, joten väritin kuparifoliokohdat Decon Dalo-tussikynällä ja seuraavaksi onkin vuorossa piirilevyn syövytys ferrikloridissa.Kun piirilevy on syövytetty, porataan reiät komponenttien läpivienneille ja LCD-näyttömodulinkiinnittämiseen. Seuraavaksi juotetaan piirilevylle yhdistykset eristetyillä kytkentälangoilla(osasijoittelukuvassa viivat päätettyinä neliön muotoisella pisteellä):1. Vastuksen R11 (3k3) vierestä PICin nastaan 1.2. Kuristimen +5 V:n syötön päästä PICin nastaan 23. Painonappien yhdistykset digitaalituloihin A-A, B-B, C-C ja D-D.4. Ground (GND) yhdistys PICin pinniin 55. +5V:n jänniteregulaattorin U1 lähdöstä Out PIC:n pinniin 15 (sijaitsee 22 pF vieressä).

Seuraavaksi juotetaan U2:n mikropiirikanta, painikkeet, vastukset lukuunottamatta R7…R10,kondensaattorit ja lopuksi XT1, D1, D2, U1 ja Q1. Muista C1:n oikea napaisuus! PIC-piiriä ei vieläasenneta kantaan.

PIC-piirilevy

LCD-moduli

R7...R10

juotos

LM317LZ

RefOut

InE B C

2N3904

Kuva 11. Komponenttien asennusohjeita. (component installation.eps)

Juota kaapeli mittaussisääntuloon (Frequency Input) ja johdot syöttöjännitteelle.

Käytin itse osasijoittelukuvasta poiketen osittain pintaliitoskomponentteja toisen protolaitteenrakentelussa.

Page 21: PIC LCD artikkeli16 kuvat - lea.hamradio.silea.hamradio.si/~s56fpw/dir/freq. metri/fc QRP/PIC_LCD_artikkeli16_kuvat.pdf · 7(23) ikkunaa näytön edessä. Jokainen aktivoitu segmentti

21(23)

11. Laskimen käyttöönottoKun edellisen vaiheen komponentit ja yhdistyslangat on juotettu, testataan piirikortin elektroniikkaensin ilman LCD-näyttömodulia ja PIC-piiriä.

11.1 Mittaukset jännitteettömänä1. Mittaa yleismittarin ohmialueella U1:n nastaan In ja piirilevyn GND. Resistanssiarvo ei saa

näyttää oikosulkua.2. Mittaa samalla tavalla U1:n lähtöpinnin Out ja GND väliltä resistanssi. Resistanssiarvo ei saa

näyttää oikosulkua.

11.2 Ensimittaukset jännite kytkettynä1. Kytke jännite piirilevyn tuloliittimiin. Muista oikea napaisuus.2. Mittaa tasajännite regulaattorin lähdön Out ja GND väliltä . Sen tulee olla välillä 4.9…5.1 V.

Jos jännitetaso on jotain muuta, vika löytyy todennäköisesti R1…R3 arvoista tai regulaattorinväärästä kytkennästä piirilevylle.

3. Mittaa +5 V:n jännitejakelu PIC:n pinniin 4 ja 14 mitattuna PICin pinniä 5 vasten.4. Mittaa myös piirikortin LCD-modulin syöttöpinneistä +5 V väliltä 2 (+) ja 1 (GND)

11.3 Loppuasennus ja testaus1. Kytke sähköt pois.2. Asenna vastukset R7…R10 pystyasentoon osasijoittelukuvan mukaan ja juota piirilevylle.

Katkaise vain sisempänä olevien vastuksien ylimääräiset johtimet. Käytä jäljelle jäänyttäyhdistyslankana LCD-modulille kuvan mukaan.

3. Asenna piirikortit toisiinsa ja juota huolellisesti kaikki yhdistyslangat (14 kpl) käyttäeneristepäällysteistä johdinta LCD-näyttömodulin ja piirikortin välille.

4. Asenna ohjelmoitu PIC-piiri kantaansa oikein päin (katso osasijoittelukuva).5. Kytke sähköt laskimeen.6. Aseta R13 avulla näytön kontrasti sopivaksi7. Jos kaikki meni oikein vastoin Mr. Murphyn lakia, laskimen tulisi nyt toimia. Kytke mitattava

signaali mittaustuloon. Laskin näyttää mitattavaa taajuutta.8. Kalibroi laskin kappaleen Laskimen kalibrointi (EEPROM 07h, 08h) mukaan.9. Tee ohjelmiston parametriasetukset tarpeen mukaan.

12. Laskimen tuottamat häiriötLaskimen näytön ohjaus saattaa häiritä vastaanotinta, joten se on hyvä asentaa metallikoteloon.Myös 4 MHz:n kiteen perus- ja kerrannaistaajuudet voivat kuulua radiosta kyseisiä taajuuksiakuunneltaessa.

13. Mistä ohjelman saa?Ohjelmiston heksakoodi LCD4DIEC.HEX tarvitaan PIC-piirin ohjelmointiin. Piirilevyn kuva sekälähdekoodi LCD4DIEC .ASM on myös saatavissa bittinikkareille OH2HOH:n sivuilta osoitteessa(http://personal.inet.fi/cool/qrp) tai OM3CPH:n kotisivuilta 3). Lähdekoodi perustuu OM3CPHsovellukseen ja sitä ei saa käyttää kaupallisiin tarkoituksiin. Harrastajille se on ilmainen. Peterinsanoilla sanoen: ”This software is free for private usage. It was created for Ham Radio communitymembers. Commercial exploatation is allowed only with permission of authors”.

Page 22: PIC LCD artikkeli16 kuvat - lea.hamradio.silea.hamradio.si/~s56fpw/dir/freq. metri/fc QRP/PIC_LCD_artikkeli16_kuvat.pdf · 7(23) ikkunaa näytön edessä. Jokainen aktivoitu segmentti

22(23)

Tälle laskuriohjelmistolle en anna mitään takuuta toiminnasta. Rakentamani kaksi laskinta toimivatkuitenkin minua tyydyttävällä tavalla. Laskin on rakenteeltaan yksinkertainen ja edullinen 30MHz:n perustaajuuslaskin, jonka rakennuskustannukset jäävät halvimmillaan alle 100 mk:n hintaan.

14. Jatkokehitysideoita ja puutteet

14.1 KehitysideatYleisesti saatavilla oleva esijakajan (10-jakaja) kytkentä kolmanneksi piirikortiksi varustettunasuuri-impedanssisella tulopiirillä. Usein on myös tarve mitata UHF-taajuuksia ainakin 70 cm:nalueelle asti, jolloin kymmenjakajalla ei pelkästään selvitä.

VFO:n taajuuslukitus. Mitattu taajuus asetetaan taajuusohjeeksi. Ohjelmaan lisätäänvähennyslaskutoimitus: taajuusohje - mitattu taajuus. Jos vähennyslaskun tulos on negatiivinen,ohjataan yksi PIC:n digitaalilähtö nollaan. Jos tulos on positiivinen, ohjataan em. lähtö +5 V:iin.Lähtö kytketään lataamaan tai purkamaan ulkoisen integraattorin kondensaattoria.Operaatiovahvistimella toteutetun integraattorin lähtö syöttää hitaalla aikavakiolla jännitettäkapasitanssidiodiin, joka on kytkettynä VFO:n oskillaattoripiiriin. Näin taajuus pyritään pitämäänvakiona. Tämä on hiukan modifioitu ratkaisu Olavi Lehden OH2BBR artikkelista Elektroniikkalehden numerosta 16/1980: Digitaalinen oskillaattorin taajuusvakavointi. 12)

14.2 PuutteitaPuutteena on vielä CW-lähetyksessä erillisen TX-offsetin puuttuminen. Sen indikointiin voidaankäyttää kyllä esim. Display Offset 2:sta, jos se ei ole muussa käytössä.

Suuri-impedanssista mittaustulovahvistinta tarvitaan heikkoja signaaleja mitattaessa.

15. PIC-LCD-taajuuslaskimen osaluettelo

R1 220R ¼ WR2 680R ¼ WR3 10k ¼ WR4 100R ¼ WR5, R6 470 R ¼ WR7,R8,R9,R10 1 k ¼ WR11 3k3 ¼ WR12 33 k…150 k ¼ W (47k käytetty transistorin 2N3904 kanssa)R13 10k…22k trimmeripotentiometri vaaka-asennettavaC1 22 µF / 25 V tantaaliC2, C3, C7 100 nF keraaminen / 25 VC4 22 nF keraaminen / 25 VC5 22 pF keraaminenC6 22 pF keraaminen tai 4…20 pF trimmerikondensaattoriD1, D2 1N4148L1 10 µH miniatyyrikuristin (1/4 W:n vastuksen näköinen)S0,S1,S2,S3 Painonappi piirilevylle, 1 x sulkeutuva, 6 x 6 mmQ1 Transistori UHF-NPN tyyppi esim. 2N3904XT1 4.000 MHz rinnakkaisresonanssikideU1 LM317LZ jänniteregulaattori max. 100 mA (tai 78L05, katso modifiointiteksti)U2 PIC 16F84-04/P + mikropiirikanta 18 nap. 3)

U3 LCD-näyttömoduli 1 x 16 merkkiä HD44780 yhteensopiva 3)

1 kpl Laskimen 1-puolinen piirilevy n. 80 mm x 40 mm

Page 23: PIC LCD artikkeli16 kuvat - lea.hamradio.silea.hamradio.si/~s56fpw/dir/freq. metri/fc QRP/PIC_LCD_artikkeli16_kuvat.pdf · 7(23) ikkunaa näytön edessä. Jokainen aktivoitu segmentti

23(23)

16. Lähteet

1) OM3CPH kotisivut: http://www.qsl.net/om3cph

2) Radioamatööri 12/81 Unto Kokkarinen OH3UK: Digitaalinen taajuusnäyttö Drake R4B:hen s. 380, CQ-DL 6/80 s. 275-276

3) LCD Tietoa Probyte Oy: http://www.sci.fi/~pri/

4) ”FREQ-Mite” taajuuslaskin. http://smallwonderlabs.com/

5) E & A 1/1982 Olavi Lehti: Esijakajalla ylempiin taajuksiin6) E & A 14/1984 Olavi Lehti: Nyt rakennetaan Etuvahvistin taajuuslaskimeen7) Heikki E. Heinonen OH3RU: Tiimissä hamssiksi, sivu 1788) SRAL R.Y.: Radioamatööritekniikkaa, sivu 121.9) JF1OZL kotisivuut: http://www.intio.or.jp/jf10zl/10) http://www.microchip.com

11) Smallwonder Labs K1 CW transceiver http://www.elecraft.com

12) Elektroniikka 16/1980 s. 23-24, Olavi Lehti: Digitaalinen oskillaattorin taajuusvakavointi