nnt 2010 abstract book

224
Conference Program and Proceedings

Upload: elise-kvarnstroem

Post on 23-Mar-2016

273 views

Category:

Documents


7 download

DESCRIPTION

Program and Abstracts from the Nanoprint & Nanoimprint technology Conference in Copenhagen, October 13-15 2010

TRANSCRIPT

Page 1: NNT 2010 Abstract Book

Conference Program and Proceedings

Page 2: NNT 2010 Abstract Book

2

NNT 2010 Conference Program and Proceed-ing

Page 3: NNT 2010 Abstract Book

3

Contents

Chair’s Welcome 5

Program day 1-2 6

Program day 3 7

Poster program 8

Social program 15

Extended abstracts of the oral presentations 17

Speakers 17

Extended abstracts of the poster presentations 67

Applications 67

Industrial Implementation for Production 87

Material issues 91

Metrology and standards 107

Nanoimprint and Nanoprint Lithography 110

Process and Process Modelling 173

Soft lithography 185

Stamps and templates 191

Tooling 217

NNT 2010 Conference Committee Members 220

NNT 2010 International Program Members 221

Exhibitors & sponsors 222

Poster exhibition floorplan 223

Page 4: NNT 2010 Abstract Book

4

NNT 2010 Conference Program and Proceed-ing

SWEDENDENMARK

MalmöLundCopenhagen

Page 5: NNT 2010 Abstract Book

5

Chair’s WelcomeOn behalf of the Organizing Committee, we are pleased to welcome you to Copenhagen and the beautiful Øresund Region for the 9th International Conference on Nanoimprint and Nanoprint Technology. The NNT Conferences began in San Fransisco 2002, and have been continued every year - Boston 2003, Vienna 2004, Nara 2005, San Francisco 2006, Paris 2007, Kyoto 2008, and last year in San Jose. More than 100 contributions have been accepted to NNT 2010 that clearly demonstrate the great vitality of the Conference and of the R&D level of the field. The Conference consists of 6 specialised technical sessions and one large poster session. As a new element in the conference we have intro-duced a wrap-up session where the conference will be summarized by leading capacities in the field. Special attention has also been paid to the organization of the poster session area. It has been divided into different parts covering specific technical topics in order to stimulate a fruitful exchange of ideas. To keep with the tradition, a commercial session and a social program have been planned, offering attendees ample opportunities for informal interactions. The Gala dinner will start with a transport over the beautiful Øresund bridge that since ten years back bring people back and forth between Sweden and Denmark in the Øresund region, making this region one of the most flourishing region worldwide. This Conference program would not have been possible without the dedicated work of our confer-ence organiser Elise Kvarnström. We would also like to express our thanks to the members of the Program Committee for their many contributions, especially Jouni Ahopelto and Michael Hornung, without forgetting all reviewers. Our gratitude also goes to the Sponsors for their appreciated finan-cial support. Finally, we would like to thank YOU ALL for attending the Conference. It is the quality of your presentations and the dynamism of your exchanges that will make the conference a real success. We sincerely wish you a fruitful Conference and an enjoyable stay in the Øresund Region.Sincerely, Lars Montelius (NNT Conference Chair) Anders Kristensen (NNT Vice Conference Chair) Clivia Sotomayor Torres (NNT Program Chair)

Page 6: NNT 2010 Abstract Book

6

NNT 2010 Conference Program and Proceed-ing

Wednesday 13th afternoon12:00 – Exhibition is set up in the hotel lobby.

17.00 –19.00 Reception in the exhibition area with drinks, beer and an evening buffet

Thursday 14th morningApplications I Chair: A. Kristensen (Technical University of Denmark)

8:15 –8:30 L. Montelius / C. Sotomayor-Torres Welcome

8:30 – 9:00 E. Sondergaard Nanostructure functionalization of window glass (Invited)

9:00 – 9:15 A. Gardner Nanoindent Nanoimprint Lithography for the Fabrication of 3D Photonic Crystals

9:15 – 9:30 H. Hillmer High Resolution 3D Nanoimprint Technology and its Applica-tion in Optical Sensors and Photonic Devices

9:30 – 9:45 A. Bergström Demonstration of Nano-Scale Interdigited Electrodes as Photo-detectors and Sensors

9:45 – 10:00 I. Bergmair Stacked negative index materials fabricated by NILProcesses and process modelling Chair: to be announced

10:30 – 11:00 M. Verschuuren Substrate Conformal Imprint Lithography: sub-10 nm resolu-tion and overlay alignment (invited)

11:00 – 11:15 M Vogler Imprinting without mould release coating: a new material for UV-based NIL

11:15 – 11:30 H. Ge A Novel Multifunctional Nanoimprint Resist System Based on Cationic Polymerizable Epoxysiloxan

11:30 – 12:00 C. Tokamanis Title to be announcedThursday afternoonMetrology and standards Chair: J. Ahopelto (Microsystems and Nanoelectronics, VTT)

13:30 – 14:00 Y. Hirai Molecular Dynamics simulations of local polymer flow (invited)

14:00 – 14:15 I. Gereige Contribution of optical scatterometry in nanoimprint lithogra-phy

14:15 – 14:30 T. Kehoe Sensitivity of Sub-wavelength diffraction metrology to three dimensional imprinted line profile

14:30 – 14:45 S. Ishii Investigation on Pattern Fidelity in UV Soft nanoimprint Li-thography

14:45 – 15:00 D. Lee Step and Repeat Nanoimprint of 20 nm Line and Space Pat-tern by Using Polymer Replica Stamp

Poster Session Chairs: to be announced

15:00 – 17:00 See detailed poster programme on pageGala dinner

17:00 – 22:30 At a restaurant in Malmö, Sweden

Poster award ceremony

Program day 1-2

Page 7: NNT 2010 Abstract Book

7

Friday 15th morningProcess and Process Modelling II Chair: L. Montelius (Øresund University & Øresund Science Region)

9:00 – 9:30 H. Hiroshima UV-NIL Research for 20 nm Level ULSI Manufacturing (invited)

9:30 – 9:45 H. TaylorChip-Scale Simulation of Residual Layer Thickness Uniformity in Thermal Nanoimprint Lithography: Evaluating Stamp Cavity-Height and “Dummy-Fill” Selection Strategies

9:45 –10:00 D. Mendels Mechanical proximity correction using the NIL Simulation Suite

Industrial implementations / Applications IIChair: to be announced

10:30 – 11:00 L. Chen Commercial applications of roll-to-roll nanoimprinting and large area holographic patterns (invited)

11:00 – 11:15 T Mäkela Roll-To-Roll Nanoimprinted Backlight Devices

11:15 – 11:30 H. Mekaru Roller Imprint System Combined with Reel-To-Reel Feeding Device

11:30 – 11:45 Y-C. Lee Direct Metal Contact Printing Lithography for Patterning Sapphire Substrate and Enhancing Light Extraction Efficiency of Light-Emitting Diodes

11:45 -.12:00 C. Wang Light Extraction Efficiency Improvement of Blue GaN Light Emitting Diode Using Nanoimprinted Pattern on Sapphire Substrate

Friday 15th afternoonApplications III Chair: M Hornung (SÜSS MicroTec Lithography GmbH)

13:30 – 14:00 M. Re Nanoimprint and bit patterned media (invited)

14:00 – 14:15 M. Mikkelsen All-silica nanofluidic devices for DNA-analysis fabricated by nanoimprint of sol-gel

with hard stamp

14:15 – 14:30 A. Schleunitz 3-D Nanoimprint Stamp Fabrication by Dose-Modulated Electron-Beam Lithogra-

phy, Thermal Annealing and Proportional Pattern Transfer

14:30 – 14:45 E. Rognin Viscosity measurements of thin polymer films from reflow of NanoImprinted patterns

14:45 – 15:00 S. Howitz Fabrication of nanoliter and picoliter-sized wells in SU-8 and NOA utilizing UV

imprint technology on the GeSiM μ-CP platform

Summary Chair: C. M. Sotomayor Torres (Catalan Institute of Nanotechnology)

15:30 – 15:50 H. Schift Materials / Stamps

15:50 – 16:10 S. Matsui Metrology / Standards

16:10 – 16:30 (to be anounced) Processes / Modelling

16:30 – 17:00

L. Montelius / C. Sotomayor-Torres

Wrap up and Announcement NNT2011

Program day 3

Page 8: NNT 2010 Abstract Book

8

NNT 2010 Conference Program and Proceed-ing

ApplicationsP1: Nanoimprinted Complementary Organic Inverters: Ursula Palfinger, Joanneum Research; Thomas Rothländer, Joanneum Research; Herbert Gold, Joanneum Research; Barbara Stadlober, Joanneum ResearchP2: SU-8 Filled Waveguide With Holographic Grating for DFB Laser Buried in Nanoim-printed Grooves: Takao Tokuhara, Osaka City University; Shusuke Asahi, Osaka City Univer-sity; Yuta Aoki, Osaka City University; Hiroshi Kumagai, Osaka City University; Tahito Aida, Osaka City UniversityP3: Metal Liftoff Using Solvent Soluble UV-NIL Resist: Tomoki Nishino, Osaka Pref. Univ.; Hiroto Miyake, Daisel Chemical; Kohei Tomohiro, Osaka Pref. Univ.; Takao Yukawa, Daisel Chemical; Junji Sakamoto, Osaka Pref. Univ.; Hiroaki Kawata, Osaka Pref. Univ.; Yoshihiko Hi-rai, Osaka Pref. Univ.P4: Fabrication of Reflective Microlens By Imprinting Technology- A Molecular Dynamics Simulation Study: Ming-Chieh Cheng, National Tsing Hua University; Cheng-Kuo Sung, Na-tional Tsing Hua UniversityP5: Topography Extraction of 3d Structures Through Afm of Nanoimprints: Simon Waid, Vienna University of Technology; Heinz D Wanzenboeck, Vienna University of Technology; Ger-hard Hobler, Vienna University of Technology; Thomas Zahel, Vienna University of Technology; Emmerich Bertagnolli, Vienna University of Technology; Michael Muehlberger, Profactor Gmbh; Rainer Schoeftner, Profactor GmbhP6: Nanoimprinted Semi-Transparent Continuous Electrodes Towards Enhanced Perfor-mances of Light Emitting Devices: Vincent Reboud, Catalan Institute of Nanotechnology; Ali Z Khokhar, Department of Electronics & Electrical Engineering; Gaetan Leveque, Tyndall Na-tional Institute; Borja Sepúlveda, Research Centre on Nanoscience and Nanotechnology; Damian Dudek, Catalan Institute of Nanotechnology; Tim Kehoe, Catalan Institute of Nanotechnology; Nikolaos Kehagias, Catalan Institute of Nanotechnology; Nikolaj Gadegaard, Department of Electronics & Electrical Engineering; Vito Lambertini, Centro Ricerche FIAT; Valentina Grasso, Centro Ricerche FIAT; Clivia M Sotomayor Torres, Catalan Institute of NanotechnologyP7: Nanoimprinting for Chemical Synthesis: Hong Yee Low, Institute of Materials Research and EngineeringP8: Fabrication of Large-Area Gratings With Tunable Periods By Double Nanoimprinting of 1-D Gratings: Wen-Di Li and Stephen Y. Chou, PrincetonP9: Nanoimprint Lithography for Solar Cell Texturisation: Hubert Hauser*, B. Michl*, S. Schwarzkopf*, V. Kübler*, C. Müller**, M. Hermle* and B. Bläsi* * Fraunhofer Institute for Solar Energy Systems ISE, ** Laboratory for Process Technology, Department of Microsystems Engi-neering – IMTEK, University of Freiburg Industrial Implementation for Production P10: Residual Layer Free Polymer Waveguides and Microring Resonators Realized With Uvassisted Nanoimprint: Robert Kirchner, Fraunhofer-Institute Ipms; René Kullock - Lukas Eng - Wolf-Joachim Fischer Material issues P11: Nanostructuration By Nanoimprint Lithography of Hybrid Silica Coatings : Alban A Letailleur, Saint-Gobain Recherche; Cedric Boissière, CNRS/UPMC; François Ribot, CNRS/UPMC; Clément Sanchez, CNRS/UPMC; Jérémie Teisseire, CNRS/Saint-Gobain; Etienne Bar-thel, CNRS/Saint-Gobain; Elin Sondergard, CNRS/Saint-Gobain; Stefan Mc Murtry, Université De Technologie De Troyes; Christophe Couteau, Université De Technologie De Troyes; Gilles Lérondel, Université De Technologie De Troyes; Nicolas Chemin, Saint-Gobain Recherche

Poster program

Page 9: NNT 2010 Abstract Book

9

P12: Effects of The Surface Properties of Self-Assembled Monolayer (SAM) Treated Nanoim-print Molds for Molding and Demolding Processes: Kazuhisa Kumazawa, Nippon Soda Co., Ltd.; Yoshitaka Fujita, Nippon Soda Co., Ltd.; Norifumi Nakamoto, Nippon Soda Co., Ltd.; Ha-ruo Saso, Nippon Soda Co., Ltd.P13: Direct Imprinting, Post Processing, and Characterization of Functional UV-Curing Materials: Holger Schmitt, Fraunhofer IISB; Fabian Kett, Fraunhofer IISB; Mathias Rommel, Fraunhofer IISB; Anton J Bauer, Fraunhofer IISB; Michael Hornung, SUSS Microtec Lithography Gmbh; Lothar Frey, Fraunhofer IISB, Chair of Electron DevicesP14: Light Extraction Study on Quantum Dots Embedded in Nanoimprinted Silica Matrix: Alban A Letailleur, Saint-Gobain Recherche; Thomas Richardot, CNRS/Saint-Gobain; François Ribot, UPMC/Collège De France; Cédric Boissière, UPMC/Collège De France; Clément Sanchez, UPMC/Saint-Gobain; Etienne Barthel, CNRS/Saint-Gobain; Elin Søndergård, CNRS/Saint-Gobain; Christophe Couteau, Université De Technologies Troyes; Gilles Lérondel, Université De Technologies Troyes; Nicolas Chemin, Saint-Gobain RechercheP15: Reduction of The Release forces of Polymers for Thermal NIL and Their Quantifica-tion: Hakan H Atasoy, Micro Resist Technology Gmbh; Marko Vogler, Micro Resist Technology Gmbh; Tomi Haatainen, VTT Micro and Nanoelectronics; Arne Schleunitz, Paul Scherrer Insti-tute; Helmut Schift, Paul Scherrer Institute; Freimut Reuther, Micro Resist Technology Gmbh; Gabi Gruetzner, Micro Resist Technology GmbhP16: Resists for Efficient Nanoimprint Processes - Challenges for The Materials Scientist: Marko Vogler, Micro Resist Technology; Freimut Reuther, Micro Resist Technology; Anna Klu-kowska-Kahlenberg, Micro Resist Technology; Gabi Grützner, Micro Resist TechnologyP17: A Method for Estimation of Rheological Behaviour of Ultrathin Resist Films: Dariusz Jarzabek, Warsaw University of Technology; Zygmunt Rymuza, Warsaw University of TechnologyMetrology and standards P18: NFFA - Nanoscience Foundries and Fine Analysis: Jens Gobrecht - Christian David - Giorgio Rossi - Regina Ciancio - Cristina Africh - Roberto Gotter - Giancarlo Panaccione - Ro-berta Ferranti - Daniela Orani - Emilio Lora Tamayo - Luis Fonseca - Justin Greenhalgh - Gra-ham Arthur - Ejaz Huq - Peter Laggner - Heinz Amenitsch - Karin Jungnikl - Barbara Sartori Nanoimprint and Nanoprint Lithography P19: Reactive-Monolayer-Assisted Thermal Nanoimprint Lithography for Fine Metal Pat-terning: Shoichi Kubo, Tohoku University; Koichi Nagase, Tohoku University; Masaru Nakaga-wa, Tohoku UniversityP20: Fluorescent Radical Polymerization Resin and Its Advantages in UV Nanoimprint-ing: Kei Kobayashi, Tohoku University; Shoichi Kubo, Tohoku University; Hiroshi Hiroshima, National Institute of Advanced Industrial Science; Takeshi Ohsaki, Toyo Gosei Co., Ltd.; Shinji Matsui, University of Hyogo; Masaru Nakagawa, Tohoku UniversityP21: Improvement of Replica Mold Releasability By “Anti-Sticking Cure Process (Acp)”: Ya-suhide Kawaguchi, Asahi Glass Co.,Ltd.; Kentaro Tsunozaki, Asahi Glass Co.,Ltd.; Lingyi Li, Waseda University; Shuichi Shoji, Waseda University; Jun Mizuno, Waseda UniversityP22: Characteristics Evaluation of Side Chain Crystalline Polymer for Nanoimprinting: M Okada, University of Hyogo; S Nakano, Nitta Co.; K Yamashita, Nitta Co.; S Kawahara, Nitta Co.; S Matsui, University of HyogoP23: Gas-Assisted Micro-Area Step-And-Flash Imprinting Lithography: Su Shen, Svg Optron-ics Corp.; Guo Jun Wei, Soochow University; Dong Lin Pu, Soochow University; Lin Sen Chen, Soochow University

Page 10: NNT 2010 Abstract Book

10

NNT 2010 Conference Program and Proceed-ing

P24: Nanoimprinting Solutions for High Density Memory Storage: Gang Luo, Obducat Ab.; Ye Zhou, Obducat Ab.; Roland Palm, Obducat Ab.; TorbjöRn Eriksson, Obducat Ab.; Babak Heidari, Obducat Ab.P25: Evaluation of Interaction Between Antisticking Layer and UV Curable Resin By Scan-ning Probe Microscopy : Makoto Okada, Graduate School of Science, Univ. Of Hyo; Masayuki Iwasa, Sii Nanotechnology Inc.; Yuichi Haruyama - Kazuhiro Kanda - Kei Kuramoto - Masaru Nakagawa - Shinji Matsui P26: Fabrication and Characterization of Siox Moth-Eye Structure By Room-Temperature Nanoimprint Using Caged- and Ladder-Hsq: Yuji Kang, University of Hyogo; Makoto Okada, University of Hyogo; Kazuhiro Kanda, University of Hyogo; Yuichi Har-uyama, University of Hyogo; Shinji Matsui, University of HyogoP27: Role of Confinement on Material Flow in Nano-Structured Geometry: Jérémie Teisseire, Surface Du Verre Et Interface - Cnrs/Sai; Amélie Revaux, Surface Du Verre Et Interface - Cnrs/Sai; Maud Sarrant-Foresti, Saint-Gobain Recherche; Elin Sondergard, Surface Du Verre Et Inter-face - Cnrs/Sai; Etienne Barthel, Surface Du Verre Et Interface - Cnrs/SaiP28: Effects of Substrate Deformation and Its Simple Estimation By Height Analysis of Resist Top Surface: Hiroaki Kawata, Osaka Prefecture University; Norihiro Fujikawa, Osaka Prefecture University; Yuuta Watanabe, Osaka Prefecture University; Masaaki Yasuda, Osaka Prefecture University; Yoshihiko Hirai, Osaka Prefecture UniversityP29: Bubble Trapping in UV Nanoimprint Lithography Using A Capacity-Equalized Mold: Qing Wang, Aist; Hiroshi Hiroshima, Aist; Sung-Won Youn, AistP30: Self-Aligned Fabrication of Flexible Organic Thin Film Transistors for Display Back-planes By Means of Nanoimprint Lithography: Herbert Gold, Joanneum Research; Ursula Palfinger, Joanneum Research; Thomas Rothländer, Joanneum Research; andreas Petritz, Joan-neum Research; Frank Reil, Joanneum Research; Barbara Stadlober, Joanneum ResearchP31: Embossing Effect By Ulstrasonic Vibration Nanoimprint System: Atsumasa Sawada, Aist; Kazunori Ootsuka - Harutaka Mekaru - Masaharu TakahashiP32: Angle Controlled Imprints Using Step and Stamp Imprint Lithography: Tomi Haatainen, Vtt; Tapio Mäkelä, Vtt; Jouni Ahopelto, Vtt; Gilbert Lecarpentier, VttP33: Positive Resists for A T-NIL / Uvl Hybrid Lithography: Saskia Möllenbeck, University of Wuppertal; Khalid Dhima, University of Wuppertal; andre Mayer, University of Wuppertal; Hella-Christin Scheer, University of WuppertalP34: Etch-Free Lift-Off With Sputtered Layers in T-NIL: Andre Mayer, University of Wupper-tal; Saskia Möllenbeck, University of Wuppertal; Khalid Dhima, University of Wuppertal; Hella-Christin Scheer, University of WuppertalP35: Si(111) Pits Fabricated By UV Nanoimprint Lithography With Replica Molds for The Site-Controlled Deposition of Nanocrystals : Elisabeth Lausecker, University of Linz; Martyna Grydlik, University of Linz; Moritz Brehm, University of Linz; Cornelia Reitböck, University of Linz; Iris Bergmair, Profactor Gmbh; Michael Mühlberger, Profactor Gmbh; Maksym Yarema, University of Linz; Wolfgang Heiss, University of Linz; Thomas Fromherz, University of Linz; Günther Bauer, University of LinzP36: Characterisation of Photoresists With Respect To Thermal Nanoimprint : Khalid Dhima, University of Wuppertal; Saskia Möllenbeck, University of Wuppertal; andre Mayer, University of Wuppertal; Hella-Christin Scheer, University of WuppertalP37: Pssq Templates Fabricated By RuvNIL Technique for Di-Block Copolymer Graphoepi-taxy: Nikos Kehagias, Icn; Richard A Farrell - Marc Zelsmann - Achille Francone - Mustapha Chouiki - Rainer Schoeftner - Vincent Reboud - Justin Holmes - Michael Morris - Clivia Soto-mayor Torres

Page 11: NNT 2010 Abstract Book

11

P38: Multi-Tier Mold Fabrication By Gray Scale Laser Lithography Combined With Dry Etching: Sung-Won Youn, National Institute of AistP39: Pattern Transfer of Organic Solar Material Using Novel Template: Norito Hoto, Osaka Pref. Univ.; Tomoki Nishino, Osaka Pref. Univ.; Jyunji Sakamoto, Osaka Pref. Univ.; Hiroaki Kawata, Osaka Pref. Univ.; Yoshihiko Hirai, Osaka Pref. Univ.P41: Structuring Graphene Layers Using NIL: Iris Bergmair, Functional Surfaces&Nanostructures, Profactor; Maria Losurdo - Giovanni Bruno - Goran Isic - Milka Miric - Rados Gajic - Kurt Hingerl - Michael Muehlberger - Rainer SchoeftnerP42: Study and Development of Polymer Destabilization By Capillary NIL: Céline Masclaux, Ltm - Cnrs; Cécile Gourgon, Ltm - CnrsP43: Polymer Phoxonic Crystals Fabricated By Nanoimprint Lithography: Damian Dudek, Catalan Institute of Nanotechnology; Vincent Reboud, Catalan Institute of Nanotechnology; John Cuffe, Catalan Institute of Nanotechnology; Nikolaos Kehagias, Catalan Institute of Nano-technology; Clivia Sotomayor Torres, Catalan Institute for Research and Advanced StudieP44: Fabrication of Sub-100 Nm Metal Nanowire Structure By Zero Residual Nanoimprint Lithography: Fantao Meng, Lund UniversityP45: in Situ Characterization of Mold-Resist Adhesion in UV Nanoimprint Lithography: Thomas Glinsner, Evgroup; Marc Zelsmann, Ltm Cnrs Cea Leti; Achille Francone, Ltm Cnrs Cea Leti; Gerald Kriendl, Evgroup; Cristina Iojoiu, Lepmi; Jumana Boussey, Ltm Cnrs Cea LetiP46: Fabrication of Silicone Based Opto-Electronic Ring Resonator With Soft Uv-NIL Pro-cess: Jung Wuk Kim, Amo Gmbh; Namil Koo, Amo Gmbh; Ulrich Plachetka, Amo Gmbh; Jens Bolten, Amo Gmbh; Michael Waldow, Institute of Semiconductor Electronics Rwth Aachen; Christian Moormann, Amo Gmbh; Heinrich Kurz, Amo GmbhP47: Development of Mold Fabrication Processes Using Ormostamp® Material for Step and Repeat Uv-NIL: Corinne Perret, Ltm; Pietroy David, Ltm; Labau Sebastien, Ltm; Gourgon Ce-cile, Ltm; Boussey Jumana, LtmP48: Sub-7 Nm Gap Bowtie Array By Post Fabrication Size Reduction Using Pressed Self - Perfection By Liquefaction (P-Spel) and Nanoimprint: Jihoon Kim, Princeton University; Fei Ding, Princeton University; Stephen Y Chou, Princeton UniversityP49: Seamless Roller Mold Fabricated By Cylindrical Photolithography and Roller Imprint-ing of Brightness Enhancement Film With Continuous Ball-Lens-Array: Yung-Chun Lee, Na-tional Cheng Kung University; Hong-Wei Chen, National Cheng Kung UniversityProcess and Process Modelling P50: Advanced Trench Filling Process Combing Thermal Imprint and Selective Copper Elec-trodeposition for Printed Wiring Board Fabrication: Hiroshi Yoshida, Materials Research Lab, Hitachi Ltd.; Hiroshi Nakano, Materials Research Lab, Hitachi Ltd.; Hitoshi Suzuki, Materials Research Lab, Hitachi Ltd.; Toshio Haba, Materials Research Lab, Hitachi Ltd.; Akira Chinda, Hitachi Cable Ltd.; Haruo Akahoshi, Materials Research Lab, Hitachi Ltd.P51: Resin Elongation Phenomenon in Nanoimprint Lithography: Kosuke Kuwabara, Hitachi Ltd.; Akihiro Miyauchi, Hitachi Ltd.; Hiroyuki Sugimura, Kyoto Univ.P52: Controlled formation of Dense Nanoshpere Arrays By Thermal Annealing of Nanoim-printed Lines for Nanodot Array Working Stamp Fabrication: Arne Schleunitz, Paul Scherrer Institut; Christian Spreu, Paul Scherrer Institut; Jaejong Lee, Korean Institute of Machinery and Materials; Helmut Schift, Paul Scherrer InstitutP53: Evaluation of Curing Characteristics in UV-NIL Resist: Akira Horiba, Osaka Pref. Univ.; Ryosuke Suzuki, Osaka Pref. Univ.; Yoshihiko Hirai, Osaka Pref. Univ.

Page 12: NNT 2010 Abstract Book

12

NNT 2010 Conference Program and Proceed-ing

P54: Fabrication of Wire Grid Polarizer for Visible Spectrum By Lift-Off Process: Chien-Li Wu, National Tsing Hua University

Soft lithography P55: UV Enhanced Substrate Conformal Imprint Lithography (UV-SCIL) on SUSS Mask Aligners and Its Applications : Ran Ji, SUSS Microtek Lithography Gmbh; Vu-Hoa Nguyen, SUSS Microtek Lithography Gmbh; Michael Hornung, SUSS Microtek Lithography GmbhP56: Fabrication of Micro and Nano Patterns for Biosensor Applications Using an Automat-ed Microcontact Printing Tool: Juan Pablo Agusil Antonoff, Institute for Bioengineering of Cat-alonia; Marilia Barreiros Dos Santos, Institute for Bioengineering of Catalonia; Christian Sporer, Institute for Bioengineering of Catalonia; Josep Samitier, Institute for Bioengineering of Catalonia Stamps and templates P57: Nickel Molds With 3 Dimensional Micro/Nano Features for Biochips Application: Kam-biz Ansari, Institute of Materials Research and EngiP58: Metallic Stamp Replication Based on Reversal Nanoimprint Lithography: Gang Luo, Obducat AB.; Ye Zhou, Obducat AB.; Torbjörn Eriksson, Obducat AB.; Babak Heidari, Obducat AB.P59: Fabrication of Large Area Nanotemplate Through Parallel Side-Bonding Process: Soon-Won Lee, Korea Institute of Machinery & Materials; Sung-Je Park, Korea Institute of Machinery & Materials; Ji-Hye Lee, Korea Institute of Machinery & Materials; Jun-Ho Jeong, Korea Institute of Machinery & Materials; Jun-Hyuk Choi, Korea Institute of Machinery & MaterialsP60: Nickel Stamp Replication Assisted By Soft Imprinting: Ye Zhou, Obducat Technology AB; Gang Luo, Obducat Technology AB; Ki D Lee, Obducat Technology AB; Roland Palm, Ob-ducat Technology AB; Johan Ring, Obducat AB Sweden; Torbjörn Eriksson, Obducat Technol-ogy AB; Rizgar Jiawook, Obducat Technology AB; Babak Heidari, Obducat Technology ABP61: Production Quality of Working Stamps for Nanoimprint Lithography: Brian Bilenberg, NIL Technology Aps; Poul Erik Hansen, Danish Fundamental Metrology; Søren Dahl Petersen, NIL Technology Aps; Gerald Kreindl, EV Group E. Thallner GmbhP62: Fabrication of Embedded Metal Stamps By Transferred UV Nanoimprint Lithography: Nikos Kehagias, Icn; Vincent Reboud, Icn; Tim Kehoe, Icn; Clivia Sotomayor Torres, IcnP63: Modeling The Enhancement of Nanoimprint Stamp Bending Compliance By Backside Grooves: Mitigating The Impact of Wafer Nanotopography on Residual Layer Thickness: Hayden K Taylor, Mit; Kristian Smistrup, NIL Technology; Duane S Boning, MitP64: Curved and Sloped NIL Stamps - Fib As Versatile Approach Towards Complex 3d-NIL Stamps: Simon Waid, Vienna University of Technology; Sasa Kutzkuresovic, Vienna University of Technology; Heinz D Wanzenboeck, Vienna University of Technology; Emmerich Bertagnolli, Vienna University of Technology; Michael Muehlberger, Profactor Gmbh; Rainer Schoeftner, Pro-factor GmbhP65: Impact of The Stamp Sidewall-Inclination on The Replication of Structures: Simon Waid, Vienna University of Technology; Heinz D Wanzenboeck, Vienna University of Technol-ogy; Emmerich Bertagnolli, Vienna University of Technology; Michael Muehlberger, Profactor Gmbh; Rainer Schoeftner, Profactor Gmbh

Page 13: NNT 2010 Abstract Book

13

P66: 12.5 Nm Half Pitch Nanoimprint Lithography Using Stamps Replicated From Charpan Master Templates: Dominik Treiblmayr - Michael Kast - Gerald Kreindl - Thomas Glinsner - Elmar Platzgummer - Hans Loeschner - Peter Joechl - Stefan Eder-Kapl - Thomas Narzt - Mi-chael Muehlberger, Profactor Gmbh; Martin Boehm - Iris Bergmair - Mustapha Chouiki - Rainer Schoeftner - Elisabeth Lausecker - Thomas FromherzP67: Flexible Stamp With In-Situ Temperature Control: Kristian Smistrup, NIL Technol-ogy Aps; Tobias Hedegaard, NIL Technology Aps; Brian Bilenberg, NIL Technology Aps; Jesper Nørregaard, NIL Technology Aps; Saeed Abadei, Technical University of Denmark; Ole Hansen, Technical University of Denmark; anders Kristensen, Technical University of Denmark; Theodor K Nielsen, NIL Technology ApsP68: Thermal NIL of Large Area 12 Nm EUV Interference Lithography Gratings Into Resist With Improved Release Properties and Pattern Transfer: Christian Dais, Eulitha AG; Harun H Solak, Eulitha AG; Mirco Altana, U. Applied Sciences Northwestern Switzerland; Christian Spreu, Paul Scherrer Institut; Hakan Atasoy, Micro Resist Technology Gmbh; Jens Gobrecht, U. Applied Sciences Northwestern Switzerland; Helmut Schift, Paul Scherrer Institut Tooling

Page 14: NNT 2010 Abstract Book

14

NNT 2010 Conference Program and Proceed-ing

The Öresund bridge between Sweden and Denmark

Page 15: NNT 2010 Abstract Book

15

Reception Wednesday October 13 At 18.00-20.00 there will be a reception in the exhibition area with drinks, beer and an evening buf-fet. The exhibitors will have the chance to have their boots manned. Gala dinner Thursday October 14On the Thursday evening we will go by bus to Sweden using the beatiful Öresund Bridge. This year is the 10-year anniversary of the bridge and today thousands of commuters use the bridge on a daily basis binding Sweden and Denmark together. We will have the Gala Dinner at The Vision that is a very nice restaurant in the West Habour area of Malmoe. The West Harbour area has become a symbol for the “new” Malmoe, developing it from being an industrial city to a city of innovation and knowledge. The West Harbour is characterized by suistainable city planning and development and it is a showcase in the Urban Best Practice Area at the Expo 2010 in Shanghai. From the restaurant we will have a chance to view the new landmark of Southern Sweden and Mal-moe, The Turning Torso, by the Spanish Architect Santiago Calatrava. Since we are going to another country, bring your passports. Those of you who need VISA to Swe-den, pls remember to bring a valid VISA with you.The buses will leave on Thursday from hotel S:t Petri at 17.00 sharp, i.e. directly after the poster ses-sion and we will be back in the hotel around 22.30. NNT 2010 Gold Sponsor Poster Prize Award Ceremony During the dinner the winners of the NNT 2010 Gold Sponsor Poster Prizes will be presented. The awards will be 500 Euros, 300 Euros and 150 Euros, respectively. The prizes will be handed over by representatives of our Gold Sponsors, Obducat and NIL-T.

Social program

Page 16: NNT 2010 Abstract Book

16

NNT 2010 Conference Program and Proceed-ingNNT 2010 Conference Program and Proceeding

Page 17: NNT 2010 Abstract Book

17

Extended abstracts of the oral presentationsSPEAkERSE sondergaard: Nanostructure Functionalization of Window Glass (Invited) (No abstract)Andrew Gardner: Nanoindent Nanoimprint Lithography for The Fabrication of 3D Photonic CrystalsDr. Hartmut Hillmer : High Resolution 3d Nanoimprint Technology and Its Application in Optical Sensors and Photonic DevicesAndreas Bergström: Demonstration of Nano-Scale Interdigited Electrodes As Photodetectors and Sen-sorsIris Bergmair: Stacked Negative Index Materials Fabricated By NILM Vogler: Imprinting Without Mould Release Coating: A New Material for UV-Based NILM.Verschuuren: Substrate Conformal Imprint Lithography: Sub-10 Nm Resolution and Overlay Align-ment (Invited)Haixiong Ge: A Novel Multifunctional Nanoimprint Resist System Based on Cationic Polymerizable EpoxysiloxaneC. Tokamanis Title pending (No Abstract) Y. Hirai: Molecular Dynamics Simulations of Local Polymer Flow (Invited) Hayden k Taylor: Chip-Scale Simulation of Residual Layer Thickness Uniformity in Thermal Nano-imprint Lithography: Evaluating Stamp Cavity-Height and ‘Dummy-Fill’ Selection StrategiesDavid A Mendels: Mechanical Proximity Correction Using The NIL Simulation Suite Issam Gereige: Contribution of Optical Scatterometry in Nanoimprint LithographyTimothy kehoe: Sensitivity of Sub-Wavelength Diffraction Metrology To Three Dimensional Imprinted Line ProfileSatoshi Ishii: Investigation on Pattern Fidelity in UV Soft Nanoimprint LithographyDuhyun Lee: Step and Repeat Nanoimprint of 20 Nm Line and Space Pattern By Using Polymer Rep-lica StampHiroshi Hiroshima: UV-NIL Research for 20 Nm Level ULSI ManufacturingLin Sen Chen: Commercial Applications of Roll-To-Roll Nanoimprinting and Large Area Holographic Tapio Mäkelä: Roll-To-Roll Nanoimprinted Backlight DeviceHarutaka Mekaru: Roller Imprint System Combined With Reel-To-Reel Feeding DeviceProf. Yung-Chun Lee : Direct Metal Contact Printing Lithography for Patterning Sapphire Substrate and Enhancing Light Extraction Efficiency of Light-Emitting DiodesChao Wang: Light Extraction Efficiency Improvement of Blue Gan Light Emitting Diode Using Nano-imprinted Patterns on Sapphire SubstrateM Re: Nanoimprint and Bit Patterned Media (Invited) (No abstract)Morten B Mikkelsen: All-Silica Nanofluidic Devices for DNA-Analysis Fabricated By Nanoimprint of Sol-Gel With Hard StampArne Schleunitz: 3-D Nanoimprint Stamp Fabrication By Dose-Modulated Electron-Beam Lithogra-phy, Thermal Annealing and Proportional Pattern TransferEtienne Rognin: Viscosity Measurements of Thin Polymer Films From Reflow of Nanoimprinted Pat-ternsSteffen Howitz: Fabrication of Nanoliter and Picoliter-Sized Wells in SU-8 and NOA Utilizing UV Imprint Technology on The Gesim μ-CP Platform

Page 18: NNT 2010 Abstract Book

18

NNT 2010 Conference Program and Proceed-ing Topic No. 1

Oral

Nanoindent Nanoimprint Lithography for the Fabrication of 3D Photonic Crystals

Andrew T. Gardner, Sidhartha Gupta, Paul V. Braun, and William P. King University of Illinois Urbana-Champaign, USA

This paper reports the fabrication of three dimensional (3D) photonic crystals using a new technique, Nanoindent Nanoimprint Lithography (NINIL), to fabricate a 3D phase mask in the surface of a photoreactive polymer. In NINIL, a diamond nanoindenter tip is formed into a 3D shape [1], and the resulting 3D tool forms an array of indents in a photoreactive polymer substrate. Laser light passes through this indent array, or phase mask, creating a 3D distribution of intensity in the substrate. Once developed, a 3D structure with nanoscale features is formed [2]. NINIL has the ability to make a 3D phase mask, which is in turn used to allow realization of nearly arbitrary, complex 3D shapes at the nanometer scale. NINIL can produce a grey scale phase mask with varying 3D feature heights through indentation with a 3D shaped punch. We start with a diamond nanoindenter tip with standard Berkovich geometry and use focused ion beam (FIB) milling to produce the 3D tip which closely matches the desired geometry (Fig. 1a). The 3D nanoindenter tip is then repeatedly pressed into a 10 μm thick film of photosensitized SU-8 polymer, such that the 3D shape of the indenter is replicated into the surface (Fig. 1b and 1c). By mounting the tip in an Asylum MFP-3D atomic force microscope (AFM) with nanoindenter attachment, precise control over lateral position and indentation depth is achieved. Typical indent depths are in the range of 0.1 - 1 m and depth control is better than 10 nm [1]. Scanning electron microscope (SEM) images of the diamond tip after FIB milling (Fig. 1a right) and the resulting array of indents in SU-8 (Fig. 1c) shows the close replication of geometry from the indentation process. The 3D indent array patterned into the SU-8 is exposed with laser light, which diffracts through the phase mask, generating a 3D hologram in the photoreactive polymer (Fig. 2a). The laser cross-links the polymer only in the areas of constructive interference, leaving behind a complex 3D structure after development (Fig. 2b and 2c). After the interference lithography step, the resulting 3D structure was compared to numerical simulations (Fig. 2c) showing good agreement between the two geometries, though slight over-exposure in the laser led to increased filled volume in the experimental results. Nanoindent nanoimprint lithography is a novel technique that allows for high precision 3D geometries to be fabricated with FIB and then replicated many times over into soft substrates such as polymers. When combined with interference lithography complex 3D structures can be generated which would be difficult or impossible to make with traditional micro- and nano-fabrication methods.

References: [1] "Variable temperature thin film indentation with a flat punch", Graham L. W. Cross, Barry S. O’Connell, John B. Pethica, Harry Rowland, and William P. King, RSI 79, 013904 (2008) [2] "Fabricating complex three-dimensional nanostructures with high-resolution conformable phase masks", Seokwoo Jeon, Jang-Ung Park, Ray Cirelli, Shu Yang, Carla E. Heitzman, Paul V. Braun, Paul J. A. Kenis, and John A. Rogers, PNAS 101, 12428-12433 (2004)

Page 19: NNT 2010 Abstract Book

19

Fig. 1 a) A comparison between the ideal punch geometry (left) of a diamond nanoindenter tip after focused ion beam milling and an SEM image (right) of the same punch as fabricated; b) The surface of the SU-8 polymer after an array of nanoindents are made with the shaped punch above; c) SEM showing a top view of the experimental results from making indents with the above punch in SU-8.

Fig. 2 a) Exposure through the phase mask diffracts the laser beam, generating 3-D periodic interference patterns b) SEM image of cross section of polymer after laser exposure; c) A comparison between simulations (left) and experimental results (right) after interference lithography and cross sectioning with FIB, the green dotted lines are a guide for the eye

600 nm

2 μm

300 nm 500 nm

3 μm

Page 20: NNT 2010 Abstract Book

20

NNT 2010 Conference Program and Proceed-ing Topic No. 10

Oral

HiGH resolution 3d nAnoimprint tecHnoloGY And its ApplicAtion in opticAl sensors And pHotonic deVices

Xiaolin Wang(a), Alla Albrecht(a), Tatjana Woit(a),(d), Martin Bartels(a), Hanh Hong Mai(a), Sabrina Schudy(a), Vadim Daneker(a), Karin Schultz(a), Florestan Köhler(a), Stefan Wittzack(a), Carl Sandhagen(d), Wolfgang

Köcher(d), Hartmut Hillmer(a),(b),(c)

a) Institute of Nanostructure Technologies and Analytics (INA), University of Kassel, Germany b) Center for Interdisciplinary Nanostructure Science and Technology (CINSaT), Germany

c) NanoImprint Konsortium Hessen (NIH), Germany d) Opsolution NanoPhotonics GmbH, Germany

Optical microsensors and photonic devices obtain increasing importance in various applications including industry process control, medicine, biology, space technology, food and agriculture. 3D microstructures are preferred by many types of micro-optical devices, such as micro-optical spectrometers, which are difficult or expensive to be fabricated using conventional fabrication technology such as photolithography and etching-processes. Commercially available NanoImprint templates provide only high lateral resolution [1]. It limits the applications of 3D nanostructures which require also precise vertical height-control. We present our 3D NanoImprint technology with sub-nm vertical resolution and its application in nanospectrometers with optical resolutions (λ/∆λ) up to 600. Our nanospectrometer consists of a 2D array of Fabry-Pérot (FP) filters matching to a 2D CCD sensor array that have a size of 2x2x4 mm3. As shown in Fig.1 due to thin film interference, only the light within the designed narrow transmission band of each single filter can pass through the same filter and then be detected by the corresponding sensor pixel.

Conventional grating spectrometers, which were miniaturized down to several centimeters, are limited by their resolution (~10-140) and by this reason not applicable for many applications. Fabry-Pérot filter array based microspectrometers were developed by some groups [2,3] and show great potential. However, to fabricate the 3D cavities with different heights, they implemented subsequent lithography, etching or deposition processes, which leads to high cost in fabrication and limits the potential in commercial application. In contrary, we implemented a novel methodology of fabricating Fabry-Pérot filter array based microspectrometers and fabricated all the Fabry-Pérot filter cavities with different heights in a single process step using vertical high-resolution 3D NanoImprint technology (Fig.2), which considerably reduces costs.

Figure 3 shows the microscope image of a Fabry-Pérot filter array. White light interferometer (WLI) images of different imprinted mesa-structures (filter cavities) are depicted in Fig 4. The vertical resolution is controlled within 1 nm because of our high vertical resolution templates [4]. Experimental spectra are displayed in Fig. 5, showing the transmission spectra of a filter array which was fabricated by UV-NanoImprint technology using imprint resist mr-UVcur21 and ion beam deposition of 5.5 pairs SiO2/TiO2DBRs. The obtained line width (FWHM) of about 3 nm for all filters and the transmittance up to 70% ensure a remarkable resolution of the developed nanospectrometer. The best single filter line width (FWHM) is down to 1 nm, while the best single filter transmission is up to 97%.

references: [1] “Ultrafast and direct imprint of nanostructures in silicon”, S. Y. Chou, C. Keimel, J. Gu; Nature, 417, 835-837 (2002). [2] “MEMS-based optical mini- and microspectrometers for the visible and infrared spectral range”, R.F.Wolffenbuttel, J.Microme.Microeng., 15, 145 (2005). [3] “128 channels of integrated filter array rapidly fabricated by using combinatorial deposition technique”, S.-W. Wang, M. Li, C.-S. Xia, H.-Q. Wang, X.-S. Chen, W. Lu, Appl. Phys. B., 88, (2007). [4] “ High Vertical Resolution 3D Nanoimprint Templates”, X. Wang, M. Bartels, F. Köhler, S. Wittzack, A. Albrecht, H. Mai, T. Woit, S. Schudy, M. Engenhorst, C. Woidt, K. Schulz, H. Hillmer, NNT09 (2009).

Page 21: NNT 2010 Abstract Book

21

fig. 1 Schematic cross section of a filter sensorarray.

fig. 2 Three dimensional NanoImprint for fig. 3 microscope image of a Fabry-Pérot Fabry-Pérot-sensorarrays in optical filter array. nanospectrometers.

fig. 4 WLI characterization: 2D (a) and 3D (b) topography of cavity structures of an optical filter sensorarray.

fig. 5 Transmission spectra of a filter sensorarray, fabricated by UV-NIL.

Page 22: NNT 2010 Abstract Book

22

NNT 2010 Conference Program and Proceed-ing

Topic: No.1

Preference: Oral

DEMONSTRATION OF NANO-SCALE INTERDIGITED ELECTRODES AS

PHOTODETECTORS AND SENSORS

Andreas Bergström, Wlodek Kaplan, Andy Z. Z. Zhang, Susanne Almqvist, and Qin Wang*

Department of Nanoelectronics, Acreo AB and IMAGIC centre

Electrum 236, 164 40 Kista, Sweden

*[email protected]

In this work, various nano-scale interdigitated electrodes (nIDEs) were successfully fabricated on

GaN, SOI (silicon-on-insulator), and n-doped or p-doped Si semiconductors, and also, on glass and

SiO2/Si substrate using nanoimprint lithography (NIL), inductively coupled plasma (ICP) etching and

reactive ion etching (RIE) techniques in the Electrum Laboratory. The nIDEs consist of two electrodes

with thin metal fingers. These finger electrodes are interdigitated with each other. This type of device

can function as a photodetector or a sensor depending on its active material, which has been

demonstrated by current-voltage (I-V) characteristic measurements of the fabricated devices at

diffident conditions in this work.

The nIDEs devices were formed in a 50 nm thick titanium (Ti) layer with varying finger widths, and

the smallest metal fingers of these nIDEs were 200 nm in width. The fabrication process included

mainly three steps: first a thin Ti film was deposited on the desired semiconductor surface; then the

nIDEs were patterned into photo-curable imprint resist (PAK-01) using EVG620 NIL equipment and

followed by ICP dry etching process to remove the residual imprint resist layer. Finally the Ti nIDEs

were formed by RIE process.

The structural properties of the devices were inspected and characterized utilizing optical microscope

and atomic force microscopy (AFM). Fig. 1 (a) shows one of the designed nIDEs devices on a 2 inch

quartz stamp, which was produced by e-beam lithography and dry etching. Fig.1 (b) shows a part of

the nIDEs pattern imprinted into PAK-01 photo-curable resist.

Fig. 1 (a) Microscope image of the nIDE pattern on the stamp. (b) AFM image of imprinted nIDEs

pattern formed in PAK-01 resist.

When the nIDEs is fabricated on semiconductor material surfaces, such as GaN, SOI and n-type or p-

type Si, these nIDEs devices function as metal–semiconductor-metal (MSM) photodetectors. When

applying a bias between the two electrodes, an electric field is created between the fingers. Photo

excited carriers drift in this electric field to form a photocurrent. The detection spectral range is

determined by the base semiconductor materials. GaN is sensitive only in UV range, while Si MSM

(a) (b)

Page 23: NNT 2010 Abstract Book

23

can detect both visible and UV radiation. Fig. 2 shows photoresponses of a nIDEs type device formed

on SOI. The photoresponse is demonstrated by I-V characteristics in the dark and under illumination

with a white light source. A clear distinction between with and without light illumination was

observed. The dark current and photocurrent was even measured twice and plotted in Fig. 2, and they

are in good agreement. This result indicates a good stability of the device. The photoresponse was also

verified by turning off the light during the I-V measurement. The photocurrent signal dropped to its

dark current level, when the illumination light was switched-off as shown in Fig. 2.

Fig. 2 I-V characteristics of a MSM photodetector based on SOI.

The sensor functionality of the nIDEs devices formed on insulating SiO2 was tested by I-V

measurements at different device surface conditions. First, the conductance of the devices was

measured, and no current could pass between the electrodes. To get a detectable signal, some

conductive particles that could serve as a conduction link between the fingers were located between

the finger electrodes [1]. Clearly, a current signal between electrodes was observed when the device

was biased as shown in Fig. 3. After above treatment and measurement, the attached particles were

removed by a cleaning process. The device was measured again under applied biases, and no current

could be detected as seen in Fig. 3.

.

Fig. 3 I-V characteristics of a nIEDs type device functioning as a sensor.

References: [1] "Fabrication of nano detectors/sensors by nano imprint lithography ", Andreas Bergström, Master

of Science thesis in KTH, Royal Institute of Technology, Sweden, TRITA-ICT-EX-2010:72 (2010)

Page 24: NNT 2010 Abstract Book

24

NNT 2010 Conference Program and Proceed-ing Topic No. 1

Oral or Poster

stacked negative index materials fabricated by nil

I. Bergmaira, A. Saeedb, B. Dastmalchib, G. Hesserb, W. Hilberc, T. Pertschd, H. Schmidtd, E.-B. Kleyd, U. Hübnere, R. Penciuf, M. Kafesakif, C.M. Soukoulisf, K. Hingerlb, M. Muehlbergera, R.

Schoeftnera

aFunctional Surfaces and Nanostructures, Profactor GmbH, Steyr-Gleink, Austria [email protected]

bCenter for Surface- and Nanoanalytics, Johannes Kepler University Linz, Austria cInstitute of Microelectronics and Microsensors, Johannes Kepler University Linz, Austria

dInstitute for Applied Physics, Friedrich-Schiller-Universität Jena, Germany eInstitute of Photonic Technology, Jena, Germany

fInstitute of Electronic Structure & Laser, Foundation for Research & Technology, Hellas, Greece

In this paper we show the fabrication of stacked Fishnet and Swiss cross samples using Nanoimprint Lithography (NIL) and their optical properties measured by transmission/reflection and ellipsometry under oblique incidence. Fishnet and Swiss cross samples consist of two patterned gold gratings separated by a MgO layer (Fig. 1, Fig. 2) to provide resonances for the electric and magnetic field such that negative refraction occurs [1]. Few approaches have been done to achieve 3D negative index materials (NIM) [2]-[4], which will be an important step towards the application in practical devices like perfect lenses and cloaking devices. The usual reported fabrication techniques are often restricted to small area and/or consist of different and often complicated process steps. The stacking method we will present using NIL is a very fast technique to achieve 3D materials. First single layers of Negative Index Material (NIM) structures are fabricated on Si substrate using a two layer lift-off system. Afterwards the single layers are peeled off by using a UV-curable hybrid polymer Ormocomp [5] as “glue”. Performing this process several times on top of each other leads to stacked NIM layers (Fig. 3). The stacking process can be performed onto quartz, borofloat or also flexible substrates taking only few minutes (Fig. 4). This process works for Split Ring Resonators (SRR) [6] as well as for double gold layer structures like Fishnet and Swiss cross patterns. The Fishnet layers have been characterized using transmission and reflection measurements (Fig.5) and the investigation using ellipsometry under oblique incident is ongoing. The retrieved µ and ε give negative n around 1.8 µm for the Fishnet samples and 1.4 µm for the Swiss Cross samples. The achieved alignment accuracy for NIL fabricated samples is around 50 nm [7]. The authors acknowledge funding by the European Community's 7th Framework Programme under grant agreement no 228637 NIM_NIL (www.nimnil.org). The Austrian authors acknowledge the Austrian NANO Initiative (FFG and bmvit) for funding this work partially by the NILmeta project within the NILaustria project cluster (www.NILaustria.at).

references [1] Veselago V G, Sov. Phys. Usp. 10, 509,

(1968) [2] J. Valentine et al., nature 07247 (2008) [3] J. Yao et al., science 321 930 (2008)

[4] N. Liu et al., Nature Materials, 7, 31 (2008) [5] www.microresist.de [6] I. Bergmair et al., MEE, 87, (5-8), 1008 (2010) [7] T. Glinsner et al., J.Vac.Sci.&Tech.B,25 2337

(2007)

Page 25: NNT 2010 Abstract Book

25

fig. 1 Fabricated Swiss Cross sample using two layer lift-off system. Period is 400 nm. The cross line width is 90 nm.

fig. 2 Fabricated Fishnet sample using two layer lift-off system. The upper inset shows the cross section.

fig. 3 Stacked Fishnet sample. The distance of the Ormocomp spacer layer is 100 nm. The middle layer looks different due to a slight shift of this layer in direction perpendicular to the cutting direction.

fig. 4 Photograph of a transfer printed gold grating on a flexible foil. The patterned area is 2x2 cm²

fig 5 Measured transmission (T) and reflection (R) data of single layer Fishnet, transfer printed single layer and double layer fishnet. Resonance is around 1.8 µm.

Page 26: NNT 2010 Abstract Book

26

NNT 2010 Conference Program and Proceed-ing

imprintinG WitHout mould releAse coAtinG: A neW mAteriAl for uV-BAsed nil

H. Atasoy, M. Vogler, F. Reuther, G. Gruetzner

micro resist technology GmbH, Koepenicker Str. 325, 12555 Berlin, Germany

Mould separation is an extremely critical step in nanoimprint lithography (NIL). High release forces entail the risk of defect generation in the imprinted resist layer. To avoid this, moulds for NIL have to be coated with an agent reducing these forces. This step, however, is time-consuming and the release layer is gradually wearing – what is detrimental for real mass production.

Another approach is based on the integration of release properties into the imprint material itself. Up to now there were limitations, since the adhesion to the substrate is reduced concurrently with the reduction of the release forces. Here, we suggest a new and promising material for UV-based NIL exhibiting both low release forces during demoulding and good adhesion to the substrate. The material is applied as a single layer system. This makes imprinting possible without applying any release agent on the mould. The stamp can be used until the end of its mechanical lifetime.

Relevant properties of the material (adhesion, surface energy) are described and processing and patterning examples are presented in this contribution. The new material is a decisive milestone to make NIL an economic process and for implementing it into industrial mass production.

Page 27: NNT 2010 Abstract Book

27

Page 28: NNT 2010 Abstract Book

28

NNT 2010 Conference Program and Proceed-ing

1

substrate conformal imprint lithographysub-10 nm resolution and overlay alignment

marc A. Verschuuren, Y. ni. Philips Research Laboratories

5656 AE, Eindhoven, The Netherlands Email: [email protected]

We present Substrate Conformal Imprint Lithography, or SCIL, a new high throughput wafer scale soft-nanoimprint method. SCIL combines the nanometer resolution of rigid stamp1,2

methods but is not hindered by the sensitivity of contact to the presence of particle contamination or wafer inhomogeneities3,4 and demonstrate sub-10 nm overlay alignment. Our method uses composite large-area rubber stamps laminated to a thin glass carrier providing high in-plane stiffness while maintaining out-of-plane flexibility. Figure 1(a) shows the SCIL imprint principle for patterning large areas without air inclusions or deforming the stamp. The stamp is held by vacuum and the ~100 micron gap between the stamp and substrate is bridged by sequentially pressurizing the grooves to ~20 mBar. As contact between the stamp and imprint resist is made, the capillary forces pull the stamp into full contact as the stamp is sequentially released. After resist curing, the stamp is released by sequentially evacuating the grooves which results in a low force, peeling like release. This avoids the application of stress and deformation of the stamp. A SCIL composite stamp is shown in Fig. 1(b) and displays interference colors from the 2D grating structure in the stamp.

Soft stamps have limited resolution due to the mechanical instability of the rubber features which cause patterns to stick together under the influence of surface tension.5 We developed a quaternary siloxane-modified poly-di-methyl-siloxane (PDMS) material with a high Young’s modulus (up to 80 MPa). This prevents instability of the rubber features. We use this stamp to replicate patterns in a proprietary inorganic silica sol-gel based imprint resist composed of tetra-methyl-ortho-silicate and methyl-tri-methoxy-silane that we have developed to minimize post-imprint shrinkage. The liquid sol-gel resist is UV activated and cross-links by forming an inorganic network at room temperature in less than one minute. The combination of the improved stiffness of the stamp rubber and the high silica content of the imprint resist leads to feature sizes smaller than 10 nm. Figure 2(a,b) shows SEM images of directly imprinted, high aspect ratio nano-patterns in silica sol-gel. The sub-10 nm gaps between the pillars in (b) are present in the master pattern and are faithfully replicated. The high-modulus rubber can still make conformal contact over large areas and follow surface imperfections, as demonstrated by the SEM image of Fig. 2(c) which show that SCIL replicates a pattern in a 100 nm thick sol-gel resist layer, even on and around a particle ( > 1µm) that was present on the substrate.

Overlay alignment was performed in the following manner. A first stamp imprints alignment markers in a line one cm from the edge of a quartz substrate. These consist of 2 box-in-box and 3 sets of Moiré type markers (highest resolution 5 nm). Next, a second stamp is loaded which contains corresponding alignment markers and 240 nm pitch gratings in x and y

Substrate with liquid resist layer

Time

Substrate with liquid resist layer

Time

Figure 1. The composite stamp is imprinted into a substrate covered with a liquid resist layer. (a) Time sequence of the SCIL imprint principle showing the evolution of the contact area by the sequential pressurization of the grooves. (b) Photograph of a SCIL composite stamp.

(b) (a)

Page 29: NNT 2010 Abstract Book

29

2

direction, on five positions (4 corners and centre) in a 30×30 mm square. The substrate is coated with sol-gel resist, except where the alignment markers are present. The stamp and substrate are first aligned using the box-in-box markers and subsequently the Moiré markers. This is done by only pressurizing the stamp at the alignment marker position, bringing the stamp in direct contact with the substrate at that position. The alignment error is determined (x, y, rotation) by imaging through the substrate. The stamp is released from the substrate after which a

piezo based system corrects the substrate position. Iteratively, the residuals in the offset are minimized after which the sol-gel coated part is contacted to imprint the nano-gratings. To obtain contrast during later SEM inspection, the area containing the 240 nm gratings is covered with 10 nm of molybdenum using sputter deposition. Next, sol-gel resist is applied again and a second alignment performed, after which the same grating pattern was imprinted over the first molybdenum coated gratings. Both imprints have thus been separately aligned towards the alignment markers imprinted on the bare substrate. The overlay error is determined in the SEM by measuring the offset between the first and second grating in two directions, see Fig. 3(a). Figure 3(b) shows the overlay error map for the five grating areas, assuming the first layer was perfectly aligned. The arrows indicate the misalignment for 5 positions per grating area of 2×2 mm and the square represents the average misalignment per grating area, with the scale indicated (100 nm scale bar). We determined the single layer overlay error to be 40 nm in the x-direction and only 6 nm in the y-direction. These results are obtained without active temperature control. The 40 nm error is related to particles contaminants on the alignment markers and on the top right grating area. This indicates the robustness of our process as we still obtain sub-10 nm alignment in y-direction. From the overlay alignment data we conclude that there is a minimum magnification error between the two imprints and that the misalignment and distortions are mostly due to particles contaminants. This accuracy has to our knowledge never been obtained using soft-stamp methods and the available literature shows overlay and magnification errors which are orders of magnitude larger.6-8 In conclusion, SCIL is a novel large-area nanoimprint method which provides robust patterning of sub-10 nm features and allows for sub-10 nm overlay accuracy.

[1] S.Y. Chou, et. al., J. Vac. Sci.Technol. B 14, 4129 (1996) [2] J. Haisma et. al. J. Vac. Sci. Technol. B 14, 4124 (1996) [3] H. Schift, J. Vac. Sci. Technol. B 26, 458 (2008) [4] L. Chen, et. al. J. Vac. Sci. Technol. B 23, 2933 (2005) [5] E. Delamarche, et. al., Adv. Mater. 9, 741 (1997) [6] J.A. Rogers, et.al., J. Vac. Sci. Technol. B16, 88 (1998) [7] S. Pagliara, et.al., Nanotechnology 18, 175302 (2007) [8] M.M.J. Decré, et.al., Mater. Res. Soc. Symp. Proc. m4.9.1 (2004)

200 nm(a) 100 nm(b)200 nm(a) 100 nm(b)

500 nm500 nm

Figure 2. SEM images of directly patterned silica sol-gel. (a) 25 nm wide, 100 nm high lines on a pitch of 150 nm. (10° out of plane). (b) 25 nm diameter, 100 nm high pillars on a 50 nm pitch. (image under 30°), the inset shows the sub-10 gaps between the pillars. (c) SCIL over a particle contaminant, the composite stamp conforms to the local topography and replicates the pattern on and directly around the particle.

Figure 3. (a) SEM image of two grating aligned and imprinted over each other. (b) Overlay alingment map of two SCIL imprinted layers.

(a)

(c)

(b)

Page 30: NNT 2010 Abstract Book

30

NNT 2010 Conference Program and Proceed-ing 1

Oral

A NOVEL MULTIFUNCTIONAL NANOIMPRINT RESIST SYSTEM BASED ON CATIONIC POLYMERIZABLE EPOXYSILOXANE

Haixiong Ge*, Jian Zhang*, Changsheng Yuan*, Wei Wu**, Qiangfei Xia**, Yanfeng Chen* * Department of Materials Science and Engineering, Nanjing University, 210093 P. R. China

** Hewlett-Packard Laboratories, Palo Alto, CA 94304, USA

Resist is one of the key issues of nanoimprint lithography (NIL) besides imprint mold, imprint machine and imprint process [1]. Although many resist formulations have been developed for NIL, there is still a continued need for novel resists to meet requirements of various NIL applications. In the present work we designed and synthesized a series of epoxysiloxane oligomers for curable nanoimprint resist via hydrosilylation of 4-vinyl-cyclohexane-1,2-epoxide with Si-H group functionalized siloxane precursor[2]. The viscosity and crosslinking density of the epoxysiloxane were easily controlled by employing siloxane precursors with varied molecular weight and Si-H functionality. A novel multifunctional curable resist based on a cationic polymerization mechanism was composed of the synthesized epoxysiloxanes and onium salt photoacid generator. The resist demonstrates excellent chemical and physical properties, such as insensitivity toward oxygen during curing, mechanical strength and flexibility, O2 reactive ion etching (RIE) resistance. Various nanopatterns (Figure 1) were faithfully duplicated onto the resist film via a UV-nanoimprint process. Making use of the living character of photo-generated cations after UV exposure and the relatively sluggish reactivity of epoxy groups to photo-generated cations at room temperature[3], we developed a UV-assisted thermal curing imprint process. The imprint process is shown in Figure 2. In contrary to previous time-consuming thermal curable resists, it only took less than 10s second for the novel epoxysiloxane resist to complete the thermal curing imprint process at a mild heating temperature.

A transfer underlying layer formed from a dual curable composition was employed not only for amplifying low aspect ratio imprinted patterns into high aspect ratio patterns through O2 RIE, but also for enhancing the adhesion of resist layer to substrate. The dual curable composition consisted of the resins with acrylate/epoxide groups based on free radical and cationic polymerization mechanisms, respectively. A thermoset film was first formed on the substrate through a UV-initiated radical polymerization of acrylate groups and epoxy groups were kept free of reaction due to lack of the corresponding initiation. In the later imprint curing stage, the unreacted epoxy groups on the transfer layer would polymerize with the same epoxy groups of the curable imprint resist layer and make the two layers chemically bonding. This strategy provided excellent adhesion of the low surface energy resist film to the substrate (Figure 3).

References: [1] "Imprint of sub-25 nm vias and trenches in polymers", Stephen Y. Chou, Peter R. Krauss, and Preston J. Renstrom, APL 67, 3114 (1995) [2] " The Synthesis, Characterization, and Photoinitiated Cationic Polymerization of Silicon-Containing Epoxy Resins", J. V. Crivello, J. L. Lee, J. Polym. Sci., Part A: Polym. Chem. 28, 479 (1990). [3] Y. Tanaka, R. S. Bauer, “Curing Reactions, Synthesis and Characteristics of Epoxides, EpoxyResins: Chemistry and Technology”, C. A. May, Ed., Marcel Dekker, Inc. New York (1988).

Page 31: NNT 2010 Abstract Book

31

Fig. 1 SEM images of 100 nm pitch grating and 100 nm pitch dot array imprinted in the resist

Fig. 2 Schematic illustration of UV-assisted thermal curing imprint process

Imprinted resist Area

a

Peel-off Area

b

Fig. 3 Microscope images of imprinted resist on (a) LOL transfer layer and (b) dual-curable

underlying Layer

Page 32: NNT 2010 Abstract Book

32

NNT 2010 Conference Program and Proceed-ing

Molecular Dynamic Simulations of Local Polymer Flow Masaaki Yasuda1,2, and Yoshihiko Hirai1,2

1Osaka Prefecture University, Sakai, Osaka 599-8531, Japan 2JST-CREST, Kawaguchi, Saitama 332-0012, Japan

Resolution of Nanoimprint has been achieved to single nanometers and molecular level resolution has been demonstrated1. Molecular dynamics (MD) simulation is one of the powerful methods to analyze molecular scale phenomena2.

In the study, we analyze molecular size effects of the resist filling process with MD simulation. The motions of PMMA polymers are calculated using the force field proposed by Okada et al.2. It consists of bond stretching, angular bending, torsion potentials, and nonbonding interaction including Lennard-Jones and Coulomb potentials.

The mold size dependence of required force to fill the mold cavity are investigated in various molecular weights. Required force increases with decrease in line width. It also increases with increase in molecular weight. For each molecular weight, a critical line width exists. Below the critical width, press force increases at a great rate. This critical width increases with increase in molecular weight.

Also, nano tribologcal investigations are also carried out in various side wall roughness of the molds.

1. F. Hua, et al.,: Nano Lett., 4, 2467 (2004). 2. J. -H. Kang et al., Toribol. Lett. 25, 93 (2007). 3. O. Okada et al., Comput. Theo. Polymer Sci. 10, 371 (2000).

Page 33: NNT 2010 Abstract Book

33

Page 34: NNT 2010 Abstract Book

34

NNT 2010 Conference Program and Proceed-ing

Topic No. 7 Preferred format: Oral

CHIP-SCALE SIMULATION OF RESIDUAL LAYER THICKNESS UNIFORMITY IN THERMAL NANOIMPRINT LITHOGRAPHY: EVALUATING STAMP CAVITY-HEIGHT

AND ‘DUMMY-FILL’ SELECTION STRATEGIES

Duane Boning*, Andrew Kahng**, Hayden Taylor*, and Yen-Kuan Wu** * Massachusetts Institute of Technology, USA ** University of California, San Diego, USA

e-mail: [email protected]

We use chip-scale thermal nanoimprint simulations to show that the addition of ‘dummy’ features to a realistic stamp design can substantially improve both residual layer thickness (RLT) uniformity and the completeness of stamp-cavity filling. We show that although an arbitrarily small average RLT can be obtained if stamp cavities are tall enough not to fill with resist, unfilled cavities do not necessarily guarantee minimal RLT variation — the quantity that is key to controlling critical dimensions of features that might subsequently be etched using the imprinted pattern as a mask.

To elucidate nanoimprint pattern dependencies, we have used our previously described fast simulation technique, which we have validated experimentally [1, 2], to model imprinting by a silicon stamp featuring long, parallel stripes of alternating protrusion density (Fig. 1). With increasing stripe-width W, the cavity-filling time scales initially as W2; for larger W, stamp deflections enable early cavity-filling near the center of lower-density stripes, and filling-time falls towards a value depending on the density-contrast, Δρ, between stripes. Meanwhile, the amplitude of spatial RLT variation decays exponentially with imprint-time. With polymeric stamps, which are ~100× softer than silicon, stamp deflections for a given pressure are larger and allow faster cavity-filling; RLT variation-decay then dominates.

We also find that an approximation to the cavity-filling time of a richer pattern is provided by extracting, for a few values of W, the maximal density difference Δρ between any two abutting W×W regions of the pattern, and summing the times that our model of Fig. 1 predicts for all (W, Δρ) pairs. This approximation tracks the simulated filling-times of randomly generated patterns within a factor of five across two orders of magnitude (Fig.2). Such an estimator of imprint-time could drive dummy-fill insertion by modifying existing algorithms (e.g. [3]).

To investigate dummy-fill insertion and cavity-height selection in a real design, we simulated the imprinting of the Metal-1 layout of an integrated circuit with a sea of custom-designed logic and a pad-ring. Protrusion densities and characteristic feature-diameters were extracted on a 3.75-µm grid. Our simulation technique captures both feature-size and -density dependencies, and can simulate the initial filling of cavities as well as subsequent homogenization of RLT as resist flows laterally.

If cavities are shallow enough to fill with resist during imprinting, using simple dummy-insertion to set the density of each grid-square as close as possible to the chip-average reduces the peak transient range of RLT by ~5 nm (Fig. 3, case A). Additionally, dummy-fill allows faster completion of cavity-filling. Meanwhile, if cavities are tall enough never to fill (cases B, C), average RLT naturally becomes smaller. If, however, stamp-average pressure is maintained at 5 MPa, the peak RLT range is twice as large as in the cavity-filling case. Even reducing the pressure by a factor of 10 results in an RLT range that is eventually ~3 nm greater than cavity-filling with dummy-fill. We conclude that, provided the etch-selectivity of the resist allows for an appreciable average RLT, uniformity may be best served by filling cavities and employing dummy-fill.

Word Count: 500

References: [1] H. Taylor and D. Boning, “Fast simulation of pattern dependencies in thermal nanoimprint lithography,”

presented at Nanoimprint and Nanoprint Technology Conf., San Jose, CA, USA, 2009. [2] H. K. Taylor and D. S. Boning, “Toward Nanoimprint Lithography-Aware Layout Design Checking,”

Proc. SPIE, vol. 7641, 764129, 2010. [3] A. B. Kahng, G. Robins, A. Singh and A. Zelikovsky, “Filling Algorithms and Analyses for Layout

Density Control,” IEEE Transactions on Computer-Aided Design, vol. 18, no. 4, pp. 445–462, Apr. 1999.

Page 35: NNT 2010 Abstract Book

35

Fig. 1 Simulation and model of the imprinting of parallel stripes of alternating protrusion density, ρ. A silicon stamp, a resist viscosity of 104 Pa.s, and a stamp-average pressure of 5 MPa are assumed. The stamp’s cavity height is chosen so that complete cavity-filling occurs with an average RLT of one-third the mask regions’ average thickness. Times for cavities to fill and for RLT range to fall to <8.2 nm are separately modeled. Other RLT uniformity specifications could be modeled. Here, individual stamp-protrusions are far smaller than W and their size does not substantially affect simulated times.

Fig. 2 Density patterns were randomly generated and cavity-filling times simulated. Maximal density gradients were extracted from each pattern at three length-scales: 32, 64 and 128 µm. The sum of the filling times predicted by the model of Fig. 1 for the extracted densities at each of the three length-scales agrees with simulated filling time to within a factor of five, spanning two orders of magnitude.

Fig. 3 Simulations of RLT evolution for the imprinting of a 940 µm-square Metal-1 pattern with a minimum feature size and initial resist thickness of 45 nm. Three cases are considered: (A) cavity relief 45 nm; stamp-average pressure, p0 = 5 MPa; (B) cavities too tall to fill; p0 = 5 MPa; (C) cavities as case B; p0 = 0.5 MPa. For each case, results with (dashed lines) and without (solid lines) density-homogenizing dummy-fill are shown. The tightest eventual RLT range is obtained for case A with fill. Stamp material: Si. Resist viscosity: 104 Pa.s.

Page 36: NNT 2010 Abstract Book

36

NNT 2010 Conference Program and Proceed-ing

Mechanical proximity correction using the NIL Simulation Suite

David-A. Mendels, François MendelsCognoscens, Lyon, France

Morten Mikkelsen, Thomas Buß, Anders KristensenDTU-Nanotech, Lingby, Denmark

This paper introduces the use of novel simulation software solutions to optimize the hot em-bossing process step of Nanoimprint lithography (NIL) [1], through tailoring of the stamp geometry.The aim is to increase the homogeneity of the residual layer thickness (RLT), which is controlled by the interplay between stamp bending and resist viscosity [2]. Time and eco-nomic constraints to produce stamps and test a variety of processing conditions warrant the use of simulation tools to resolve both cavity filling and RLT homogeneity.

The NIL Simulation Suite (NSS 1.0) [3] is used to simulate the spatial distribution of the re-sidual layer after imprinting. Since its inception, it has been successfully used to optimize the processing parameters (pressure, temperature, time) for various micro and nanostructures. One usual solution to reduce stamp bending is to increase the temperature and decrease the pressure of the imprint to ease the flow under the protrusions and level the imprint rate across the scales. A typical trade-off is however the increase of imprint time. One alternative solu-tion is the introduction of stamp levelling structures (SLS), also known as "dummy" struc-tures, used to homogenize the sinking speed of the stamp. This is achieved routinely by con-sidering the stamp backside displacement: the contour of the region where the stamp has sunk should be homogeneous over the whole pattern (red to dark red in our representation) in order to obtain an homogeneous RLT. An iterative strategy follows 3 steps:

• approximate the contour of the regions of interest by a polygon• adjust the size and position of the polygon to minimize the variability of RLT• correct for interactions with previously introduced polygons

Two main cases are encountered in practice: i- the RLT is substantially decreased by intro-ducing SLS, and ii- it is impossible to reduce the RLT in absolute value, but its variability can be reduced. The latter case is considered here. Figure 1 shows an original structure, that of an opto-fluidic laser device [4], and the modified pattern after optimization. To model this struc-ture, the nanometer scale regions are effectively replaced by representative elements match-ing their effect on stamp bending. A standard process, full embossing of mr-I 7030E at 160 and 1.5MPa for 5 minutes is simulated.Figure 2 shows the result of the optimization process, conducted under the constraint that the light path of the laser is not altered. Due to the asymmetry of the structure, it is not possible to reduce the RLT in absolute value, but its variability can be further optimized. Because of the small lateral dimension of the microfluidic channels relative to the other patterns and their spatial environment, the degrees of freedom in modifying the pattern are limited, and the RLT has to be increased in order to reduce its variability. The optimal structure is obtained by the addition of 9 structures and the modification of the dimensions of 4 other structures. As shown in Figure 2, the optimized pattern enable to decrease the variability of the RLT along the device working axis from 15nm to 10nm, and to make it monotonous.

This work was supported by the EC-FP7 ‘NaPANIL’ project.

Page 37: NNT 2010 Abstract Book

37

P69: Polymer Stamp Imprinting in A Desktop NIL Tool Using Flexible Stamps: Kristian Smistrup, NIL Technology Aps; andrej Mironov, Technical University of Denmark; Brian Bilen-berg, NIL Technology Aps; Theodor K Nielsen, NIL Technology Aps; anders Kristensen, Techni-cal University of Denmark

References[1] S.Y. Chou, P.R. Krauss, and P.J. Renstrom. Appl. Phys. Lett., 67:3114–3116, 1995.[2] R.H. Pedersen, L.H. Thamdrup, A.V. Larsen, A. Kristensen, D.-A. Mendels, “Quantitative Strate-gies to Handle Stamp Bending in NIL”, in NNT’08, October 13-15, 2008, Kyoto.[3] NIL Simulation Suite v1.0, 2010, http://www.cognoscens.com[4] T. Buß, M. B. Christiansen, C. L. Smith, and A. Kristensen, "Liquid Crystal Tunable Photonic Crystal Dye Laser," in Conference on Lasers and Electro-Optics, OSA Technical Digest (CD) (Optical Society of America, 2010), paper CWM6.

100 μm

157 nm

80 nm

356 nm

180 nm

3 μm8 μm

100 μm

Figure 1: Original pattern with a 40× zoom on the photonic crystal and Bragg grating super-elements (left), and optimized pattern, where dark grey areas are added SLS, and white areas are al-tered shape(right. The laser light is injected from the top, along the vertical axis

Figure 2: Simulation results obtained by the NSS for the original (top) and optimized (bottom) pat-terns. The left picture is a surface map of the imprinted resist after demolding, the middle picture the corresponding stamp backside displacement, while the plot on the right is the surface profile along the vertical direction indicated by the dashed line

200 300 400 500 600 700Distance Along Y-Axis (μm)

0

0.01

0.02

0.03

0.04

0.05

Resid

ual L

ayer

Thi

ckne

ss (μ

m)

100 200 300 400 500 600Distance Along Y-Axis (μm)

0

0.01

0.02

0.03

0.04

0.05

Resid

ual L

ayer

Thi

ckne

ss (μ

m)

GDS v. 3 , mod. 110-6-3, E6_THBU_Liquid_Crystal_Laser_LEDIT_13.1.0GDS v. 3 , mod. 110-6-3, E6_THBU_Liquid_Crystal_Laser_LEDIT_13.1.0

GDS v. 3 , mod. 110-6-3, E6_THBU_Liquid_Crystal_Laser_LEDIT_13.1.0GDS v. 3 , mod. 110-6-3, E6_THBU_Liquid_Crystal_Laser_LEDIT_13.1.0

15 nm

10 nm

Page 38: NNT 2010 Abstract Book

38

NNT 2010 Conference Program and Proceed-ing

Contribution of optical scatterometry in nanoimprint lithography

I. Gereige1, D. Pietroy

1, C. Gourgon

1, A. Schleunitz

2,

1Laboratoire des Technologies de la Microélectronique CNRS

17, rue de martyrs (c/o CEA Grenoble), 38054 Grenoble, France 2Laboratory for Micro- and Nanotechnology, Paul Scherer Institute

5232 Villigen PSI, Switzerland

Optical scatterometry has shown a great potential for one dimensional imprinted gratings

profile shape control. It has been commonly used in reflection mode in the case of non

transparent substrate. In the first part of our work we will focus on the characterization of

bi-dimensional imprinted gratings. Experimental verification is performed on a 500 nm-

period resist grating of rounded pillars imprinted on silicon substrate, as it is shown in the

SEM image of Figure 1. In the same figure, we report the characterization result achieved

using optical scatterometry: Experimental data measured using spectroscopic

ellipsometry are compared to simulated data resulting from scatterometry

characterization. Other experimental application is performed on a tier PMMA grating

imprinted on silicon substrate. These structures are realized using E-beam lithography

with modulated doses. In this case, we deal with multi-angle scatterometry providing

more information about the profile shape.

On the second part, we will report on the characterization of imprinted structures on

transparent substrate. The main limitation in this case is the reflection of the light on the

backside of the substrate which can be added to the detected signal. To overcome this

problem, substrate should be thick enough in order to shift the undesirable reflection. One

can also proceed by roughening the backside of the substrate and eliminate the second

reflection by diffusion. However, this solution is destructive. The alternative solution we

propose is the use of transmission scatterometry without any sample preparation or

modification. The simple scheme of the setup is given in Figure 2.

Figure 1: (left) Cross-sectional view of a rounded pillar imprinted grating in silicon substrate.

(middle) Experimental data versus simulated ones obtained by scatterometry using a nonlinear

optimization algorithm and rigorous coupled-wave analysis. (right) Comparison of geometrical

parameters obtained with scatterometry and SEM analysis (R, H and hr are the pillar radius, the

height and the residual thickness, respectively).

Scattero. SEM

R (nm) 84.6 ±2 85

H (nm) 232.7 ±5.3 240

hr (nm) 206.8 ±1.3 200

Scattero. SEM

R (nm) 84.6 ±2 85

H (nm) 232.7 ±5.3 240

hr (nm) 206.8 ±1.3 200

200 nm

170 nm240 nm

Page 39: NNT 2010 Abstract Book

39

Figure 2: Experimental setup of the transmission-mode scatterometry

Ellipsometric measurements were performed on a 250 nm dense lines resist grating

imprinted on a fused silica substrate. A SEM image of the structure is shown in Figure 3

(left). Figure 3 (right) compares experimental measurements (points) and simulated data

obtained by scatterometry (solid line) using an algorithm based on a rigorous coupled-

wave analysis. Table 1 gives the geometric parameters of three structures retrieved using

scatteromtery. The SEM image given in Fig. 3 corresponds to the sample 1 in Tab. 1.

These structures were imprinted in thermoplastic polymer on a fused silica substrate

using NIL with the same mold. Only the initial resist thickness was different which

involves different residual thickness (denoted hr in Table 1). But as the mold used was the

same, the retrieved grating-parameters (the line dimension CD, the lateral slopes α and

the grating depth H) remain quite identical in the three cases. Residual thickness values

are in good agreement with SEM characterization and the analytical ones calculated using

the mass conservation law. This work will also deal with a comparison between reflection

and transmission scatterometry. We will also discuss some limitations and cautions on

the use of specific materials which can be absorbent within a given wavelength range.

Figure 3: (left) Cross-sectional view of 250 nm dense lines imprinted resist grating on fused silica

substrate. (right) Comparison between experimental signal and simulated one obtained using optical

scatterometry

Sample 3Sample 2Sample 1

10.510.810α (α (α (α (°°°°))))

206209.3205.8CD (nm)

168.9172.3168.6H (nm)

42.169142.6hrexp (nm)

Sample 3Sample 2Sample 1

10.510.810α (α (α (α (°°°°))))

206209.3205.8CD (nm)

168.9172.3168.6H (nm)

42.169142.6hrexp (nm)

Table 1 : line dimension CD, grating depth H, residual thickness hr and lateral slopes α retrieved

using transmission scatterometry in the case of a grating imprinted in NEB photoresist on a fused

silica substrate by NIL using the same mold and three different initial resist thicknesses.

This work is a contribution of the Laboratoire des Technologies de la Microélectronique

UMR-CNRS 5129 to the Nanopatterning, Production and Applications of Nano-

Imprinting Lithography (NaPANIL) European project.

Source DetectorSource DetectorSource Detector

Page 40: NNT 2010 Abstract Book

40

NNT 2010 Conference Program and Proceed-ing

Page 41: NNT 2010 Abstract Book

41

Page 42: NNT 2010 Abstract Book

42

NNT 2010 Conference Program and Proceed-ing

Page 43: NNT 2010 Abstract Book

43

Page 44: NNT 2010 Abstract Book

44

NNT 2010 Conference Program and Proceed-ing

Topic No. 5

Oral

STEP AND REPEAT NANOIMPRINT OF 20 NM LINE AND SPACE PATTERN

BY USING POLYMER REPLICA STAMP

Duhyun Lee*, Byung-Kyu. Lee*, Woong Ko*, ChangMin Park**, Jeongho Yeo**,

ChangJung Kim* and U-In Chung*

* Samsung Advanced Institute of Technology, Samsung Electronics, Rep. of Korea

** Semiconductor R&D Center, Samsung Electronics, Rep. of Korea

[email protected]

In this study, 20 nm line and space pattern in Si wafer was successfully replicated with UV-

curable polymeric material. The replica stamp was used for step and repeat nanoimprint

lithography (NIL) on 300 mm Si wafers and the pattern quality was evaluated.

The quartz stamp has been generally used for step and repeat UV-NIL due to its high pattern

fidelity and durability. But it has some drawbacks such as limited pattern shape and high cost.

In contrast, the polymer replica stamp is good to replicate wide variety of pattern in low cost.

Hence the replica stamp can be a successful candidate for semiconductor application if some

issues in it can be addressed. Among them, this study focused on addressing the issues in

resolution limit, durability and defectivity in polymer replica stamp.

Fig. 1 shows the replication and imprint process of this study. 20 nm master pattern was

fabricated on Si wafer by using the e-beam lithography, dry etching and subsequent release

layer coating. The pattern was replicated onto quartz plate which is loadable into step and

repeat NIL tool as a stamp. A UV-curable organic inorganic hybrid polymer was used for

stamp material and a release layer was applied onto the surface of replica stamp. In step and

repeat UV-NIL, the shot field was 15 mm X 15 mm and 188 shots were imprinted on a 300

mm Si wafer. Scanning electron microscope (SEM) and optical inspection tool (pixel size 155

nm) were used for line width measurement and defect counting respectively.

Fig. 2 shows the 20 nm line and space pattern of Si master and imprinted resist pattern. The

line width (LW) and line width roughness (LWR) of Si master was 25.4nm and 2.8nm

respectively. In case of imprinted pattern, LW=26.1 nm and LWR=1.8 nm were obtained. The

result showed good pattern fidelity and enhanced LWR. By using the imprinted resist pattern,

the underlying silicon nitride layer was dry etched and it showed LW= 21.4 nm and increased

LWR which is due to the insufficient etch margin in resist layer.

The line width trend measured from about 400 shots showed linear decrease in line width (Fig.

3 (a)). The slope is 0.87 nm/100 shots. It means gradual degradation of the release layer of

replica stamp and the resultant LW change in NIL pattern. And this degradation again

resulted in the defects in imprinted pattern (Fig. 3 (b)).

In conclusion, we could replicate and imprint the 20 nm line and space pattern. But release

layer of replica stamp showed poor durability and resulted in high defectivity. Thus the self-

release material must be considered for better performance of replica stamp.

Word Count: 439

Page 45: NNT 2010 Abstract Book

45

Fig. 1 Process flow for master fabrication, stamp replication and nanoimprint.

Fig. 2 SEM images for Si master, NIL pattern and etched pattern of 20nm line and space.

Inset shows the atomic force microscopy image of replica stamp.

Fig. 3 (a) line width change during NIL (about 400 shots). (b) defect change during NIL (188

shots)

(a) (b)

Page 46: NNT 2010 Abstract Book

46

NNT 2010 Conference Program and Proceed-ing

UV-NIL research for 20 nm level ULSI manufacturingHiroshi Hiroshima1, 2

1National Institute of Advanced Industrial Science and Technology (AIST),AIST East 1-2-1 Namiki, Tsukuba, Ibaraki, 305-8564 Japan

2JST-CREST, 5 Sanbancho, Chiyoda-ku, Tokyo, 102-0075 [email protected]

UV nanoimprint lithography is a promising candidate of the next generation lithography for ULSI manufacturing. For example, UV nanoimprint named S-FIL employing inkjet UV curable resin supplying is closer to practical use in ULSI manufacturing [1]. However, in the ULSI manufacturing, current UV nanoimprint faces two major issues to be solved; one is throughput and the other is defect density. In the project “Research and Development on Process Science and CD Control in High-Throughput UV Nanoimprint” (the period is 5.5 years and the budget is 3.5 million dollars) led by Prof. Shinji Matsui, the issues are attacked with unique methods which may bring a breakthrough in the UV-NIL field. One of the adopted core technologies is using pentafluoropropane (PFP) in UV nanoimprinting [2]. The method, UV nanoimprint in PFP exerts good impact on both issues.

Pentafluoropropane (1,1,1,3,3-pentafluoropropane, CHF2CH2CF3, HFC-245fa, CAS No. 460-3-1) is nonflammable and low toxicity material with boiling point of 15.3°C. As can be expected, the vapor pressure of PFP at room temperature is slightly higher than the atmospheric pressure, and 0.15 MPa. When air is trapped in mold recesses during UV nanoimprinting process, the trapped air volume is decreased with increasing the pressure. The increased pressure of trapped air enhances dissolution rate in UV curable resin but also prevents intrusion of resin into the trapped air space. When UV nanoimprint is carried out in PFP, the PFP gas is instantly condensed (liquefied) and the PFP volume becomes 1/200 by changing from the gaseous state to the liquid one. The trapped PFP hardly obstruct resin intrusion and thus filling process is instantly completed, since the PFP gas pressure cannot exceed 0.15 MPa. The method is valid to any UV curable resin since the mechanism is based not on the relation between PFP and resin but on PFP property itself. No trace of bubbles has been observed in the system PFP and UV curable resin PAK-01. The liquefiedPFP may be dissolved in PAK-01. (But this phenomenon may be relevant to UV curable resin.) Furthermore, it was found that PFP has a useful side-effect that the release force in mold detachment is reduced. The former effect improves throughput and reduces non-fill defects, and thelatter reduces plug defects.

Time evolution of recess filling with UV curable resin during UV nanoimprint carried out in air and in PFP at an imprint pressure of 0.5 MPa are shown in Fig. 1 and Fig. 2, respectively, where a 290 mm square recess with a depth of 150 nm is filled with UV curable resin PAK-01 spin-coated with a thickness of 100 nm. Since a larger pattern is imprinted in a thinner resin thickness requires alonger imprint time for complete filling, the process conditions are quite severe for UV nanoimprinting. Therefore, the trapped air reduced in volume with time but resin filling was not completed in 600 s (Fig. 1). In contrast, resin filling was completed in 0.6 s when PFP ambient wascreated in the imprinting space by blowing at a PFP flow of 2.5 L/s (Fig. 2). The applicability of UV nanoimprint in PFP to tens of nm patterning is demonstrated in Figs. 3 and 4, where 45 nm line and space patterns are UV nanoimprinted in a 108 nm thick spin-coat PAK-01 film. For UV nanoimprint in air, not only bubbles were created (Fig. 3(a)) but also fabricated lines were not uniform even in the area without bubbles (fig. 4(a)). For UV nanoimprint in PFP, uniform patterning was confirmed by the optical (Fig. 3(b)) and SEM (Fig. 4(b) inspections.[1] M. Colburn et al., Proc. SPIE 3676 (1999) 379.[2] H. Hiroshima and M. Komuro, Jpn. J. Appl. Phys. 46 (2007) 6391.

Page 47: NNT 2010 Abstract Book

47

Figure 1. 290 µm square patterns fabricated by UV nanoimprint in air. The trapped air in a 290 µm square mold recess could not be dissolved in UV curable resin PAK-01 in 600 s.

Figure 2. In situ observation of a 290 µm square mold recess filled with UV curable resin PAK-01 for UV nanoimprint in PFP. UV curable resin filling was completed in only 0.6 s.

Figure 3. Optical microscope images of 45 nm line and space patterns fabricated by UV nanoimprint (a) in air and (b) in PFP.

Figure 4. Scanning microscope images of 45 nm line and space patterns fabricated by UV nanoimprint (a) in air and (b) in PFP.

(a) (b)

(a) (b)

Page 48: NNT 2010 Abstract Book

48

NNT 2010 Conference Program and Proceed-ing

Topic No. 10 Oral

commercial Applications of roll-to-roll nanoimprinting and large area holographic patterns

L. S. Chen **Institute of Information Optical Engineering, University of Soochow, Peoples Republic of

China **SVG Optronics Corp., Peoples Republic of China

The ability to fabricate structures from the micro- to the nanoscale with high precision in flexible plastic materials is of crucial importance to the application t of micro- & nano-technology and nanoscience.

The Institute of Information Optical Engineering (IIOE) and the SVG Optronics Corps combine a globally unique product portfolio of micro&nano optics, polymer optics, digitalized lithography systems. These synergies enable us, as a leading optics provider in China, to develop and produce flexible optical modules and pattern systems to enhance our customers’ competitive strengths. This lecture covers our proprietary roll-to-roll nanoimprinting technique, with an emphasis on the fabricaton of imprinting mold, reliable seamless nanoimprinting equipment and commercial application of our unique techniques.

The pattern technique developed enable the production of almost any desired micro- & nano- structures. Figure 1 shows our proprietaty optical interference head and the 600mmx800mm format systems. The incident beam is split by a binary silicon grating, which has the advangtage of vibration cancellation. The MicrolabTM pattern generator (as shown in Fig. 2), based on spatial light modulator (SLM), is a parallel maskless lithogaphy approach with ~280nm resolution. We proposed a novel seamless hot-embossing technique with a dimension size of 1100mm and a high efficiency to 60m/min, as illustrated in Fig. 3. It can provide large-area seamless imprinting without resorting to high pressures and temperature. The expertise ensures the reliable fabrication and replication of high-quality optical components for packaging, inkless printing, micro- & nano optical film used in the field of packaging & security, flat panel display & MEMS, lighting & energy, flexible optoelectronics & biology (Fig. 4).

Page 49: NNT 2010 Abstract Book

49

Fig. 3 The seamless hot embossing system.

Fig. 1 The proprietary interference technique. (a) The interference optical head; (b) Photo of theHoloscanTM.

(a) (b)

Fig. 2 The SLM-based parallel lithogpahy system. (a) Schematic diagram of the optics of the system ; (b) Photo of the MicrolabTM.

(a) (b)

(c) (d) (e) (f)

(a) (b)

Fig. 4 Some fabricated samples: (a) Post stamp for Beijing 2008 Olympic; (b) Packaging material (Optical variable image) fabricated by holographic interference; (c) Light guide film used in back light unit and (d~f) SEM photos of microlens array, microcup and micro icon arrays.

Page 50: NNT 2010 Abstract Book

50

NNT 2010 Conference Program and Proceed-ing Topic No. 10

Poster

ROLL-TO-ROLL NANOIMPRINTED BACKLIGHT DEVICE

T. Mäkelä*, T. Haatainen*, J. Ahopelto*, J. Oravasaari, T. Tuohioja**, K. Rinko** *VTT Microsystems and Nanoelectronics, P.O. Box 1000, FIN-02044 VTT Espoo, FINLAND

**Modines Ltd, FI-00150 Helsinki, FINLAND

Roll-to-roll nanoimprinting (RRNIL) or roll-to-roll hot embossing is relatively simple high volume manufacturing process which leads to low-cost devices. Thermal RRNIL process requires a bendable stamp, flexible substrate and roll-to-roll printing machine. The potential of RRNIL on flexible polymer films has been studied actively in the last few years and demonstrated e.g. in refs. [1-7].

In this work we demonstrate the fabrication of backlight device on 50 mm wide and 95 micron thick cellulose acetate (CA) web (from Clarifoil). Optical binary grating design and Ni-mold were produced by Modines Ltd. The fabrication process for flexible Ni-master is shown e.g. in ref. [8]. The size of backlight structure is 30 mm x 60 mm consisting a blocks of 5 micron wide cavities as shown in Fig. 1. More than 500 devices as printed on CA-film in continuous roll-to-roll process. Printing speed was varied from 0.1 to 1.0 m/min when pressure were maximized at 118 oC, which is close to the glass transition temperature(125 oC) of CA film. [9]. The pressure of ca. 20 MPa was applied in the process. These parameters were optimized for continuous printing process. Higher temperatures or pressures can lead to a break of the web, which prevents continuous processing. In this process we have used a custom made nanoimprinter device [10].

The quality of the roll-to-roll imprinted binary gratings was analyzed by a number of printed devices. The depth and the shape of the binary grating can be seen in Fig. 2 which shows a relatively good pattern replication. The structure depth in the Ni-mold is 1.5 micron, while the structure depth is 1.2 micron in the CA-web respectively. No major changes can be seen among first 100 printed structures. The shape and dept of the printed structures proves also, that Ni-stamp can be used at least 100 times without damages. In the experiments no anti-adhesion treatment was used. The structures have been analyzed using optical microscopy, confocal microscopy and AFM.

The partial support of the EC-funded project NaPANIL (Grant Agreement no 29759) is gratefully acknowledged. The content of this work is the sole responsibility of the authors.

References: [1] S-M. Seo, Tae-il Kim, Hong H. Lee, Microelectronic Engineering 84 (2007) 567–572 [2] T. Mäkelä, T. Haatainen, P. Majander and J. Ahopelto, Microelectr. Eng. 84 (2007) 877 [3] T. Mäkelä, T. Haatainen, P. Majander, J. Ahopelto and V. Lambertini, Jpn. J. Appl. Phys. 47 (2008) 5142-5144[4] C-Y. Chang, S-Y. Yang and M-H.Chu, Microelectr. Eng. 84 (2007) 355 [5] S. Kim and S. Kang, J. Phys. D: Appl. Phys. 36 (2003) 2451–2456 [6] J. Lee, S. Park, K. Choi and G. Kim, Microelectron. Eng. 85 (2008) 861 [7] S. H. Ahn and L. Jay Guo, Adv. Mater. 20 (2008) 2044–2049 [8] T. Haatainen, P. Majander, T. Riekkinen, J. Ahopelto, Microelctron. Eng. 83 (2006) 948 [9] http://clarifoil.com [10] T. Mäkelä & Al., The Fifth International Nanoimprint and Nanoprint Technology Conference, NNT'06, San Francisco, 15.-17.11.2006 (2006)

Page 51: NNT 2010 Abstract Book

51

Fig. 1 Roll-to-roll printed backlight binary grating. Backlight consist a blocks with a 5 micron width and 1.2 micron depth cavities. One backlight device consists more than 1000 blocks.

Fig. 2 AFM analyses show good replication of grating structures. After 100 printed (30 mm x 60 mm) structures on cellulose acetate web no changes can be seen.

10 µm

Page 52: NNT 2010 Abstract Book

52

NNT 2010 Conference Program and Proceed-ing

Topic No. 1 Poster

ROLLER IMPRINT SYSTEM COMBINED WITH REEL-TO-REEL FEEDING DEVICE

Harutaka Mekaru1,2, Akihiro Ohtomo1,3, Hideki Takagi1,2, Mitsunori Kokubo1,3, and Hiroshi Goto1,3

1Macro Bio-Electromechanical-Autonomous-Nano-System (BEANS) Center, BEANS Laboratory, JAPAN

2National Institute of Advanced Industrial Science and Technology (AIST), JAPAN 3Toshiba Machine Co., Ltd., JAPAN

We are developing a large-area display and a wearable health checker by alternate weaving of smart fiber that comprises an electrical circuit and MEMS structure on its surface. Last year, in an exploratory work we successfully roller-imprinted coil and comb actuator patterns on a cylindrical plastic fiber[1], [2]. The plastic fiber was sandwiched between a plane mold and a buffer sheet, where the mold pattern was transferred onto the fiber by horizontally moving the plane mold. Based on these results, we have now developed a reel-to-reel process system combined with a roller-imprint mechanism that slides a plane mold.

Figure 1 shows a process flow for roller-imprinting on the surface of a plastic fiber using plane molds. The plastic fiber is stringed between two plane molds fixed to upper and bottom loading stages, where the fiber is rolled by applying sliding motions to the two molds in opposite directions. Figure 2 is a photograph of the press stages of the reel-to-reel process system. Electroformed-Ni molds with a size of 15×15×2 mm3 were fixed to the upper and bottom Al loading stages. A plastic fiber was placed on the center of the mold pattern area. Figure 3 shows an external view of the reel-to-reel system. The size of this system is 3.6 m in length, 1 m in width, and 1.75 m in height. The maximum contact force and heating temperature are set as 300 N and 250 °C, respectively.

For this experiment, we selected a plastic optical fiber CK-10 (Mitsubishi Rayon Co., Ltd.) which comprised a 240-μm-diameter polymenthyl methacrylate (PMMA) core coated with a 5-μm-thick fluorine resin clad to serve as a molding material. The contact force, heating temperature, and contact time were set at 12 N, 50 °C, and 0.5 s respectively. After a feeding length had been set to 16 mm, the roller imprinting was executed continuously for 100 times. Figure 4(a) shows an imprinted plastic fiber. The discolored segments pointed by the white arrows in the figure are the parts where mold patterns were transferred by the roller-imprinting. The imprinted patterns from the first and the hundredth imprinting, as measured by a five line confocal microscope Optelics S130 (Lasertec Corp.) are shown in figs. 4(b) and 4(c), respectively. Patterns with 5 μm diameter circular dots and 10 μm pitch were imprinted clearly. The height of the convex mold pattern was 3.4 μm, and the maximum depth of the concave imprinted pattern was 3 μm. The irregularity on the surface of the plastic fiber that existed before the imprinting was also observed. In this roller imprint process, one single cycle time was 14.2 s and the total process time was roughly 24 min. We succeeded in intermittent roller-imprinting on a plastic fiber of 1 m length or more. This demonstrates feasibility of reel-to-reel roller-imprinting.

References: [1] “Fiber Imprinting to Develop On-Fiber-Devices”, H. Mekaru and M. Takahashi, Abstracts of NNT 2009, C28 (2009). [2] “Thermal roller imprint on surface of Teflon perfluoroalkoxy inlet tube”, H. Mekaru, E. Fukushima, Y. Hiyama, and M. Takahashi, J. Vac. Sci. Technol. B27, 2814 (2009).

Acknowledgement: This work was supported by the New Energy and Industrial Technology Development Organization (NEDO).

Page 53: NNT 2010 Abstract Book

53

Fig. 1 Process flow of roller imprint on a plastic fiber by a plane mold.

Fig. 3 Reel-to-reel imprint system.

(b)

(c) 100 μm

100 μm

(a)

15 mm

Fig. 4 (a) photograph of imprinted plastic fiber; and optical micrographs of dotted patterns at (b) (c) show the first and 100th roller imprint results.

(a) Setup for imprinting

(b) Roller imprinting

Plastic optical fiber

Electroformed-Ni molds

Fig. 2 Photographs of the press mechanism: (a) before imprinting, and (b) during the roller-imprinting.

Page 54: NNT 2010 Abstract Book

54

NNT 2010 Conference Program and Proceed-ing

Direct Metal Contact Printing Lithography for Patterning Sapphire Substrate and Enhancing Light Extraction Efficiency of Light-Emitting Diodes

Yung-Chun Lee and Yi-Ta Hsieh Department of Mechanical Engineering, National Cheng Kung University

Tainan, Taiwan

Patterned sapphire (Al2O3) substrates (PSSs) are widely used in light-emitting diodes (LEDs) for enhancing their light extraction efficiency and hence obtaining higher brightness and power efficiency. Currently, majority of PSSs in LED industries are fabricated using conventional photolithography with a pattern feature size larger than 1 um. Although abundant evidences have pointed out sub-micrometer PSSs can further improve optical performance of LEDs, fabrication of sub-micrometer PSSs at an industrial level is still hard to achieve even using nano-imprinting and/or nano-printing methods. One important reason is because sapphire is a very tough material and photo-resist (PR) materials cannot serve well as the etching mask during ICP etching on sapphire.

In this paper, we report a novel metal-film contact printing lithography which can directly transfer patterned metal films (Cr or Ni) to the top surface of a sapphire substrate, and then use the transferred metal pattern as the etching mask for subsequent ICP dry etching on sapphire. Since metallic materials have a relatively low etching rate as compared to sapphire, one can acquire a much deeper etching depth on sapphire with a thin metal layer, and the feature size can easily reach sub-micrometer or even nanometer scale.

Figure 1 shows schematically the detail steps in applying the direct metal contact printing lithography to the fabrication of sub-micrometer PSSs. Following the approaches as shown in Fig. 1(a) to 1(c), a 2” sapphire wafer is fully patterned with a metallic layer (150 nm of Cr) using the direct metal transfer method. A photo of the metal-patterned 2” sapphire substrate is shown in Fig. 2(a). The dot-array metallic patterns are then used as the ICP etching mask for sapphire. Figure 2(b) shows the SEM image of fabricated pillar structures on sapphire surface which is 600 nm in diameter, 1.2 um in pitch, and 400 nm in height. On the other hand, if a thinner metallic layer is intentionally used, different 3D sapphire surface structures can be obtained. For example, Fig. 3(a) and 3(b) show that, using a 70 nm thick Cr layer as the etching mask, one can obtain round head pillar structures since Cr film is gradually consumed is the ICP etching process.

These fabricated PSSs have been used for fabricating GaN-based LEDs. The optical performance has been measured. It is found out that the LED output light power is inversely proportional to the feature size of PSSs. For a PSS having a 400 nm diameter pillar surface structures, a 25 % increase of light extraction is observed. Experimental details will be addressed as well as potential applications and impacts to current LED industries.

Page 55: NNT 2010 Abstract Book

55

Fig. 1. Schematic diagram of direct metal contact-printing lithography for fabricating PSS.

Fig. 2. (a) A photo of a metal-layer patterned 2” sapphire substrate, and (b) SEM image of the obtained pillar structures on sapphire substrate surface after ICP etching.

Fig. 3. Patterned sapphire substrate with 3D surface structures, (a) top view SEM image, (b) tilted 3D view SEM image, and (c) profiles measured by AFM.

(a). Preparing mold and substrate

Silicon mold Releasinglayer

Metallayer

Polymer layer

Sapph

Silicon

sapph

(b). Loading pressure and heating

Silicon

sapphi

Transferred MetalPattern

sapphir

(d). RIE and ICP Etching

(c). Removing mold

sapphi

(e). Lift off

Page 56: NNT 2010 Abstract Book

56

NNT 2010 Conference Program and Proceed-ing

Light Extraction Efficiency Improvement of Blue GaN Light Emitting Diode Using Nanoimprinted Patterns on Sapphire Substrate

Chao Wang, Hao Chen, and Stephen Y. Chou∗

NanoStructure Laboratory, Princeton University, USA GaN based Light-emitting diodes (LEDs) are receiving great interest as candidates for next-generation solid state lighting 1. However, the low light-extraction efficiency (LEE), which is caused by small light escape cone at the GaN/air interface, is limiting the realization of high-power and high-efficiency LEDs. Among the methods to enhance LEE, patterned sapphire substrate (PSS) is very promising for increasing light scattering 2. Different from previous studies using micro-scale PSS 2, 3, we demonstrate the fabrication and over 70% light extraction enhancement of blue GaN LEDs on lithographically nanostructured sapphire substrates (LNSS) by nanoimprint lithography 4 and anisotropic wet etching of sapphire. The nano-patterning of sapphire include the following steps (Fig. 1): (a) (0001)- sapphire wafer was cleaned; (b) 100 nm SiO2 was deposited by plasma enhanced chemical vapor deposition (PECVD), and then patterned into 200 nm pitch pillars (~80 nm size) and 1 μm pitch bars (~220 nm wide, ~780 nm long) by nanoimprint lithography and RIE; (c) Sapphire was wet-etched in H2SO4:H3PO4 (3:1) to get 55 nm and 270 nm depth for 200 nm and 1 μm pitch samples, respectively; (d) SiO2 mask was stripped by HF. The scanning electron micrographs (SEM) of the LNSS (Fig. 2a and 2c) show well defined crystal planes after the anisotropic etching. Then a GaN LED structure was grown on LNSS (Fig. 2b and 2d) by metal-organic chemical vapor deposition (MOCVD). In LED devices fabrication, a PECVD SiO2 layer was deposited and patterned on GaN as the etching mask (Fig. 3a-b), and GaN mesas were etched by inductively-coupled plasma (ICP) to expose N-GaN regions (Fig. 3c). After surface cleaning and SiO2 stripping, Ni/Au was deposited on P-GaN mesas by photolithography and lift-off, and annealed in air to form ohmic P-contact pad, and Ti/Al/Ti/Au was patterned on the etching-exposed N-type GaN and annealed in N2 to form N-metal (Fig. 3d). The GaN LED devices have 350×350 μm2 P-type GaN mesas, 90×90 μm2 P-contact area, and surrounding N-type contacts (Fig. 3e). The enhancement of LEE was observed in light output power measurement, which collects the light through a lens and sends the light to a detector. We observed that the LEDs on LNSS with 200 nm pitch (80 nm wide) mesas (Fig. 4b) had an light output 70% higher than the LEDs grown on the flat sapphire substrate (Fig. 4a) which were grown and processed in the same batch, while the LEDs on 1 μm pitch (220 nm wide) mesa (Fig. 4c) was 40% higher (Fig. 4d). We believe the LEE on LNSS could be further improved by optimizing nano-pattern geometries and other processing parameters. References: 1. Steigerwald, D. A., et al. IEEE J. Sel. Top. Quantum Electron. 2002, 8, (2), 310. 2. Yamada, M., et al. Jpn. J. Appl. Phys. Part 2 - Lett. 2002, 41, (12B), L1431. 3. Wuu, D. S., et al. IEEE Photonics Technol. Lett. 2005, 17, (2), 288. 4. Chou, S. Y., et al. Science 1996, 272, (5258), 85.

∗ Contact author and E-mail: [email protected]

Page 57: NNT 2010 Abstract Book

57

Fig. 1 Scheme of sapphire nano-patterning: (a) sapphire cleaning; (b) NIL and RIE PECVD SiO2; (c) sapphire anisotropic wet etching; (d) SiO2 removal.

Fig. 2 Cross-sectional SEM images of LNSS and grown GaN. a-b, 200 nm pitch LNSS and grown GaN on it. c-d, 1 μm pitch bar-shaped LNSS and grown GaN.

Fig. 3 Fabrication of GaN LED. a-d, Schematics of fabrication process: (a) GaN cleaning; (b) PECVD deposition of SiO2, and NIL and RIE to define SiO2 etching mask; (c) ICP GaN to expose N-region, followed by wafer cleaning and HF removing SiO2; (d) Photolithography, evaporation, liftoff and annealing to form P-metal and N-metal contacts. (e) Side-view SEM image of a LED device showing the GaN mesa and metal contacts.

Fig. 4 Comparison of LED light output on different substrates. a-c, Optical micrograph of LED devices working at 1 mA injection current with: (a) non-patterned substrate, (b) 200nm-pitch LNSS, and (c) 1 μm pitch LNSS. And (d) The ratio of the light output power of LEDs on 200 nm and 1 μm pitch LNSS to LEDs on flat substrate at different injection currents using non-patterned device as reference.

Page 58: NNT 2010 Abstract Book

58

NNT 2010 Conference Program and Proceed-ing 4. Materials. Oral.

All-silica nanofluidic devices for DNA-analysis fabricated by nanoimprint of sol-gel with hard stamp

Morten Bo Mikkelsen*, Alban Letailleur**, Elin Søndergård**, Etienne Barthel**, Anders Kristensen*

*DTU Nanotech, Technical University of Denmark, DK-2800 Kongens Lyngby, DENMARK ** Laboratoire Surface du Verre et Interfaces, Unité Mixte CNRS/Saint-Gobain, 39 quai Lucien Lefranc,

F-93303 Aubervilliers Cedex, France E-mail: [email protected]

Silica nanofluidic devices for DNA-analysis have a number of advantages compared to similar devices fabricated in polymers: Low auto-fluorescence of the material increases the fluorescence signal-to-noise ratio [1], possibility of fusion bonding enables accurate control of channel dimensions [2], and the high stability and the hydrophilic character of silica make the devices compatible with numerous chemicals used in biology. Silica surfaces are therefore easily functionalized by grafting organic compounds, enabling control of the adhesion of biomolecules [3]. We present a novel method for fabricating these devices using nanoimprint of a sol-gel. Compared to e-beam lithography and reactive ion etching of a silica substrate, sol-gel nanoimprint drastically reduces the number of process steps and the costly high-vacuum processes are avoided. During imprint, the sol-gel is thermally cross-linked, leading to long-term stability. This condensation process releases water from the material (peak at 3000 cm-1 in Fig. 1) and porous stamps, e.g. PDMS [4,5], are typically used to allow water evaporation, which would otherwise produce defects. We have developed a process for imprint of sol-gel with a non-porous hard stamp, where a thermal pre-treatment reduces the water content of the sol-gel (peak at 3300 cm-1 in Fig. 1) before imprint. Pre-curing of the material also increases the glass-transition temperature and requires imprint at elevated temperature (65°C) and pressure (1 MPa). The nanofluidic devices are fabricated by imprinting a 4” multi-level hybrid Si/SiO2/OrmoComp stamp [6] in a 750 nm methyltriethoxysilane (MTES) sol-gel thin-film [5] on a silicon substrate, see process flow in Fig. 2(a). Using a rigid hybrid stamp, micro- and nanochannels (100 nm to 50 µm) are transferred to the sol-gel layer in a single process step, and stamp bending, which may complicate bonding, is reduced. Annealing of the imprinted sol-gel at 600°C burns out the organic contents of the material (extinction of Si-CH3 peak on Fig. 1) and a pure silica material is left. During this process, the material shrinks 40%, see Fig. 2(b), but the lateral dimension of the imprinted structures is maintained, and the vertical shrinkage can be accounted for in the stamp design. Inlet holes are powder-blasted in the substrate and a 157 µm borosilicate cover slip is fusion bonded to the imprinted surface to seal the channels [2]. Figure 3(c) shows fluorescence image of a -phage DNA molecule (48.5 kbp) stretched in an imprinted channel of approximately 250 nm by 250 nm cross-sectional dimensions. This experiment demonstrates that imprinted sol-gel devices are applicable for DNA-analysis and competitive to fused silica devices. This work was supported by the EC-FP7 project "NaPANIL". References: [1] "Nonlinear decrease of background fluorescence in polymer thin-films – a survey of materials and how they can complicate fluorescence detection in µTAS", K.R. Hawkins and P. Yager, Lab Chip 3, 248–252, (2003) [2] "Double thermal oxidation scheme for the fabrication of SiO2 nanochannels", F. Persson, L.H. Thamdrup, M.B.L. Mikkelsen, S.E. Jarlgaard, P. Skafte-Pedersen, H. Bruus, and A. Kristensen, Nanotechnology 18, 245301, (2007) [3] "Use of PLL-g-PEG in Micro-Fluidic Devices for Localizing Selective and Specific Protein Binding", R. Marie, J.P. Beech, J. Vörös, J.O. Tegenfeldt, and F. Höök, Langmuir 22, 10103-10108, (2006) [4] "Glass nanostructures fabricated by soft thermal nanoimprint", C. Peroz, C. Heitz, E. Barthel, E. Søndergård, and V. Goletto, J. Vac. Sci. Technol. B 25(4), L27-L30, (2007) [5] "Chemorheology of sol-gel silica for the patterning of high aspect ratio structures by nanoimprint", A. Letailleur, J. Teisseire, N. Chemin, E. Barthel, and E. Søndergård, Chem. Mater. 22, 3143, (2010) [6] "Stretching DNA in polymer nanochannels fabricated by thermal imprint in PMMA", L.H. Thamdrup, A. Klukowska, and A. Kristensen, Nanotechnology 19, 125301 (2008)

Page 59: NNT 2010 Abstract Book

59

Fig. 1 FT-infrared spectroscopy of sol-gel films in the different states. Pre-curing and imprinting lead to the formation of Si-O-Si bonds and water evaporation according to the chemical equation shown on top. Annealing at 600°C leads to complete condensation and char of the organic moiety.

Fig. 2 (a) Process flow: (1) Imprint of Si stamp with 300 nm SiO2 nanostructures and 650 nm OrmoComp microstructures in 750 nm sol-gel. (2-3) Cross-linking, condensation, and release of stamp. (4) Annealing at 600°C. (5) Application of protective AZ5214e photo resist and Nitto SWT 20 tape. (6) Laser-marking of tape. (7) Powder-blasting inlet holes. (8) Fusion bonding of lid. (b) Comparison of the imprinted microchannels before and after annealing to the stamp structure. Significant vertical shrinkage is seen while the lateral dimensions are maintained.

Fig. 3 (a) Photo of bonded device. 50 µm wide inlet channels lead from the powder-blasted inlet holes to the nanochannel array in the center. (b) SEM image of imprinted and annealed sol-gel device. The interface between an inlet channel and the arrays of 100 nm to 250 nm wide imprinted nanochannels is shown. (c) High-contrast fluorescence image of YOYO-1 stained -phage DNA molecule (48.5 kbp) stretched in an imprinted sol-gel channel of approximately 250 nm by 250 nm cross-sectional dimensions.

Page 60: NNT 2010 Abstract Book

60

NNT 2010 Conference Program and Proceed-ing Topic No. 5 (Stamp and Templates)

Oral presentation preferred

3-d nanoimprint stamp fabrication by dose-modulated electron-Beam lithography, thermal Annealing and proportional pattern transfer

Arne Schleunitz and Helmut Schift Paul Scherrer Institut, Laboratory for Micro- and Nanotechnology, 5232 Villigen PSI, Switzerland

Grayscale electron beam lithography (EBL) and thermal reflow was combined to fabricate 3-D nanoimprint molds with multilevel and continuous profiles. New shapes with smooth surfaces and sharp corners were achieved overcoming technical limitations of standard lithography methods. The 3-D originals were manufactured by dose-modulated EBL in polymeric resist with lateral resolutions below 200 nm, and thermal reflow was effectuated by global heating at moderate temperatures. The process scheme is depicted in Fig. 1.

The structures have been fabricated in a PMMA resist with Mw of 950k, and a thickness of around 500 nm. After EBL exposure (@ 100keV), samples were developed for 60 sec. Up to 20 levels with equal depth increments could be fabricated using doses from 50 to 350 µC/cm2. In Fig. 2, a pyramid with 4 steps in a 585 nm high resist with lateral step sizes of 1 µm is shown. For reflow the resist structures were put on a hot plate and left heated in ambient conditions at a constant temperature. The resist was hardened by instant cooling when the desired shape was achieved. At 120°C, the convex and concave features of the individual steps are deformed and smooth continuous surfaces appear. Non-exposed areas can still be distinguished from exposed areas, suggesting that there is still no flow within non-exposed areas at this temperature, but corners are slightly smoothened out. The Mw of lower than 10k, needed for development after EBL exposure [1], is exactly in the range of where large differences in Tg from the original 950k PMMA (118°C) can be found [2]. The Tg of exposed and non-exposed structures is different to an extent, that within a temperature difference of a few degrees a flow regime can be defined, where non-exposed areas can still be considered as hard, while exposed areas are already subjected to viscous flow. However, surface minimization is locally restricted and only leads to a smoothing out of the convex and concave features of the single steps. The sharp edges can be helpful for the fabrication of structures with high asymmetry, i.e. sawtooth gratings with sloped and vertical sidewalls.

With the actual process parameters, a complete smoothening of surface steps, i.e. the formation of a continuous profile, can be achieved from the non-exposed down to 10% dose. For the reflowed structure in Fig. 2, the slope is around 10° and exhibits an almost perfect linearity (see Fig. 3). Both convex and concave corners are not visible any more. This means, the surface driven contact angles on the slope interface cannot yet form. For different inclinations and heights, the minimum step (or level) number has to be identified which ensures the forming of continuous profiles.

Fig. 4 shows the transfer of the resist structure into silicon using a standard reactive ion etching (Oxford ICP 100) process, developed for proportional pattern transfer. The etching process had an etch rate of 50 nm/min. The Mw variation does not affect the etching rate, which demonstrates that it is determined rather by the density than the length of the PMMA chains, since their chemical nature is still similar. These structures can be directly used as molds for 3-D NIL [3].

references: [1] E.A. Dobisz et al., J. Vac. Sci. Technol. B 18(1) 107-11 (2000) [2] H.R. Keymeulen et al., J. Appl. Phys. 102 013528 (2007). [3] H. Schift, J. Vac. Sci. Technol. B 26(2) 458-80 (2008).

Page 61: NNT 2010 Abstract Book

61

fig. 1 Process chain: 3-D resist shapes are achieved by dose-modulated EBL. Sharp stair case profiles can be transformed into continuous surface reliefs by thermal annealing.

fig. 2 SEM micrograph of a 3-D pattern in PMMA resist (4-level pyramid), illustrating the formation of continuous sidewall slopes due to thermal post-exposure treatment.

fig. 3 AFM profile characterization of reflowed 3-D PMMA resist pattern shows a sidewall angle of around 10° obtained from a stair-case like resist preform indicated with dashed lines.

fig. 4 A pattern transfer of 3-D resist structures into silicon using a proportional dry etch technique enables the 3-D nanoimprint stamp fabrication with multilevel (left) or continuous profiles (right).

Page 62: NNT 2010 Abstract Book

62

NNT 2010 Conference Program and Proceed-ing

Topic No. 7 Oral Viscosity measurements of thin polymer films from reflow of nanoimprinted patterns

E. Rognina, S. Landisa, L. Davoustb

a CEA-LETI-Minatec, 17 rue des martyrs, 38054 Grenoble Cedex 9 France b CNRS-LEGI, 17 rue des martyrs, 38054 Grenoble Cedex 9 France

Despite a huge experimental development of imprinting equipments, stamp manufacturing processes, imprint processes, dedicated materials and metrology approaches, a complete simulation toolbox of NIL is still lacking. Among remaining issues, complex fluid and flow properties of melted resists within nanometric cavities should be currently implemented in NIL modeling. In NIL processes, resist film thickness is ranging from several tens of nanometer up to several hundreds of nanometer. In such configuration, surface phenomena increasingly play a decisive role which induces significant deviation for viscous-driven flows properties. Several experimental approaches [1-2], mainly based on scattering correlation methods onto iso-dense patterns (line width = space width), have been carried out in order to determine the thin polymer film viscosity. These techniques provide very high temporal resolution, but data on shape evolution of surface waves depends on the geometrical models used to analyze diffraction patterns.

Up to now, no studies devoted to dynamics of resist films dealt simultaneously with detailed theoretical aspects and corresponding simple experiments at nanoscale. In this paper, we address the reflow behavior of thin polymer films, focusing our attention on the accurate detection of surface shape during the course of time. Although a large amount of work was already performed to understand and control resist reflow for lens manufacturing, our approach distinguishes itself from existing state of art since dynamics of the contact line (substrate/resist/atmosphere) does not need to be considered in order to model our system based on a supported thin film. This point is of major importance here since the physics of the contact line dynamics - here defined as a one-dimensional overlap between solid/air, solid/liquid and liquid/air interfaces – remains still unclear in some respects [3].

We describe in this paper a method to measure the viscosity of a thin polymer film from the reflow of NanoImprinted patterns. An analytical solution describing the system evolution is proposed and compared with experiments. PS films were spin coated on a flat substrate and a specific patented pattern were imprinted, as shown in figure 1a (initial profile). Then films were annealed above glass transition temperature, and the surface tension of the melted film flattens the high frequency shapes. We demonstrated how imprinted surface topography can be described at any time from a discrete Fourier series. A comparison with experimental results enables the computation of the viscosity (see figure 1b). The specific design of the mould gives access to a wide range of viscosities, and several measures can be made on the same film at various temperatures using a single pattern.

Using our approach, we measured the viscosity of 150nm-thick polystyrene films, for two molecular weights (30k and 130k). Results are reported on figure 2a. They are consistent with the well known WLF model describing the temperature dependence of polymer viscosity [4], as shown in figure 2b. In addition, our results are in good agreement with data reported by previous authors [5-6].

references:

[1] “ Surface Dynamics of Polymer Films ”, H. Kim, A. Rühm, J.K. Basu, J. Lal, D. Lumma, S.G.J. Mochrie, and S.K. Sinha, Phys. Rev. Lett. 90, 068302, 2003 [2] “Real-Time Shape Evolution of Nanoimprinted Polymer Structures during Thermal Annealing”, R.L. Jones, T. Hu, C.L. Soles, E.K. Lin, R.M. Reano, S.W. Pang, and D.M. Casa, Nanolett. 6, 1723, 2006

Page 63: NNT 2010 Abstract Book

63

[3] “Boundary conditions for the moving contact line problem”, W. Ren and Weinan E, Phys. Fluids 19 (2), 022101, 2007[4] “The temperature dependence of relaxation mechanisms in amorphous polymers and other glass-forming liquids”, M.L. Williams, R.F. Landel, et J.D. Ferry. Journal of the American Chemical Society, 77 (1955) [5] “Choice of the molecular weight of an imprint polymer for hot embossing lithography”, H. Schulz, M. Wissen, N. Bogdanski, H.-C. Scheer, K. Mattes, Ch. Friedrich, Microelec. Eng. 78-79, 625, (2005) [6] “Surface Dynamics of Polymer Films” H. Kim, A. Rühm, J.K. Basu, J. Lal, D. Lumma, S.G.J. Mochrie, and S.K. Sinha, Phys. Rev. Lett. 90, (2003)

(a) AFM measurements

(b) Spectral densitiesfig. 1 (a) AFM profile of an as-imprinted pattern in a polystyrene film (light red line) and after annealing (black line) 5 min at 120°C and (b) the corresponding spectral density. Red and black curves represent the initial and reflowed profile respectively. The dotted (blue) line represents the final density computed from the initial density, given the optimized value of the viscosity.

(a) (b) fig. 2 (a) Viscosity measurements of 30k and 130k molecular weight polystyrene films. (b)Normalized viscosity compared with data from the literature [5-6]. The black solid curve was determined from WLF model with c1 and c2 coefficient fitted to our experimental results.

Page 64: NNT 2010 Abstract Book

64

NNT 2010 Conference Program and Proceed-ing

Fabrication of nanoliter and picoliter-sized wells in SU-8 and NOA utilizing UV imprint technology on the GeSiM µ-CP platform Howitz, S.; Baudisch, F.; He, J. ; GeSiM mbH, 01454 Großerkmannsdorf, Bautzner Landstraße 45, e-mail Kontaktadresse des Autors: [email protected] Techniques that allow cell culture in three-dimensional (3D) microtissues offer powerful in vitro models, which are becoming increasingly popular, especially in areas such as tissue engineering, stem cell research and cancer biology. Unfortunately, drawbacks such as scale, cost, geometry, and practicability of the fabrication of these 3D structures have hindered the widespread adoption of these techniques. Here we present a UV imprint technology that works at room temperature and low pressure with the µ-CP 3.0 platform of the company GeSiM [1] for the easy and inexpensive fabrication of 3D microwell structures in the UV-curable polymers SU-8 and NOA. First, a defined volume of SU-8 or NOA polymer was dispensed on a glass slide, then the polymer was imprinted at room temperature using a PDMS membrane stamp [2] that was inflated with air at low pressure. Finally, the resulting micropatterns were exposed to UV light from the back side of the slide and then the PDMS stamp was removed. Using this technology, 3D picowell patterns with lateral dimensions in the range of 15...250 µm and a depth in the range of 10...200 µm were demonstrated. Because the process can be performed at room temperature and low pressure, the cycle time of this technology as compared to conventional thermal imprint technology was reduced to less than one minute, lending this technology to high automation and mass production.

Fig. 1: 20 µm wide/12 µm deep picowells: a, PDMS- stamp, b, Imprint Structure in SU-8. Bar: 10 µm.

U937 cells in 20µm/12 picowells (angle - 30º)

Fig. 2: U937 leukemia cells in 20/12 µm picowells [3]

a b

Page 65: NNT 2010 Abstract Book

65

References: [1] Howitz, S., Baudisch, F., Gast, F.-U, Gepp, M.M. (2009) µ-CP3.0: A new instrument platform to stamp functional 2D and 3D structures in the micro- and nanometer range. Poster p66, Eight International NNT’09 Conference (Nanoimprint and Nanoprint Technology), November 11-13, 2009, San Jose, California, USA [2] Verfahren und Vorrichtung zur Übertragung von Mikro- oder Nanostrukturen durch Kontaktstempeln, Deutsche Patentnmeldung, 23.Juni 2008, No. 112008001634.8. [3] Elena Afrimzon2, Naomi Zurgil2, Yana Shafran2, Friederike Ehrhart1, Yaniv Namer2

, Sergei Moshkov2, Maria Sobolev2, Assaf Deutsch4, Steffen Howitz3, Martin Greuner5, Michael Thaele5, Ina Meiser1, Heiko Zimmermann1,6*, Mordechai Deutsch2*. “The individual-cell-based cryo-chip for the cryopreservation, manipulation and observation of spatially identifiable cells. II: Functional activity of cryopreserved cells”, published soon by BMC Cell Biology.

Page 66: NNT 2010 Abstract Book

66

NNT 2010 Conference Program and Proceed-ingNNT 2010 Conference Program and Proceeding

Page 67: NNT 2010 Abstract Book

67

Extended abstracts of the poster presentationsApplicAtionsP1: Nanoimprinted Complementary Organic Inverters: Ursula Palfinger, Joanneum Research; Thomas Rothländer, Joanneum Research; Herbert Gold, Joanneum Research; Barbara Stadlober, Joanneum ResearchP2: SU-8 Filled Waveguide With Holographic Grating for DFB Laser Buried in Nanoim-printed Grooves: Takao Tokuhara, Osaka City University; Shusuke Asahi, Osaka City University; Yuta Aoki, Osaka City University; Hiroshi Kumagai, Osaka City University; Tahito Aida, Osaka City UniversityP3: Metal Liftoff Using Solvent Soluble UV-NIL Resist: Tomoki Nishino, Osaka Pref. Univ.; Hiroto Miyake, Daisel Chemical; Kohei Tomohiro, Osaka Pref. Univ.; Takao Yukawa, Daisel Chemical; Junji Sakamoto, Osaka Pref. Univ.; Hiroaki Kawata, Osaka Pref. Univ.; Yoshihiko Hi-rai, Osaka Pref. Univ.P4: Fabrication of Reflective Microlens By Imprinting Technology- A Molecular Dynamics Simulation Study: Ming-Chieh Cheng, National Tsing Hua University; Cheng-Kuo Sung, Na-tional Tsing Hua UniversityP5: Topography Extraction of 3d Structures Through Afm of Nanoimprints: Simon Waid, Vienna University of Technology; Heinz D Wanzenboeck, Vienna University of Technology; Ger-hard Hobler, Vienna University of Technology; Thomas Zahel, Vienna University of Technology; Emmerich Bertagnolli, Vienna University of Technology; Michael Muehlberger, Profactor Gmbh; Rainer Schoeftner, Profactor GmbhP6: Nanoimprinted Semi-Transparent Continuous Electrodes Towards Enhanced Perfor-mances of Light Emitting Devices: Vincent Reboud, Catalan Institute of Nanotechnology; Ali Z Khokhar, Department of Electronics & Electrical Engineering; Gaetan Leveque, Tyndall Na-tional Institute; Borja Sepúlveda, Research Centre on Nanoscience and Nanotechnology; Damian Dudek, Catalan Institute of Nanotechnology; Tim Kehoe, Catalan Institute of Nanotechnology; Nikolaos Kehagias, Catalan Institute of Nanotechnology; Nikolaj Gadegaard, Department of Elec-tronics & Electrical Engineering; Vito Lambertini, Centro Ricerche FIAT; Valentina Grasso, Cen-tro Ricerche FIAT; Clivia M Sotomayor Torres, Catalan Institute of NanotechnologyP7: Nanoimprinting for Chemical Synthesis: Hong Yee Low, Institute of Materials Research and EngineeringP8: Fabrication of Large-Area Gratings With Tunable Periods By Double Nanoimprinting of 1-D Gratings: Wen-Di Li and Stephen Y. Chou, PrincetonP9: Nanoimprint Lithography for Solar Cell Texturisation: Hubert Hauser*, B. Michl*, S. Schwarzkopf*, V. Kübler*, C. Müller**, M. Hermle* and B. Bläsi* * Fraunhofer Institute for Solar Energy Systems ISE, ** Laboratory for Process Technology, Department of Microsystems Engi-neering – IMTEK, University of Freiburg

Page 68: NNT 2010 Abstract Book

68

NNT 2010 Conference Program and Proceed-ing

NNT 10 ABSTRACT ORAL PRESENTATION PREFERRED

Nanoimprinted complementary organic inverters

Ursula Palfinger*, Thomas Rothländer, Barbara Stadlober, Anja Haase, Herbert Gold, Maria Belegratis, Georg Jakopic, Joachim Krenn

Institute of Nanostructured Materials and Photonics, JOANNEUM RESEARCH GmbH., Franz-Pichlerstrasse 30, A-8160 Weiz

(*email address: [email protected])

The performance of flexible organic thin film devices advances rapidly. Our recent work is related to nanoimprinted organic inverters as the most basic elements in logic electronics. Many OTFT-based circuits reported to date use p-type transistors only. This can be attributed to several facts (lower mobility in n-type materials, worse availability and intrinsic instability upon exposure to air and moisture of n-type materials). However, the usage of a complementary approach has a wide range of advantages compared to p-type-only circuits, also in organic electronics; it leads to a lower power dissipation, higher noise margin, better robustness and easier circuit design. When coupled with low-cost fabrication techniques, organic materials in electronics are gaining more and more access to different market fields such as light-weight large screen displays, low-end electronic devices including smart cards, electronic paper, cheap identification tags and large-area sensing applications. Downscaling is a decisive issue for integration density on the one hand and increased performance in terms of switching speed on the other hand.

We developed a process to implement nanoimprint lithography (NIL) in our transistor fabrication procedure. We use NIL for the patterning of the source-drain level and therewith for the definition of a highly resolved transistor channel [HAA07]. Now we present the fabrication of a flexible complementary organic inverter based on this technology [ROT10].The device is fabricated on a Kapton-foil (PI). The aluminium gate electrode is fabricated by a standard photolithography process. A benzocyclobutene (BCB) derivative with sub-100 nm thickness is used as dielectric layer. We use pentacene as p-type semiconductor. Due to the process-inherent coplanar bottom gate configuration, F16CuPc is chosen as n-type material. The integrated complementary organic inverters based on a channel length of 900 nm showed proper functionality being evidenced by a gain of around 5, a high level of 2.9 V and a low level of 25 mV at an operation voltage of 3 V.

We regard this work as important proof of concept for the development of highly integrated organic devices manufactured by large-scale compatible high-resolution techniques.

NIL experimentals: The source-drain level is fabricated by NIL hot embossing. For this means the hot embossing resist mr-I7030E (microresist) is coated directly onto the dielectric layer, which has to fulfill certain requirements as for example proper stability against standard organic solvents and stability against a short oxygen plasma etch. The imprinting process is done in an EVG520 hot embosser at a temperature of 125°C. The stamp is a hard silicon tool fabricated by e-beam lithography. A thin residual layer is left after imprinting, etched away by O2 plasma. The source/drain electrode material (in our case Au) is thermally evaporated on the whole sample. The resist is lifted-off in a standard solvent (PGMEA).

Page 69: NNT 2010 Abstract Book

69

References

[VUS06] S. De Vusser, S. Steudel, K. Myny, J. Genoe, P. Heremans; APL 88, 162116 (2006) [HAA07] U. Haas, H. Gold, A. Haase, G. Jakopic, B. Stadlober, APL 91, 043511 (2007) [PAL10] T. Rothländer, H. Gold, M. Belegratis, J. Kraxner, B. Stadlober, G. Jakopic, J. R. Krenn, U. Palfinger, APL submitted (2010)

figure 1: fabrication scheme, sample micrographs, inverter characteristics

Page 70: NNT 2010 Abstract Book

70

NNT 2010 Conference Program and Proceed-ing

SU-8 FILLED WAVEGUIDE WITH HOLOGRAPHIC GRATING for DFB LASER BURIED in NANOIMPRINTED GROOVES

Takao Tokuhara, Shusuke Asahi, Yuta Aoki, Hiroshi Kumagai and Tahito Aida Graduated School of Engineering, Osaka City University 3-3-138 Sugimoto, Sumiyoshi-ku, Osaka 558-8585, Japan Phone/

Fax: +81-6-6605-2678, E-mail:[email protected] Integration of various micro optical components including light sources is an important subject for polymer optics[1]. SU-8 is one of the promising candidate materials for polymer optics because of the excellent processability as well as the optical transparency and the chemical, thermal stabilities. In this paper, we present fabrication of an SU-8 waveguide with a holographic grating for distributed feedback (DFB) lasers. The waveguide was buried in grooves defined by photo-nanoimprint lithography (P-NIL) in ultra-violet (UV) curable resin (PAK-01, Toyo Gosei Co., Ltd.) film. Utilization of NIL technology makes it possible to fabricate a three dimentional micro structure of holographic grating as well as a simple two dimentional microstructure of waveguide. The key fabrication processes for this waveguide are fabrication of a grating quartz mold, P-NIL and SU-8 filling in the nanoimprinted grooves. First, a grating quartz mold was fabricated. A holographic grating was uniformly defined by two-beam interference method using 379nm UV laser in 1µm-thick AZ-1500 layer spin-coated on a 1mm-thick quartz plate. The period of the grating is Λ=559nm, which was determined for the third order distributed Bragg reflector (DBR) for guided light wave of 590nm wavelength. The sinusoidal cross-section of the AZ-1500 holographic grating was transferred on the quartz plate, as shown in Fig.1, by reactive ion etching (RIE) under the condition that quartz and AZ-1500 resist were similar in etch rate around 0.4µm/min. Next, the holographic grating on the quartz mold was UV-imprinted in PAK-01 on a pyrex glass substrate. Finally, Rhodamine 6G (R6G) dye doped SU-8 was spin coated on the PAK-01 grating, where SU-8 was diluted with cyclopentanone to a solid content of 13% to decrease the viscosity, so as to fill in the narrow grooves of the grating. Fig.2 shows the cross section of the SU-8 filled waveguide with the holographic grating. The refractive index of SU-8 is 1.59, slightly greater than 1.54 of PAK-01 refractive index. This layered structure is a slab waveguide consisting of SU-8 core layer with DBR grating and cladding layers of PAK-01 and air. Fig.3 shows a spectrum of TE-mode laser emission from the waveguide, observed when the waveguide was irradiated parallel to the grating direction by a stripe-shaped beam of 532nm Nd:YAG green laser. The part irradiated by the stripe-shaped laser beam was operating as a gain-guided DFB laser. In order to confirm two-dimensional light confinement, a stripe waveguide, shown in Fig4, was fabricated in a similar manner by filling low viscosity SU-8 in a rectangular groove, which was imprinted in PAK-01 layer using a quartz mold with a rectangular ridge. Fig.5 shows the guiding of 632.8nm HeNe laser light in the stripe waveguide. The propagation loss was about 3dB/cm. After this, we are applying RIE on the surface of the grating quartz mold with a stripe mask to form a rectangular ridge with grating. Using this reprocessed quartz mold, we are developping an SU-8 filled DFB laser buried in PAK-01 layer.

References: [1] “Integration of active and passive polymer optics”, Mads B. Christiansen, Mikkel Scholer, and anders Kristensen., Optics Express 15, 3931-3939 (2007) Fig.1 SEM image of the grating quartz mold SU-8 filled slab waveguide with holo graphic grating.with holographic grating of period Λ=559nm. Fig.3 Spectrum of laser emission from the R6G doped SU-8 filled slab waveguide with DBR pumped by 532nm Nd:YAG 2nd harmonic laser .

Page 71: NNT 2010 Abstract Book

71

References:[1] "Integration of active and passive polymer optics", Mads B. Christiansen, Mikkel Scholer, and Anders Kristensen., Optics Express 15, 3931-3939 (2007)

559nmAir

1µ m SU-8

PAK-01

Fig.2 SEM cross sectional view of the SU-8 filled slab waveguide with holo-graphic grating.

Fig.1 SEM image of the grating quartz moldwith holographic grating of period Λ=559nm.

Peak wavelengthλ=587nmlaser

emissionPAK-01

laseremission

R6G doped SU-8 pump light

Fig.3 Spectrum of laser emission from the R6G doped SU-8 filled slab waveguide with DBR pumped by 532nm Nd:YAG 2nd harmonic laser .

SU-8 PAK-01

40nm Air

1µm

2µm

6µm Waveguide& Substrate

Screen

Guidedlight

Fig.5 Experiment of guiding of 632.8nmHeNe laser light in the SU-8 filled stripe waveguide. Prism couplers were used for coupling light in and out of the waveguide. The propagation loss of the waveguide was about 3dB/cm.

Fig.4 SEM cross sectional view of the SU-8 filled stripe waveguide buried in PAK-01 layer.

Page 72: NNT 2010 Abstract Book

72

NNT 2010 Conference Program and Proceed-ing

METAL LIfToff uSINg SoLvENT SoLubLE uv-NIL RESIST

Tomoki Nishino*, Hiroto Miyake**, Kohei Tomohiro*, Takao Yukawa**, Junji Sakamoto*, Hiroaki Kawata* and Yoshihiko Hirai* *Osaka Prefecture University Department of Physics and Electronics Engineering, Graduate School of Engineering 1-1 Gakuen-

cho, Naka-ku, Sakai, Osaka, 599-8531,Japan **Daisel Chemical Industries Ltd Organic Chemical Products Company 1239, Shinzaike, Aboshi-ku, Himeji, Hyogo,

671-1283,Japan

1. Introduction Nano scale metal patterning is indispensable for realize plasmon devices or meta materials. Liftoff process using nanoimprint lithography is one of promising method to fabricate nano scale metal in cost effectively. In thermal nanoimprint process, we have variety choices of resist materials and they are easily applied for metal liftoff process because polymers for thermal nanoimprint process are mostly so-lution dissolvable material, however UV NIL resists are hardly dissolved in organic solvents after UV curing. In this paper, we demonstrate metal liftoff process using solvent soluble resist by UV nanoimprint process. 2. Experiment

Figure 1 shows the metal liftoff process sung UV-NIL. Solvent soluble resist NIAC7021) (Daisel Chemical), which is acrylate based radical polymerization UV curable resin is used as a UV resist. After UV nanoimprint, residual layer is removed by IPC etching. After deposition of Cr layer, the sample is dipped into Cyclohexanone to remove the cured UV resist. Figure 2 shows typical result of Cr liftoff process. Dots array with 600nm in diameter is success-fully transferred. Figure 3 shows Cr line pattern. Fine line metal pattern with 60 nm in width us successfully demonstrated. 3. Conclusion Metal liftoff process using solvent soluble UV curable resin is demonstrated by UV nanoimprint process. Fine Cr line pattern and dot array pattern are successfully demonstrated. We believe lift-off process in combination with UV nanoimprint lithography is promising way to fabricate metal nano patterns for plasmon devices.

References 1) T. Yukawa, S. Iyoshi and H. Miyake, Proc. Jpn. Soc. Appl. Phys. annual meeting (2009, Tu-kuba) 30a-K- 9, in Japanese.

Page 73: NNT 2010 Abstract Book

73

Sub.UV Resist

Sub.UV Resist

a) UV resist coat

TemplateUV

TemplateUV

b) Press template and exposure

c) Release template

d) Remove residual layer

MetalMetal

e)Metal deposition

f) Dissolve resist and remove metal on the resist

Figure 1. Schematic diagram of Metal liftoff process using solvent soluble resist by UV nanoimprint.

Cr

1.0μm

Cr

1.0μm

a) Low magnification view

600nm

Cr

600nm

Cr

b) High magnification view

Figure 2. Transferred Cr dot array by liftoff process

Cr

Si Sub. 500nm

60nm

Cr

Si Sub. 500nm

60nm

Figure 3. Transferred Cr line pattern by liftoff process.

Page 74: NNT 2010 Abstract Book

74

NNT 2010 Conference Program and Proceed-ing

fAbRICATIoN of REfLECTIvE MICRoLENS by IMPRINTINg TECHNoLogy – A MoLuCuLAR DyNAMICS SIMuLATIoN STuDy

Ming-Chieh Cheng and Cheng-Kuo Sung Department of Power Mechanical Engineering, National Tsing Hua University, Hsinchu 30013, TAIWAN (R.O.C.)

Moore’s law has been an important benchmark for developments in microelectronics for past three decades, to keep the Moore’s law on track, extreme ultraviolet (EUV) lithography is con-sidered to be one of the next generation methods to generate a linewidth under 16nm. However, most lenses used in the current optical system can absorb the energy of EUV; in additional, materials used for lenses or photomasks are also indirectly affected as the change of light sources [1]. All these sequential effects have had resulting in redesign of the entire optical system. The photomasks and lenses are required to be in reflection modes rather than the current process in transmission modes. This study proposes an innovative concept to fabricate the reflective micro-lens array through the direct imprint technology [2]. It is unlike other imprinting applications which the molds are designed to define the desired shape of the structure, in this novel procedure, the mold is spe-cifically utilized only to define the dimension of the microlens while the profile of the concave microlens is determined by other parameters, including the imprinting depth, lens arrays pitches, and the thickness of a metallic film. Furthermore, the combination of imprinting parameters can affect the curvature and the reflective surface quality of the concave lens. In order to obtain condi-tions of the lens formation, a full scale three-dimension molecular dynamics (MD) simulation is utilized to determine the relationship between the ultimate formation of concave lens and the imprinting parameters. Figure 1 shows the physical model of a silicon mold and a metallic thin film. The dimensions of the mold and the thin film are both 14.3 x 14.3 nm, and the thicknesses are 4.63nm and 3.69nm, respectively. Herein, aluminum is selected to make the microlens in this study due to its high reflective ratio of the light. The silicon mold is formed into a hollow shape with the 9.1nm in diameter, which is also the diameter of the microlens. Figure 2 shows the imprinting results. The middle of the aluminum thin film is protruded about 1nm and forms a bump structure. From Figure 3, a concave curvature in the middle of the bump structure can be distinguished appar-ently that can be employed as a reflective concave lens. Although in this case, the surface profile is not that smooth, nevertheless, by adjusting the imprinting parameters, a concave lens with a specific curvature and good surface quality can be fabricated. In addition, the reduced hardness of the aluminum thin film with heating process allows the formation of the microlens with lower pressure. Imprinting technology is a new manufacturing process that can bring the cost down and promote the throughput. We adopt the direct imprinting process and demonstrate the feasibility by using a simple mold associating with the specific parameters to fabricate the reflective microlens.

References:

[1] “Soft Lithography”, Y. Xia and G. M. Whitesides, Angewandte Chemie Int. Ed. 37, 550 (1998) [2] “Imprint of sub-25 nm vias and trenches in polymers”, Stephen Y. Chou, Peter R. Krauss, and Preston J. Renstrom, APL 67, 3114 (1995)

Page 75: NNT 2010 Abstract Book

75

Fig. 1 Physical model of simulation

Fig. 2 Microlens formation

Fig. 3 Cross-section (X-Z) view of microlens

Silicon mold

Metallic thin film

Page 76: NNT 2010 Abstract Book

76

NNT 2010 Conference Program and Proceed-ing

TOPOGRAPHY EXTRACTION of 3D STRUCTURES THROUGH AFM of NANOIMPRINTS

Simon Waid*, Heinz D. Wanzenboeck* G. Hobler*, T. Zahel*, Emmerich Bertagnolli*, Michael Muehlberger**, Rainer Schoeft-ner** *Vienna University of Technology, Austria **Profactor GmbH, Austria

High-aspect ratio structures may be fabricated by precision and ultra-precision mechanical processing, electro-discharge structuring, LIGA-based patterning, and deep-trench reactive ion etching. They are widely used in MEMS [1] for precision actuators, microoptics, microchannels, microfluidic reaction chambers, microvalves and for various sensors and actuators, as well as for biological applications [2]. A crucial problem for all those ap-plications is the difficulty to measure the real topography of these high-aspect ratio structures. For the acqui-sition of 3D-topographical information AFM is generally recogniosed as the method of choice. However, if high-aspect-ratio nanometer-sized trenches are to be measured, standard AFM-tips meet their limits because of their limited aperture-angle. Dedicated high-aspect ratio AFM-tips are expensive, prone to damage, com-plicated to use, and may require even a high level of data post-processing (e.g. [3]). In this work we introduce a novel technique which addresses this issue by measuring the negative replica of the original structure. We employ NIL in order to create the negative of the structure of interest. These negative structures can then easily be measured using standard AFM-tips, and the topographical information can be extracted.

In order to evaluate the advantages and limitations of this method, we have created a variety of trench struc-tures with different sidewall angles and different aspect ratios featuring ultra small trenches. These trench structures have been realized in solid materials such as Si and SiO2 by means of focused-ion-beam (FIB)-processing. These structures were coated with an anti-sticking-layer (ASL) such as F13-TCS (Sigma Aldrich) or BGL-GZ-83 (Profactor, Austria) and were imprinted into standard NIL-materials such as Ormostamp (micro resist technology, Germany) or mr-UVCur06 (micro resist technology, Germany). In this way the topographic structure of the trenches was transferred into the imprint featuring protruding structures. A topographical analysis was performed by imaging both the stamp surface (fig. 1) as well as the imprint sur-face (fig. 2) using AFM or scanning-electron-microscopy (SEM) based methods. The structural conformity of trench and imprint was evaluated and used to verify the validity of the proposed method. With this ap-proach otherwise not accessible trench topographies could be determined.

The precision of the novel technique was measured and its advantages as well as limitations were explored. Additionally, we discuss techniques for image-post-processing in order to further increase the performance of the presented method. Finally, applications of this approach have been investigated. The developed method was successfully applied to the verification of simulations on gas-assisted FIB-processes that were used for 3D NIL-stamp patterning.

References: [1] Lorenz, H., Despont, M., Fahrni, N., Brugger, J., Vettiger, P., Renaud, P., High-aspect ratio, ultrathick, negative-tone near-UV photoresist and its applications for MEMS, Sensors and Actuators, A: Physical 64 (1), 33-39 (1998)

[2] Bauer, L.A., Birenbaum, N.S., Meyer, G.J., Biological applications of high aspect ratio nanoparticles , Journal of Materials Chemistry 14 (4), 517-526 (2004) [3] “Tip Characterization and Surface Reconstruction of Complex Structures with Critical Dimension Atomic force Microscopy”, G. Dahlen, M. Osborn, N. Okulan, W. Foreman, A. Chand, J. Foucher, Veeco AN83 Rev A0, (2004/9/14)

Page 77: NNT 2010 Abstract Book

77

[3] “Tip Characterization and Surface Reconstruction of Complex Structures with Critical Dimension Atomic Force Microscopy”, G. Dahlen, M. Osborn, N. Okulan, W. Foreman, A. Chand, J. Foucher, Veeco AN83 Rev A0, (2004/9/14)

a) Top-view b) Cross-section fig. 1 AFM-images of a nominally 500nm wide trench with a flat bottom. Large portions of the topography, containing valuable information on the bottom of the trench cannot be visualized (see arrows) due to limitations originating in the geometry of the AFM-tip.

a) Top-view b) Cross-section fig. 2 AFM-image of the imprint of the trench from fig. 1 (a) and the corresponding cross-section (b). The previously invisible side-wall-angle and the ground-topography can now be clearly perceived. In this example it becomes visible that one edge of the mesa is shallower while the other one is higher.

Measured

´Real

Measured

Real

Page 78: NNT 2010 Abstract Book

78

NNT 2010 Conference Program and Proceed-ing

NANoIMPRINTED SEMI-TRANSPARENT CoNTINuouS ELECTRoDES To-WARDS ENHANCED PERfoRMANCES of LIgHT EMITTINg DEvICES

V. Reboud1*, A. Z. Khokhar2, G. Leveque3, B. Sepœlveda4, D. Dudek1, T. Kehoe1, N. Kehagias1, N. Gadegaard2, V. Lamber-tini5, V. Grasso5 and C. M. Sotomayor Torres1,6

1 Catalan Institute of Nanotechnology, 2 Department of Electronics & Electrical Engineering, 3 Tyndall National Institute, Univer-sity College Cork, Lee Maltings, Cork, 4 NanoBiosensors and Bioanalytical Applications Group, Research Centre on Nanosci-

ence and Nanotechnology 5 Centro Ricerche FIAT, 6 Catalan Institute for Research and Advanced Studies ICREA,

Only a small fraction of the total photons generated inside organic LEDs are generally extracted out of the plane, because of total internal reflection and waveguiding effects. Here we investigate the possibility to enhance the photoluminescence (PL) of emitting polymer layers by coupling the exciton field to nanoimprinted plasmonic crystals. The corrugated metallic layer acts as energy transfer medium to recover the energy trapped in the surface plasmon polariton (Fig. 1a). This new radiative recombination path (R2, Fig. 1a) is an extremely fast one and allows the emission of more photons in a given time. In addition this metallic layer can be used as semi-transparent electrodes as presented in Figure 1b-Part A and give the possibility to replace the conventional high-cost ITO cathode in OLEDs. The rigorous coupled-wave approach was used to determine the periodic metallic structures to tune the surface plasmon resonance, to enhance the near-field modes and diffract SP polaritons. Nanostructured surfaces were designed and fabricated based on the crossing points of the dispersion relations of plasmons and excitons. Silicon 1D and 2D periodic stamps (Fig. 2a) were fabricated to test the different designs and successfully imprinted in a cross-linked polymer (Fig. 2b). The aim of our approach is to control the surface plasmon resonance by controlling the diameter of the pillars. Controlling the pitch allows the tuning of the emission diagram while controlling the structure height allows to enhance the surface plasmon modes coupling between the two metallic interfaces and increase the diffraction efficiency. Surface plasmon resonances of the fabricated structures were measured in reflection mode under white light illumination. Due to the strong PL quenching of semiconducting polymers (in our case yellow PPV), we used CdSe(ZnS) nanocrystals (NCs) to demonstrate the working principle described above. Fig. 2d shows the surface plasmon resonance resonant for the optical pump at 514 nm. A 2.3 fold enhancement in the PL peak intensity is observed for the 300 nm pitch me-tallic structures with CdSe(ZnS) NCs emitting at 620 nm (Fig. 2e). This enhancement is due to increased absorption of the pump laser light by the surface plasmon resonance. The enhancement of light emission of colloidal semiconductor nanocrystals is even more attractive since their opti-cal properties can be tuned by controlling their size. Moreover they exhibit a high photochemical stability and a narrow band emission. To understand better the complex exciton-plasmon cou-pling phenomena, a method has been developed based on the Green’s function formalism, for the calculation of the optical field and molecular decay rate, and the optical Bloch equations formal-ism, for the evolution of the internal state of the molecule. We show that it is possible to separate different contributing factors such as the modification of radiative decay rate, the local fields mod-ification, the absorption variation and the far-field extraction enhancement (data not showed).

The PL enhancement by the exciton-plasmon coupling is generated only in the vicinity of the metallic layer. To increase further the light extraction of the emitting layer, we investigated the possibility to direct-pattern the semiconducting polymer layer (here yellow PPV), shown Figure 1b-Part B. Reverse NIL was used to limit thermal degradation of the active polymer and to reach high aspect ratio as the polymer does not present a Tg. Using this fabrication method 100nm lines of semiconducting polymer were successfully transferred. Our results indicate that the combination of nanoimprinted continuous corrugated metallic layers with emitting polymer films and the patterning of semiconducting polymer can lead to a new class of cost effective and high efficiency OLEDs.

Page 79: NNT 2010 Abstract Book

79

Figure 1: a/ Schematic of the alternative recombination path (named R2) to the conventional radiative recombination (named R1) in the near field of the metal layer, b/ Cross-section schematic of the system.

Figure 2: a/ NIL process used to realize semi-plasmonic crystals, b/ SEM images of the silicon stamp showing the accurate control of the critical dimension, c/ Imprints in mr-NIL 6000 performed with a 2.5” Obducat, d/ White light reflected on 300 nm pitch showing the surface plasmon resonance, e/ Photoluminescence of CdSe(ZnS) coreshell nanocrystals spin-coated on the metallic plasmonic crystal showing a photoluminescence enhancement up to 2.3.

Figure 3: SEM images of patterned yellow PPV (OLEDs semiconducting polymer) patterned by reverse NIL

The support of the EC-funded NaPaNIL and the ACPHIN project gratefully acknowledged.

a/b/

e.

d.

Page 80: NNT 2010 Abstract Book

80

NNT 2010 Conference Program and Proceed-ing

NANoIMPRINTINg foR CHEMICAL SyNTHESIS Hong Yee Low Patterning and Fabrication Group Institute of Materials Research and Engineering Agency for Science, Technol-

ogy and Research 3, Research Link, Singapore, 117602 Email: [email protected]

Nanoimprint Lithography has evolved greatly from its original purpose of addressing the roadmap of semiconductor processing. Today nanoimprinting is being investigated for applications ranging from metamaterials to biomedical research. A less reported potential use of nanoimprinted structure is template for chemical synthesis. Compared to other nanolithography techniques, nanoimprint process does not require material of specific optical properties. in fact, a variety of materials have been patterned directly using nanoimprint processes. Here I would like to share a new application potential of direct patterning of chemically functional materials via nanoimprint process. Many efforts have been channeled to develop new nanoimprint resist, where emphases were placed on improving the rheological properties, curing kinetics, etch selectivity of the resist. For the ap-plication of using imprinted template for chemical synthesis, the imprint resin will have a different set of requirements such as tailoring the chemical functional group, chemical stability in common organic solvents, mechanical and thermal stability to withstand the agitation condition in most synthesis processes. We have adopted the well established styrene chemistry to achieve the above requirements. A thermally cross-linkable resin comprising styrene monomer, vinylbenzene chloride as co-comonomer and divinyl benzene as cross-linker were prepared. The imprinted structure is a chlorine-functionalised polystyrene(PS). The imprint samples were tested under mechanical stir-ring, magnetic stirring in organic solvent such as THF and toluene at an elevated temperature and prolong time. Two chemical reactions were demonstrated on the imprinted samples: esterifications and atom transfer radical polymerization (ATRP). The applications of these two reactions on nano-imprinted template will be discussed in this talk.

Page 81: NNT 2010 Abstract Book

81

Nanoimprinting for Chemical Synthesis Hong Yee Low

Patterning and Fabrication GroupInstitute of Materials Research and EngineeringAgency for Science, Technology and Research

3, Research Link, Singapore, 117602Email: [email protected]

Nanoimprint Lithography has evolved greatly from its original purpose of addressing the roadmap of semiconductor processing. Today nanoimprinting is being investigated for applications ranging from metamaterials to biomedical research. A less reported potential use of nanoimprinted structure is template for chemical synthesis. Compared to other nanolithography techniques, nanoimprint process does not require material of specific optical properties. In fact, a variety of materials have been patterned directly using nanoimprint processes. Here I would like to share a new application potential of direct patterning of chemically functional materials via nanoimprint process.

Many efforts have been channeled to develop new nanoimprint resist, where emphases were placed on improving the rheological properties, curing kinetics, etch selectivity of the resist. For the application ofusing imprinted template for chemical synthesis, the imprint resin will have a different set of requirements such as tailoring the chemical functional group, chemical stability in common organic solvents, mechanical and thermal stability to withstand the agitation condition in most synthesis processes. We have adopted the well established styrene chemistry to achieve the above requirements. A thermally cross-linkable resin comprising styrene monomer, vinylbenzene chloride as co-comonomer and divinyl-benzene as cross-linker were prepared. The imprinted structure is a chlorine-functionalised polystyrene(PS). The imprint samples were tested under mechanical stirring, magnetic stirring in organic solvent such as THF and toluene at an elevated temperature and prolong time. Two chemical reactions were demonstrated on the imprinted samples: esterifications and atom transfer radical polymerization(ATRP). The applications of these two reactions on nanoimprinted template will be discussed in this talk.

SEM image of 250 nm Chlorinated PS after 24 hours of magnetic stirring in toluene (a) and the fluorescence microscope image of PS after esterifications (b)

SEM images showing the growth of PS pillar size during the ATRP process. Original PS pillar size was 250 nm.

0min 15min

30min

45min

60min

A B

Page 82: NNT 2010 Abstract Book

82

NNT 2010 Conference Program and Proceed-ing NNT’10

Fabrication of Large-area Gratings with Tunable Periods by Double-Nanoimprinting of 1-D Gratings

Wen-Di Li and Stephen Y. Chou NanoStructure Laboratory, Princeton University, Princeton, NJ 08544

Gratings, as the simplest periodic structure, have been used as the elementary building block in nanostructure engineering. Starting from 1-D gratings, various complex structures can be generated, such as pillars, holes, checkerboards, rings, split-rings, etc, all have important applications in plasmonic devices. In these nanostructure transformations, the 2-D or 3-D geometric profile is manipulated while the period of original gratings is usually maintained.

Spatial frequency doubling methods [1] have been developed to change the gratings’ period into half. This period reduction is especially useful to achieve smaller nanostructures over large area which is difficult to reach by conventional fabrications. However, continuously tuning of the grating periods within a large range, both reduction and expansion, so far hasn’t been demonstrated, but is desired in large area mold fabrication for many applications.

Here we propose a new method to generate large area grating structures with continuously tuned period by double imprinting a 1D grating with a fixed period. The method is based on the Moiré pattern formed when two sets of identical gratings are overlapped together, as shown in Fig. 1a. Two identical grating sets with a period of p0 and a width of w0 are superimposed with an angle of θ, as shown by the red area in the figure. Their overlapping areas, represented by the yellow diamonds, will form a new set of grating patters with a period of P, as illustrated by the green stripes. P and the new grating width W are determined by

( )2sin20

θpP = and ( )2sin

wW = , and P is plotted in Fig. 1b versus the angle between the

two grating sets with a fixed original grating period of 200 nm. The theoretical smallest grating period achieved in this method is close to half of the original period.

To experimentally demonstrate this proposal, we first fabricated a diamond hole array mold by double imprinting of a 200-nm pitch grating mold with a rotation angle of 20°. Then this diamond hole array mold was imprinted into a tri-layer resist stack consisting of nanoimprint resist, 20 nm SiO2 and 150 nm ARC. After a series of RIE etching, an array of ARC diamond pillars was left on the substrate (Fig. 2a). With precise alignment, 16 nm Cr was deposited obliquely by e-beam evaporation along the direction of the new grating formed by the diamond pillars (Fig. 2b). After lift-off, RIE etching and removal of the Cr mask, a 1-D grating with a new period is formed on the substrate. The new period is measured to be 610 nm, which corresponds to an angle of 19°, very close to our designed 20°, between the two original grating set.

In summary, we propose a method of large area mold fabrication for 1-D grating structures with arbitrary periods tuned in a wide range, simply by overlapping nanoimprinting using a fixed period grating mold. The method is based on the Moiré pattern formation of two superimposed identical gratings. We experimentally demonstrates this method by fabrication a grating of 610 nm pitch from a 200 nm pitch grating mold.

[1] Z. Yu, W. Wu, L. Chen, S. Y. Chou, Fabrication of large area 100 nm pitch grating by spatial frequency doubling and nanoimprint lithography for subwavelength optical application, JVST B, 2001, 19(6): pp. 2816-2819

Page 83: NNT 2010 Abstract Book

83

NNT’10

(a) (b)

Fig. 1. (a) Schematic of tuning the grating pitch through the Moiré pattern formed when two identical gratings are overlapped together with certain offset angle. The two original grating sets have a period of p0 and a width of w0 and the overlapping angle is θ; the newly generated grating has a period of P and a grating width of W. (b) Plot of calculated new pitch P versus the overlapping angle θ.

(a) (b) (c)

Fig. 2. SEM pictures of fabrication process of the large area mold with grating varied from original grating mold. (a) ARC pillars after RIE etching of a tri-layer thermal nanoimprint resist stack imprinted by a diamond hole array mold. The diamond hole array mold was made by nanoimprint lithography based fabrication through overlapping two identical grating molds with a grating period of 200 nm. (b) Shadow evaporation of 16 nm Cr with precise alignement along the ARC pillar array direction. (c) SiO2 gratings formed by Cr lift-off and RIE etching, with a new period of 610 nm.

Page 84: NNT 2010 Abstract Book

84

NNT 2010 Conference Program and Proceed-ing

NANoIMPRINT LITHogRAPHy foR SoLAR CELL TEXTuRISATIoN Hubert Hauser*, B. Michl*, S. Schwarzkopf*, V. KŸbler*, C. MŸller**, M. Hermle* and B. BlŠsi*

* Fraunhofer Institute for Solar Energy Systems ISE, Heidenhofstr.2, D-79110 Freiburg, Germany ** Laboratory for Process Tech-nology, Department of Microsystems Engineering – IMTEK, University of Freiburg, Georges-Kšhler-Allee 102, 79110 Freiburg,

Germany Phone: +49 (0) 761 4588 5992, Fax: + 49 (0) 761 4588 99 81, Email: [email protected]

Already in the 1960s it was shown, that texturing a solar cell«s front surface helps to increase its efficiency [1]. This gain is a result of an enhanced absorption within the cell due to a reduced front surface reflectivity and so called light trapping effects. First this texturing was broadly introduced to monocrystalline silicon (c-Si), where different etching velocities of distinct crystal planes in alka-line solutions can be exploited to obtain pyramidal textures [2]. These pyramidal textures reveal ex-cellent optical properties. Since this is not possible for multicrystalline silicon (mc-Si) an acidic wet chemical etching was introduced, leading to an isotropic etching behaviour. Predominantly, these two wet chemical processes for c-Si and mc-Si are applied maskless resulting in stochastic textures in the industrial fabrication of solar cells. However, looking at the highest efficiencies achieved for silicon solar cells, defined textures were applied using photolithographic processes [3, 4]. At the Fraunhofer ISE, we are working on nanoimprint lithography (NIL) processes to structure etching masks for solar cell texturisation. Since the highest benefit, resulting of a defined texturing scheme, can be obtained for mc-Si, we are working on the so called honeycomb texture for this type of material. This honeycomb texture shows a hexagonal symmetry having a period of around 10 µm. The master structures are fabricated using three beam interference lithography (figure 1). The resulting photoresist structures are then replicated via electroforming and subsequent cast molding in PDMS. The structured PDMS bonded on a quartz substrate is used as stamp for the UV-NIL. The result of the NIL process is also shown in figure 1. Finally, the polymer pattern defined by NIL is used as etching mask within plasma etching processes. The samples are characterized using scanning electron microscopy (SEM), fourier spectroscopy for reflection measurements (figure 2) and the quasi-steady state photoconductance (QssPC) method to measure the minority charge car-rier lifetime. Besides the honeycomb texture, we are working on a second concept using the same process chain. In this second concept a diffractive grating with a period in the sub-µm regime is realized on the back side of the solar cell. Again the aim is to enhance the overall absorption within the silicon. In addition to working on the proof-of-concept of this process chain using a planar stamp setup in conventional nanoimprint lithography, we are also developing a roller-NIL tool to allow a continuous process flow. There we have to deal with the requirements set by the presented application. As we want to allow short processing times, it is favorable to use UV-curable resists. However, we have to deal with non-transparent, rough (in case of mc-Si), stiff and brittle silicon substrates. To meet these requirements, we use a soft and transparent stamp situated on a quartz cylinder, in which our UV-light source is implemented. Figure 3 shows an imprinted pattern using our newly developed tool on top of a glass substrate (glass was used as substrate material for test purposes).

References:

[1] “Photovoltaic conversion, 1. High efficiency silicon solar cells”, B. Dale and H.G. Rudenberg, 14th annual power sources conference, p. 22-5 (1960) [2] “Light Trapping properties of pyramidally textured surfaces”, P. Campbell and M. A. Green, J. Appl. Phys. 62(1), (1987). [3] “Novel 19.8% efficient ‘‘honeycomb’’ textured multicrystalline and 24.4% monocrystalline silicon solar cells”, J. Zhao, A. Wang, M.A. Green, F. Ferrazza, Applied Physics Letters, 73 (1998) pp. 1991–1993 [4] “Multicrystalline Silicon Solar Cells Exceeding 20% Efficiency”,O. Schultz, S.W. Glunz and G.P. Willeke, Prog. Photovolt: Res. Appl. 12 (2004) pp.553–558

Page 85: NNT 2010 Abstract Book

85

Fig. 1: SEM images of the master structure fabricated via three beam interference lithographyinto a positive tone photoresist on top of a glass substrate (left side, structured area 150x150mm²) and the via NIL replicated pattern in a negative tone photoresist on top of a multicrystalline silicon substrate (right side, structured area 100x100mm²).

0.0

0.5

1.0

1.5

SolarIrradiance

[W/(m

2µm)]

0.3 0.4 0.5 0.6 0.7 0.8 0.9 1.0 1.1 1.2

10

20

30

40

50

60

70

Ref

lect

ivity

[%]

Wavelength [µm]

Plane reference (mc-Si) Isotropic texture (mc-Si) NIL Honeycomb (mc-Si) NIL Honeycomb (c-Si)

Fig. 2: Reflection measurements of differently textured silicon multicrystalline (mc-Si) and monocrystalline silicon (c-Si) as well as the solar irradiation (AM1.5g spectrum) in the range relevant for silicon solar cells. The isotropic texture is the industrial state of the art for mc-Sisolar cells. The honeycomb texture is realized via NIL and plasma etching processes. The honeycomb texture on c-Si shows better results than on mc-Si substrates. This is due to a less homogenous NIL process because of the rough mc-Si surfaces. However, also on mc-Si the honeycomb texture is already superior to the isotropic texture.

Fig. 3: SEM image of a via roller-NIL structured polymer layer on top of a glass substrate. Comparing this result to the master structure shown in figure 1, it is obvious that the resist layer thickness has to be adjusted.

Page 86: NNT 2010 Abstract Book

86

NNT 2010 Conference Program and Proceed-ingNNT 2010 Conference Program and Proceeding

Page 87: NNT 2010 Abstract Book

87

industriAl implementAtion for productionP10: Residual Layer Free Polymer Waveguides and Microring Resonators Realized With Uvas-sisted Nanoimprint: Robert Kirchner, Fraunhofer-Institute Ipms; René Kullock - Lukas Eng - Wolf-Joachim Fischer

Page 88: NNT 2010 Abstract Book

88

NNT 2010 Conference Program and Proceed-ing

Topic No. 9 – Industrial Implementation Preference: Oral

RESIDUAL LAYER FREE POLYMER WAVEGUIDES AND MICRORING

RESONATORS REALIZED WITH UV-ASSISTED NANOIMPRINT

R. Kirchner1,2*, R. Kullock3, L. M. Eng3, W.-J. Fischer1,2 1Fraunhofer-Institute for Photonic Microsystems, 01109 Dresden, Germany

2Institute of Semiconductor and Microsystems Technology and 3Institute of Applied Photophysics, Technische Universität Dresden, 01062 Dresden, Germany

*[email protected] INTRODUCTION Since its introduction in the mid 1990s, nanoimprint lithography (NIL) evolved to an alternative, binary lithography as well as direct polymer and 3D patterning technique. Direct polymer patterning can realize planar photonic [1], fluidic and mechanical structures for micro-nano-systems. Residual polymer layers are inherent for standard processes of thermal assisted NIL (T-NIL) or UV assisted NIL (UV-NIL). These residual lay-ers can be avoided by hybrid techniques [2,3], which enable the selective UV curing of im-print features and avoid an exposure of the residual layer by UV transparent imprint features and light blocking feature surroundings, respectively (Fig. 2, 3). For UV-NIL, polymer syn-thesis offers the engineering of dedicated, functional imprint resists such as one with superfi-cial anchoring groups for post-imprint biofunctionalization [4] for biosensorics. SCOPE The scope of this work was the direct UV-NIL imprinting of freestanding, hence re-sidual layer free, polymer waveguides and microring resonators (Fig. 1). Therefore, the resi-dual layer was avoided to waive an additional O2 reactive ion etching, which impairs the chemical and topological properties of already imprinted functional polymers. RESULTS Hybrid nanoimprint molds (HNMs) (Fig. 3) were realized by a) electron beam lithography on a 6025 photomask substrate with an antireflective chromium (Cr) coating, b) a subsequent dry reactive etching of the Cr and c) dry reactive quartz etching with the Cr as a hard mask. The etching depth was 1 µm and the critical feature was a 200 nm gap between the bus and ring waveguide (Fig. 1, 5). The Cr mask was left on the mold to obtain a HNM. With the Discontinuous Galerkin (DG) methode [5], the maximum residual layer thick-ness without exposure in the gap was theoretically calculated to be in the order of the expo-sure wavelength in the resist (~ 240 nm) (Fig. 4) as reported similarly for periodic structures [6]. Antisticking layers can be formed on Cr oxides [7,8]. Hence the HNM was coated with 1H,1H,2H,2H-perfluorodecyltri-chlorosilane and successfully imprinted into a customized [4] UV-NIL resist. Sufficient adhesion of the freestanding, high aspect ratio structures was needed. Even though the demolding was enhanced by a positive mold sidewall of 87° and the shrinkage of the acrylate resist, a demolding force of about 1 kg (~ 0.7 g/mm²) was measured. To still enable a successful demolding without waveguide delamination, the substrate was prepared with a reactive trichlorosilane adhesion promoter prior to imprinting in order to ena-ble a covalent resist-substrate bonding. The resulting imprints were completely residual layer free (Fig. 5, 6). This enables a direct patterning of functional imprint materials without the need for a final residual layer removal. Further research focuses on simulations of the exposure of residual layers under singular sub-wavelength gaps during hybrid imprint techniques on substrates with UV transparent layers. Acknowledgement Funding by the DFG as a Research Training Group (DFG 1401/1), the Fraunhofer Society and the Sächsische AufbauBank is gratefully acknowledged. Special thanks are expressed to Photronics MZD GmbH / Jenoptik Laser, Optik, Systeme GmbH for the imprint mold prepa-ration and to A. Hille for the DG simulations.

Page 89: NNT 2010 Abstract Book

89

References: (Word Count: 502) [1] Chao, C.; Fung,W.; Guo,L.J.; IEEE J. Quantum. Elect. 12 (2006); pp. 134 [2] Chen,Y.; Carcenac,F.; Ecoffet,C.; Lougnot,D. J.; Launois,H.: Microelectron. Eng. 46 (1999); pp. 69 [3] Cheng,X.; Guo,L.J.; Microelectron. Eng. 71 (2004); pp. 277 [4] Kirchner,R.; Landrgaf,R.; Bertram,M.; Fischer,W.-J.; Proc. 2nd Workshop Mikro-Nano-Integration

(2010); pp. 153; ISBN 978-3-8007-3216-6 [5] Hille,A.; Kullock,R., Grafstrom,S.; Eng,L. M.; J. Comput. Theor. Nanosci. 7 (2010); pp. 1581 [6] Hirai,Y.; Kikuta,H.; Sanou,T., J. Vac. Sci. Technol. B 21 (2003), pp. 2777 [7] Anac,I.; McCarthy,T.J.; J. Colloid Interf. Sci. 331 (2009); pp. 138 [8] Kirchner,R.; Adolphi,B.; Landgraf,R.; Fischer,W.-J.; Proc. SPIE Volume 7545; pp. 75450U-1

Fig. 1: Schematic of an imprinted micro-ring resonator without a residual layer.

Fig. 2 Schematic of a hybrid nanoimprint mold (HNM) for imprinting of waveguides.

Fig. 3 Top view of a hybrid nanoimprint mold with quartz imprint cavities (dark) and light blocking Cr surroundings (bright).

Fig. 4 Finite element simulation with the DG method [5] of a HNM on a 175 nm residual layer on silicon without exposure of the resi-dual layer.

Fig. 5 Gap between the imprinted ring (left) and the bus waveguide (right) after direct UV-NIL without any residual layer.

Fig. 6 HNM imprint showing a thin residual polymer layer of ~ 70 nm in a region without a chromium mold layer and no residual layer in a region with a Cr mold layer.

residual layer

no residual layer

no residual layerinside the gap

substrate

bus waveguide

ring waveguide

Si substrate

gap

quartz mold

chromium sub-wavelength gap

residual layer (unexposed)

Page 90: NNT 2010 Abstract Book

90

NNT 2010 Conference Program and Proceed-ingNNT 2010 Conference Program and Proceeding

Page 91: NNT 2010 Abstract Book

91

mAteriAl issues P11: Nanostructuration By Nanoimprint Lithography of Hybrid Silica Coatings : Alban A Le-tailleur, Saint-Gobain Recherche; Cedric Boissière, CNRS/UPMC; François Ribot, CNRS/UPMC; Clément Sanchez, CNRS/UPMC; Jérémie Teisseire, CNRS/Saint-Gobain; Etienne Barthel, CNRS/Saint-Gobain; Elin Sondergard, CNRS/Saint-Gobain; Stefan Mc Murtry, Université De Technolo-gie De Troyes; Christophe Couteau, Université De Technologie De Troyes; Gilles Lérondel, Univer-sité De Technologie De Troyes; Nicolas Chemin, Saint-Gobain RechercheP12: Effects of The Surface Properties of Self-Assembled Monolayer (SAM) Treated Nanoim-print Molds for Molding and Demolding Processes: Kazuhisa Kumazawa, Nippon Soda Co., Ltd.; Yoshitaka Fujita, Nippon Soda Co., Ltd.; Norifumi Nakamoto, Nippon Soda Co., Ltd.; Ha-ruo Saso, Nippon Soda Co., Ltd.P13: Direct Imprinting, Post Processing, and Characterization of Functional UV-Curing Ma-terials: Holger Schmitt, Fraunhofer IISB; Fabian Kett, Fraunhofer IISB; Mathias Rommel, Fraun-hofer IISB; Anton J Bauer, Fraunhofer IISB; Michael Hornung, SUSS Microtec Lithography Gmbh; Lothar Frey, Fraunhofer IISB, Chair of Electron DevicesP14: Light Extraction Study on Quantum Dots Embedded in Nanoimprinted Silica Matrix: Alban A Letailleur, Saint-Gobain Recherche; Thomas Richardot, CNRS/Saint-Gobain; François Ribot, UPMC/Collège De France; Cédric Boissière, UPMC/Collège De France; Clément Sanchez, UPMC/Saint-Gobain; Etienne Barthel, CNRS/Saint-Gobain; Elin Søndergård, CNRS/Saint-Gobain; Christophe Couteau, Université De Technologies Troyes; Gilles Lérondel, Université De Technologies Troyes; Nicolas Chemin, Saint-Gobain RechercheP15: Reduction of The Release forces of Polymers for Thermal NIL and Their Quantification: Hakan H Atasoy, Micro Resist Technology Gmbh; Marko Vogler, Micro Resist Technology Gmbh; Tomi Haatainen, VTT Micro and Nanoelectronics; Arne Schleunitz, Paul Scherrer Institute; Helmut Schift, Paul Scherrer Institute; Freimut Reuther, Micro Resist Technology Gmbh; Gabi Gruetzner, Micro Resist Technology GmbhP16: Resists for Efficient Nanoimprint Processes - Challenges for The Materials Scientist: Mar-ko Vogler, Micro Resist Technology; Freimut Reuther, Micro Resist Technology; Anna Klukowska-Kahlenberg, Micro Resist Technology; Gabi Grützner, Micro Resist TechnologyP17: A Method for Estimation of Rheological Behaviour of Ultrathin Resist Films: Dariusz Jarz-abek, Warsaw University of Technology; Zygmunt Rymuza, Warsaw University of Technology

Page 92: NNT 2010 Abstract Book

92

NNT 2010 Conference Program and Proceed-ing

nAnostructurAtion By nAnoimprint litHoGrApHY of HYBrid silicA coAtinGs

Alban Letailleur*, Cédric Boissire**, Fran ois Ribot**, Clément Sanchez**, Jérémie Teisseire*, Etienne Barthel* , Elin Sønder-gaard* ; Christophe Couteau*** , Stefan Mc Murtry*** , Gilles Lérondel*** , Nicolas Chemin****

*CNRS/ Saint-Gobain Surface du Verre et Interfaces, FRANCE **Université Paris 6 Chimie de la Mati re Condensée de Paris, FRANCE *** Université de Technologie de Troyes, ICD-LNIO, FRANCE **** Saint-Gobain Recherche, FRANCE

In nanoimprint lithography, thermoplastics or UV-curable resists are classically used, but recently interest has developed on the patterning of metal oxides because of their functionalities and long term stability. Hybrid materials provide an easy way towards oxide thin films. Recently we have proposed hybrid sol-gel silicates as an innovative class of resists for NIL. For instance we were able to reproduce patterns with sub-100 nm lateral size and aspect ratio greater than 1 into hybrids sol-gel silica (Fig. 1) and to obtain pure silica structures after thermal annealing [1]. However, to control imprinting, it is crucial to understand the rheological properties of these new resists.

The excellent patterning ability of hybrid silicate sol-gels is connected to a combination of low viscosity at moderate temperature (for deformability) and reactivity (which stabilizes the imprinted material). Here, using Dynamic Mechanical Analysis (DMA), we measure the thermorheological behavior of Methyltriethoxysilane (MTES) gel films and we demonstrate that these silicates exhibit a glass transition around room temperature (Fig. 2). In the initial stage of the imprint, the soft state above the Tg explains the easy embossing of the sol-gel coatings. During persistent heating, the gel condensation leads to an increase of the Tg and therefore to the vitrification when Tg crosses the sample temperature. This provides long-term stability. Isothermal treatments of these reactive gels can be interpreted in analogy with the thermal curing of thermosets and rationalized in a time-temperature-transformations (TTT) diagram (Fig. 3). [2]

In terms of material structure, we demonstrate by Infrared spectroscopy that the vitrification is connected to a condensation threshold, and that this threshold is independent of the imprint temperature (Fig. 4). However, we show that the elaboration chemistry has a strong impact on the initial microstructure and therefore on the vitrification.

In conclusion, we established a framework which can be used to tune the imprinting conditions and to control the rheological properties of complex hybrids materials for process design.

references: [1] “Nanoimprint Lithography on Silica Sol-Gels: A Simple Route to Sequential Patterning” Christophe Peroz, Vanessa Chauveau, Etienne Barthel, and Elin Sondergard, Advanced materials 21, 555 (2009) [2] “Chemorheology of Sol-Gel Silica for the Patterning of High Aspect Ratio Structures by Nanoimprint”, Alban Letailleur, Jérémie Teisseire, Nicolas Chemin, Etienne Barthel, and Elin Sondergard, Chem. Mater. 22, 3143 (2010) materials.

Page 93: NNT 2010 Abstract Book

93

fig. 1 SEM picture of imprinted structures in hybrid silica sol-gel coating: Dot array of about 50 nm diameter, 150 nm period and 150 nm height. The inset shows a detailed view. Imprints are performed at 130 °C.

fig. 2 Evolution of the elastic modulus during successive temperature cycles between room temperature and 60°C. The glass transition temperature progressively shifts to higher values during heating, leading to the vitrification of the materials.

fig. 3 Vitrification time as a function of temperature measured by scratch testing (squares) and DMA (triangles). The logarithmic dependence is characteristic of the vitrification of thermosetting materials.

fig 4. SiOSi bonds peak intensity at various temperatures probed by transmission FTIR. SiOSi bonds are responsible for the crosslinking of the materials leading to vitrification. Dotted horizontal lines signal the onset of vitrification which appears independent on the temperature.

Page 94: NNT 2010 Abstract Book

94

NNT 2010 Conference Program and Proceed-ing

EffECTS of THE SuRfACE PRoPERTIES of SELf-ASSEMbLED MoNoLAy-ER (SAM) TREATED NANoIMPRINT MoLDS foR MoLDINg AND DEMoLDINg

PRoCESSES Kazuhisa Kumazawa, Yoshitaka Fujita, Norifumi Nakamoto, and Haruo Saso Nippon Soda, Co., Ltd., Chiba Research Center,

Research & Development Division, 12-54, Goi-Minamikaigan, Ichihara, Chiba 290-0045, Japan

In nanoimprint technology, antisticking layer treatment is an important tool for accurate tran-scription of nanometer-ordered patterns. The self-assembled monolayer (SAM), which consists of fluorinated molecules, is widely adopted as antisticking layers for nanoimprint molds, because they form molecular-scale ultrathin films with low surface energy. However, although there are many SAM treatment methods, differences from SAM forming molecules and methods are not clear. Here, we demonstrate the properties of SAM for nanoimprint molding and demolding pro-cesses based on the effects of SAM molecules and forming methods. Surface properties of SAM treated substrates were analyzed by various methods. We used SAM forming molecules with a silane coupling part as follows: Perfluoropolyether (PFPE), CF3(CF2)3CH2CH2Si(OCH3)3 (FAS-9), CF3(CF2)5CH2CH2Si(OCH3)3 (FAS-13), and n-CH3(CH2)17Si(OCH3)3 (ODS). SAM forming processes are as follows: First, all substrates were cleaned by UV/O3. FAS-9, FAS-13, and ODS-SAMs were formed by chemical vapor deposition (CVD) with 150 ¡C, 2 h in Teflon¨ vessels. In the case of PFPE, substrates were immersed in the PFPE solution for 3 min, and rinsed with fluoroether. another ODS-SAM was formed by “SAMLAY¨-A” which is the ODS-SAM forming agent developed by our company[1]. The substrates were immersed in SAMLAY¨-A for 3 min, and rinsed with hydrocarbon solution. Table 1 shows static and dynamic contact angles of SAMLAY¨-A and PFPE treated silicon wa-fers. Wettability of SAMLAY¨-A and PFPE treatment were almost the same (108¡ and 109¡, respectively). On the other hand, contact angles of PAK-01 (Toyo Gosei Kogyo) were 66¡ and 82¡. Water sliding angle displays that SAMLAY¨-A was smaller than PFPE treatment (8¡ and 18¡, respectively). By the extension/contraction method with butyl carbitol acetate (BCA), the hyster-esis of advancing and receding angles of SAMLAY¨-A and PFPE was measured. The hysteresis of SAMLAY¨-A and PFPE was 3¡ and 18¡, respectively. Therefore, the lubricating properties of the SAMLAY¨-A treated surface was better than PFPE treatment in spite of its lower wettability. Figure 1 displays the SEM image of SAMLAY¨-A treated quartz mold. 100 nm L/S pattern was shown to be traced accurately. Figure 2 displays the UV nanoimprint result of PAK-01 resin by the quartz mold. The UV imprint condition was 0.1 kN, 500 mJ/cm2, 5 seconds. The mold pat-tern was transcripted without any demolding defect.

Page 95: NNT 2010 Abstract Book

95

θaθaθrθr

References[1] K.Kumazawa, N. Nakamoto, Y. Fujita, T. Takahashi, D. Asanuma, M. Shimada, T. Hidaka, H. Suzuki, H.Saso, presented at NNT’06 (2006).

Table 1. Contact angles of SAMLAY®-A and PFPE treated silicon wafers.

Static contact angle Sliding angle Extension/contraction methodTreatment

water PAK-01 water [30 l] hysteresis (BCA[a-r])

SAMLAY®-A 108° 66° 8° 3°

PFPE 109° 82° 18° 18°

*BCA: Butyl carbitol Acetate

Sliding angle Extension/contraction method

Figure 1. SEM images of SAMLAY®-A treated quartz mold.

Figure 2. SEM images of PAK-01 (Toyo Gosei Kogyo Co., Ltd.) layer

imprinted with SAMLAY®-A treated quartz mold.

α°

θa

θr

Mg・sinαMg.

Page 96: NNT 2010 Abstract Book

96

NNT 2010 Conference Program and Proceed-ing

Direct imprinting, post processing, and characterization of functional UV-curing H. Schmitt*, F. Kett*, M. Rommel*, A.J. Bauer*, M. Hornung**, L. Frey*

,*** *Fraunhofer Institute for Integrated Systems and Device

Technology (IISB), Germany **SUSS MicroTec Lithography GmbH, Germany ***Chair of Electron Devices (LEB), Germany

Nanoimprint lithography (NIL) based patterning techniques typically use the imprinted resist as etching or lift-off mask to pattern underlying layers. This technology already proved to result in a high resolution. But, the ongoing success of the optical lithography, significant imprint related defects, and expensive subsequent pattern transfer processes prevented the introduction of NIL into large scale industrial applications. One way to promote NIL for industry is to identify low cost applications which can be realized by NIL and which will accept several minor imprint related defects (e.g., the texturing of LED surfaces to improve their light extrac-tion). another implementation might be the direct imprinting of elements like conductive paths, bond pads, or RFID antennas with a functional material. A functional material already has the physical properties of the final element.

For UV-NIL, a functional material is a suspension of an UV-curing material that defines the shape of the element and a nanopowder, e.g. silver (Ag) that defines its functionality (electrical conductivity). In this work, three functional (silver filled) UV-curing materials were patterned exemplarily with the imprint stepper NPS300 and analyzed. 25 imprints were performed on an oxidized silicon substrate (diameter: 150mm) with aluminum (Al) contacts and alignment marks on top of it by combined nanoimprint and photolithography (CNP) patterning technique [1].

For CNP, a template (see Fig. 1) with transparent (quartz) and opaque (200nm chromium on quartz) areas was used. During UV-exposure, the UV-curing material just hardened below the transparent areas of the template. Non cured material was removed wet chemically, which is a low cost process. One silver filled UV-curing material was ELX30UV from Electra Polymers Ltd. which consisted of more than 60wt% of silver powder with an average particle size (aps) of several µm. Additionally, the UV-curing materials DELO-PHO-TOBOND GB310 and mr-UVCur21SF (micro resist technology) were mixed with 60wt% Ag nanoparticles (aps: 20nm) and homogenized with magnetic stir bars for 24h. The curing times at 60mW/cm¥ were 10sec for ELX30UV, 40sec for mixed GB310 (GB310-60Ag), and 80sec for mixed mr-UVCur21SF (mr-UVCur21SF-60Ag). After imprinting, the non cured resist was removed with acetone. A typical final imprint field with mr-UVCur21SF-60Ag can be seen in Fig. 2.

The thicknesses of the final imprinted layers were 10µm for ELX30UV, 12µm for GB310-60Ag, and 15µm for mr-UVCur21SF-60Ag, respectively. For the latter two, a smaller layer thickness was expected, but the nanoparticles formed agglomerates in the polymer matrix (see Fig. 3) which could not be compressed by the imprint pressure of 3bars. For smaller thicknesses, an improved homogenization of the functional materials with e.g. a three roll mill is necessary to break the agglomerates. The imprinted layers were post treated by plasma (150W, 0.5h, gas: air) and/or temperature (hot plate, 1h, variable temperature).

In Figs. 4 and 5, cross sectional secondary electron microscopy (SEM) images of GB310-60Ag (350¡C) and ELX30UV (plasma, 350¡C) are shown. The ELX30UV layer consists of separated Ag flakes, whereas for GB310-60Ag, a “sintered” Ag layer with differently orientated grains can be seen. So, nanoparticles (even ag-glomerated) are in principle more suited than µm flakes to achieve bulk like material. For the electrical char-acterization, the specific resistance was measured by the van-der-Pauw method [2].

In Fig. 6 it can be seen that ELX30UV (plasma, 350¡C) resulted in the lowest specific resistance of 1.5á10-

5

Ωácm. This is just one order of magnitude higher than the specific resistance of bulk Ag (1.6á10-6

Ωácm). The lowest specific resistance for the self mixed suspensions was 4.5á10

-5Ωácm for GB310-60Ag (350¡C). Further

results on the minimization of the specific resistance of the suspensions will be shown and the transfer to large area imprinting will be discussed. The authors gratefully acknowledge the financial support of the Bavarian Research Foundation (AZ-864-09) as well as DELO Industrial Adhesives and mirco resist technology for the supply of the UV-curing materials. References:[1] X. Cheng, L.J. Guo, Microelectron. Eng. 71, 277 (2004)[2] L.J. van der Pauw, Philips Tech. Rev. 20, 220 (1958) Fig. 1 Image of a CNP template with transparent Fig. 2 Image of an imprint with the resist mr (quartz) and opaque (200nm thick Cr layer) areas. UVCur21SF-60Ag on an oxidized Si substrate with The size of the template is 1cm¥. Al contact fingers after development.

Page 97: NNT 2010 Abstract Book

97

Fig. 1 Image of a CNP template with transparent (quartz) and opaque (200nm thick Cr layer) areas. The size of the template is 1cm².

Fig. 2 Image of an imprint with the resist mr-UVCur21SF-60Ag on an oxidized Si substrate with Al contact fingers after development.

Fig. 3 SEM cross sectional image of GB310-60Ag after imprinting. Ag agglomerates and the UV-curing material GB310 can be seen.

Fig. 4 SEM cross sectional image of GB310-60Ag after a temperature treatment of 350°C. The inlet shows differently orientated Ag grains.

Fig. 5 SEM cross sectional image of ELX30UV after plasma and temperature (350°C) treatment.

Fig. 6 Influence of the post-processing (plasma and/or temperature treatment) on the specific resistance for the commercial resist ELX30UV as well as the two suspensions GB310-60Ag and mr-UVCur21SF-60Ag.

silver flakes

oxidized Si substrate

Ag agglomerates

UV-polymer GB310

200nm

„sintered“ Ag layer

oxidized Si substrate

oxidized Si substrate

oxidized Si substrate

Al contacts

imprinted resist

Page 98: NNT 2010 Abstract Book

98

NNT 2010 Conference Program and Proceed-ing

Topic No. 4 – Materials Oral

light extraction study on Quantum dots embedded in nanoimprinted silica matrix

Alban letailleur*, Thomas Richardot*,François Ribot**, Cédric Boissière**, Clément Sanchez**, Etienne Barthel* , Elin Søndergård* ; Christophe Couteau***, Gilles Lérondel***, Nicolas Chemin****

*CNRS/ Saint-Gobain Surface du Verre et Interfaces, FRANCE **Université Paris 6 Chimie de la Matière Condensée de Paris, FRANCE

*** Université de Technologie de Troyes, ICD-LNIO, FRANCE **** Saint-Gobain Recherche, Produits Composites et Revêtements de Surface, FRANCE

[email protected]

Patterning large surfaces on a sub-micrometer scale is a key issue for the emergence of a wide variety of applications from physics to biology. Based on the deformation of a surface under the pressure of a master mold, Nanoimprint lithography (NIL) enables to pattern functional materials, and therefore the fabrication of multifunctional coatings. In photonics, nanostructures can provide new or enhance properties: e.g. antireflection or polarization of light can be achieved. Recent interest has been developed on light extraction, i.e. increase of the light output in light emitting devices. NIL is therefore a method of choice for the direct patterning of luminescent materials.

Contrary to organic dyes, II-VI quantum dots (QDs) exhibit a sharp emission band, no photobleaching, and a greater stability against UV or temperature. Moreover, the emission wavelength can be tuned through the synthesis by changing the size or the QD nature. Reboud et al. incorporated CdSe/ZnS nanocrystals inside a UV-curable resist and observed an increase of the photoluminescence signal after patterning by NIL [1]. However, the introduction of QDs inside a polymer matrix may lead to a decrease of the luminescence. Moreover, polymer coatings do not usually present long term stability. We have recently developed a new resist for NIL to obtain, via the sol-gel process, patterned silica coatings with high thermal, chemical and mechanical stability [2]. The versatility of the sol-gel process enables the incorporation of a large variety of species (molecules, particles…) inside the silica matrix.

We report here on the synthesis and incorporation of quantum dots inside patterned silica thin films. For a precise control over the luminescence properties and the surface chemistry, CdSe/CdZnS core-shell QDs were synthesized from molecular precursors at high temperatures in non-polar solvents (Fig 1) [3]. These QDs show sharp emission in the visible range around 600 nm (Fig 2). At this stage, the QDs are dispersed in non-polar solvents and the main challenge is their redispersion inside the water rich silica precursor solution. By modifying the QDs surface chemistry, or adding a thin silica shell, we were able to incorporate the QDs inside the solution and to deposit thin films. We further successfully imprinted these silica thin films with PDMS stamps. Stamps were obtained from silicon master mold produced by interference lithography (Fig 3). Finally, we investigated the luminescence properties of the films (Fig. 4), and the impact of the patterning and pattern design on the light extraction.

[1] “Spontaneous emission control of colloidal nanocrystals using nanoimprinted photonic crystals”, V. Reboud,N. Kehagias, C. M. Sotomayor Torres, M. Zelsmann, M. Striccoli, M. L. Curri, A. Agostiano, M. Tamborra, M. Fink, F. Reuther, and G. Gruetzner, App. Phys. Lett. 90 11115 (2007) [2] “Chemorheology of Sol-Gel Silica for the Patterning of High Aspect Ratio Structures by Nanoimprint”, Alban Letailleur, Jérémie Teisseire, Nicolas Chemin, Etienne Barthel, and Elin Sondergard, Chem. Mater. 22, 3143 (2010) [3] ”Synthesis, encapsulation, purification and coupling of single quantum dots in phospholipid micelles for their use in cellular and in vivo imaging” Olivier Carion, Benoît Mahler, Thomas Pons, and Benoit Dubertret Nature Protocols 2, 2383 (2007)

Page 99: NNT 2010 Abstract Book

99

figure 1. TEM images of core-shell CdSe/ CdZnS nanoparticles dispersed in chloroform. The average particles size is 6.5± 1 nm. The scale bar is 10 nm

figure 2. Absorbance (dotted lines) and luminescence (solid lines) spectra of core only (blue lines) and core-shell (red lines) nanoparticles. The particles show absorption in the UV range

and high luminescence around 600-650 nm.

figure 3. An example of pattern imprinted in silica. The pattern was first reproduced from Si master into PDMS, then was imprinted in the hybrid silica coating. The presented fifth order symmetry pattern was obtained by interferential lithography after multiple exposures. The

scale bar is 5 µm.

figure 4. Photoluminescence spectra of the silica thin film doped with quantum dots on patterned (right) and unpatterned (left) area. The PL spectra are collected under normal

incidence. The excitation wavelength was 325 nm. The signal intensity increases 90% in the patterned area.

Page 100: NNT 2010 Abstract Book

100

NNT 2010 Conference Program and Proceed-ing

Topic No. 4 Poster

reduction of tHe releAse forces of polYmers for tHermAl nil And tHeir QuAntificAtion

H. Atasoy*, M. Vogler*, T. Haatainen**, A. Schleunitz***, H. Schift***, F. Reuther*, G. Gruetzner*

*micro resist technology GmbH, Koepenicker Str. 325, 12555 Berlin, Germany ** VTT Micro and Nanoelectronics, 02044 VTT, Finland

***Paul Scherrer Institute, Laboratory for Micro and Nanotechnology, 5232 Villigen, Switzerland

The reduction of the release forces is of great importance and an urgent requirement on the way to mass production in nanoimprint lithography [1]. As a material supplier, micro resist technology has tackled this issue by modification of its well-established NIL polymers mr-I 7000E and mr-I 8000E applying fluorinated additives.

The overall release forces observed in the imprint tool during demolding is the main indication for the applicability of a polymer type in a particular process and should be extensively investigated [2, 3]. The single contributions to the release forces like capillary forces, chemical bonding during imprinting, mechanical interlocking etc. are important to fundamentally understand the process and to further fine-tune the material properties. The results of AFM-assisted nano-indentation and surface energy measurements will be shown in this contribution providing valuable information in order to assess the macroscopic effects.

Considering the complexity to interpret the large number of parameters which contribute to the overall release forces, it is not possible to obtain quantitative data by only microscopic characterization [3]. Therefore, step & repeat (S&R) NIL experiments were carried out in parallel to quantify the release forces in the tool environment. Investigations were performed varying the stamp size and density of the structures on the stamps, by imprinting into differently modified polymers, and by using different stamp materials (silicon stamps or OrmoStamp copies) with or without anti-sticking layers. The results of the S&R imprint experiments were correlated to the microscopic measurements. An about 40% reduction of the release forces was observed with the modified polymer compared to original mr-I 7000E (Fig. 3).

The successful modification of the polymers has enabled defect-free imprints down to 50 nm (Fig. 1 and 2). The demolding step was observed to be significantly eased during experiments. The high stress experienced by the stamp and the substrate during demolding due to the adhesive forces (especially on 4-inch and larger wafers) has been decreased. Supported by the surface characterization data and release force measurements, a new family of NIL polymers with improved release properties (mr-I 7000R and mr-I 8000R) could be designed.

Page 101: NNT 2010 Abstract Book

101

references:

[1] “Nanoimprint Lithography”, H. Schift and A. Kristensen, in Handbook of Nanotechnology, 2nd ed., edited by B. Bhushan Springer, Berlin, (2007), pp. 239–278

[2] “Quantitative characterization of a nanopatterned bonded wafer: Force determination for nanoimprint lithography stamp removal”, S. Landis, N. Chaix, C. Gourgon and T. Leveder, Nanotechnology 19 (2008), 125305

[3] “Adhesion between template materials and UV-cured nanoimprint resists”, F. A. Houle, E. Guyer, D. C. Miller, R. Dauskardt, J. Vac. Sci. Technol. B 25 (2007), 4

fig. 2 A complex pattern with a structural width of 100 nm imprinted into the modified resist mr-I 7030R.

fig. 1 75 nm mesas imprinted with a Si-mould (F13-OTCS coated) in mr-I 7030R coated on a Si substrate.

fig. 3 Comparison of the release forces between standard mr-I 7000E and the modified mr-I 7000R.

Page 102: NNT 2010 Abstract Book

102

NNT 2010 Conference Program and Proceed-ing

Topic No. 4 (Materials) Oral

resists for efficient nanoimprint processes - challenges for the materials scientist

M. Vogler*, F. Reuther*, A. Klukowska-Kahlenberg*, G. Grützner* *micro resist technology GmbH, Köpenicker Str. 325, 12555 Berlin, Germany

Nanoimprint lithography (NIL) is becoming more and more important as a manufacturing technology in nanofabrication. This development underlines the need for efficient nanoimprint processes and suitable tools, templates, and materials to enable implementation of NIL in industry. In this presentation we will show how the design of nanoimprint polymers and resists contributes to achieve cost efficiency. Apart from the nanoimprint tool design having a major impact on this requirement materials play an important role in the NIL implementation, since their physical and chemical properties define the process conditions. We illustrate how key parameters have been addressed in established NIL polymers as well as in new developments. Major achievements in thermal as well as UV-based NIL are shown.

Core requirements of cost efficiency are fast imprint processes and low defectivity. This has to be “translated” into specific physical parameters for thermal NIL and UV-based NIL. Fast imprinting mainly means fast polymer flow and low viscosity. The factor defectivity can be achieved with low release forces during mould detachment and simultaneously good substrate adhesion in order to avoid pattern tear-off. The chemist has to chemically engineer the interfaces substrate/imprint polymer and imprint polymer/mould, since most defect-related issues arise from mould release rather than from filling of them.

In thermal NIL the successive steps of heating up, imprinting, and cooling down have been shortened by stepwise modifications of nanoimprint polymers towards better flow behaviour. Zero shear viscosity values η0 of the used nanoimprint polymers used illustrate this progress. The pretreatment of the mould with an anti-sticking layer is increasingly often regarded as a step, which has to be omitted for cost reasons. Therefore, we integrated anti-sticking properties into NIL materials by modification of established products. The resulting new NIL materials exhibit effectively reduced release forces and lower defectivity.

In UV-based NIL pattern filling and UV exposure time are the key parameters for short process cycles that can be addressed by the material supplier. The diligent selection of raw materials led low-viscous materials with excellent filling properties. The adjustment of photocuring components increased the curing speed to enable exposure times in the range of few seconds.

It has been a permanent challenge for the design of nanoimprint materials to simultaneously fulfil a large set of process prerequisites and cost-related requirements, which are partially contradictory and need a careful trade-off. The progress in this field will speed up the implementation of NIL in industrial fabrication.

Page 103: NNT 2010 Abstract Book

103

Page 104: NNT 2010 Abstract Book

104

NNT 2010 Conference Program and Proceed-ing

A method for estimation of rheological behaviour of ultrathin resist films

Dariusz Jarzabek, Zygmunt Rymuza

Warsaw University of Technology - Institute of Micromechanics and Photonics

ul.Sw.A.Boboli 8

02-525 Warsaw, Poland

[email protected]

Abstract

The indentification of the rheological behaviour of the ultrathin resist films used in e.g.in nanoimprint lithography (NIL) is very important to predict the flow of the polymeric resist into the nanocavities of the NIL mold [ 1,2 ]. The problem relates in particular to the estimation of the viscosity of the resist ultrathin (with thickness below 1 µm) film spin-coated on silicon substrate in the function of temperature to identify the ability to flow the resist material under pressure during the real NIL process.

The methods used to estimate the viscosity of the material in bulk are not applicable for the above mentioned purpose. These methods are not applicable for the estimation of the viscosity of the material in the form of ultrathin films. There are some proposals in these area [3-6 ]. The main concerns relate to the accuracy, complexity of the theoretical analysis and experimental techniques. There is a need for reliable, accurate and relatively simple method and technique to indentify rheological properties of polymeric materials used e.g. in NIL processes to evaluate their properties prior to apply them in real NIL process.

We have started the systematic study towards the solution of the problem with the use of Atomic Force Microscope (AFM) as a measurement tool. Two possible methods took our attention: one based on the measurement of the friction forces and another one on the measurement of damping of the oscillations of the probe introduced into the material of the studied ultrathin film.

In the first case the at small shear rates the viscosity η can be estimated when the friction (lateral force) F is measured with the use of AFM and other parameters as area of surfaces A separated by a distance D and moving laterally to each other with velocity v:

F= η Av D-1

The shear rat γ e is defined as γ =vD-1

The use of the AFM cantilever with special glued ball with relatively large diameter e.g. above 600 µm at very small thickness of the tested film (in nanometer range) is enough to simulate experimentally the flow of the tested medium according the above formula at AFM scanning.

The method was used to estimate the viscosity of the resist films and compare the results with the measurements carried out with the use of the second , main method.

Page 105: NNT 2010 Abstract Book

105

In the case of oscillating probe probe was solved and then complex equations and formula was used to extract the viscosity of the studied polymeric resist.

The schematic of the oscillating probe is show

Figure. Oscillating prob

The resonant frequency was in the range of 16 kHz . The change of amplitude of oscillations (innanometer range) and phase shiftthe tested resist.

The method was used to estimate the viscosity and elasticity of the polymeric resist PMMA filmswith thicknesses in the range of 30in particular in the area of glass transition temperature be reliable and accurate to study thenm.

References

1. C.M.Sotomayor Torres (ed), Alternative Lithography, Kluwer Academic,2. L.J. Guo , Nanoimprint lithography: Methods and material 495-513 3. A.A.Svintsov et al., Viscosity measurement of nanoimprint lithography resists with a rheological nanoindenter, J.Vac.Sci.Techn., 2007, 4.J.N. Israelachvili, Measurements of viscosity of thin fluid films betweenwithout adsorbed polymers, Colloid Pol5.S.Itoh et al., Fiber wobbling method for dynamconfined in molecularly narrow gaps, Tribology Letters, 6. M.Papi et al., Detection of microviscosity by using uncalibrated atomic force microscopy, Appl.Phys.Lett., 2008, 93, 124102

ase of oscillating probe introduced into the tested film the equation of movement complex equations and formula was used to extract the viscosity of the

probe is shown in Figure.

Figure. Oscillating probe used in studies of viscosity of resist films

The resonant frequency was in the range of 16 kHz . The change of amplitude of oscillations (inphase shift were registered and used to estimate the viscosity

method was used to estimate the viscosity and elasticity of the polymeric resist PMMA filmss in the range of 30-1000 nm. The effect of temperature on the viscosity was studied

in particular in the area of glass transition temperature Tg and above Tg. The method appeared to to study the ultrathin polymeric resist films with thickness

1. C.M.Sotomayor Torres (ed), Alternative Lithography, Kluwer Academic, New York 2003, Nanoimprint lithography: Methods and material requirements, Adv. Mater. 2007,

Viscosity measurement of nanoimprint lithography resists with a rheological , J.Vac.Sci.Techn., 2007, 25, 2435-2438

, Measurements of viscosity of thin fluid films between two surfaces with and adsorbed polymers, Colloid Polym.Sci., 1986, 264, 1060-1065

5.S.Itoh et al., Fiber wobbling method for dynamic viscoelastic measurement of liquid lubricant confined in molecularly narrow gaps, Tribology Letters, 2008, 30, 177-189

M.Papi et al., Detection of microviscosity by using uncalibrated atomic force microscopy, 124102-1-3

into the tested film the equation of movement of the complex equations and formula was used to extract the viscosity of the

e used in studies of viscosity of resist films

The resonant frequency was in the range of 16 kHz . The change of amplitude of oscillations (in to estimate the viscosity and elasticity of

method was used to estimate the viscosity and elasticity of the polymeric resist PMMA films 0 nm. The effect of temperature on the viscosity was studied

The method appeared to films with thickness starting from 30

New York 2003 Adv. Mater. 2007, 19,

Viscosity measurement of nanoimprint lithography resists with a rheological

two surfaces with and

ic viscoelastic measurement of liquid lubricant

M.Papi et al., Detection of microviscosity by using uncalibrated atomic force microscopy,

Page 106: NNT 2010 Abstract Book

106

NNT 2010 Conference Program and Proceed-ingNNT 2010 Conference Program and Proceeding

Page 107: NNT 2010 Abstract Book

107

METROLOGY AND STANDARDS P18: NFFA - Nanoscience Foundries and Fine Analysis: Jens Gobrecht - Christian David - Gior-gio Rossi - Regina Ciancio - Cristina Africh - Roberto Gotter - Giancarlo Panaccione - Roberta Fer-ranti - Daniela Orani - Emilio Lora Tamayo - Luis Fonseca - Justin Greenhalgh - Graham Arthur - Ejaz Huq - Peter Laggner - Heinz Amenitsch - Karin Jungnikl - Barbara Sartori

Page 108: NNT 2010 Abstract Book

108

NNT 2010 Conference Program and Proceed-ing

FFA: anoscience Foundries and Fine Analysis

EERGY, HEALTH and EVIROMET

Page 109: NNT 2010 Abstract Book

109

References Position Paper on Future RTD Activities of MP

for the Period 20102015

Page 110: NNT 2010 Abstract Book

110

NNT 2010 Conference Program and Proceed-ingNNT 2010 Conference Program and Proceeding

Nanoimprint and Nanoprint LithographyP P19: Reactive-Monolayer-Assisted Thermal Nanoimprint Lithography for Fine Metal Pat-terning: Shoichi Kubo, Tohoku University; Koichi Nagase, Tohoku University; Masaru Nakagawa, Tohoku UniversityP20: Fluorescent Radical Polymerization Resin and Its Advantages in UV Nanoimprinting: Kei Kobayashi, Tohoku University; Shoichi Kubo, Tohoku University; Hiroshi Hiroshima, National Institute of Advanced Industrial Science; Takeshi Ohsaki, Toyo Gosei Co., Ltd.; Shinji Matsui, Uni-versity of Hyogo; Masaru Nakagawa, Tohoku UniversityP21: Improvement of Replica Mold Releasability By “Anti-Sticking Cure Process (Acp)”: Yas-uhide Kawaguchi, Asahi Glass Co.,Ltd.; Kentaro Tsunozaki, Asahi Glass Co.,Ltd.; Lingyi Li, Wase-da University; Shuichi Shoji, Waseda University; Jun Mizuno, Waseda UniversityP22: Characteristics Evaluation of Side Chain Crystalline Polymer for Nanoimprinting: M Okada, University of Hyogo; S Nakano, Nitta Co.; K Yamashita, Nitta Co.; S Kawahara, Nitta Co.; S Matsui, University of HyogoP23: Gas-Assisted Micro-Area Step-And-Flash Imprinting Lithography: Su Shen, Svg Optronics Corp.; Guo Jun Wei, Soochow University; Dong Lin Pu, Soochow University; Lin Sen Chen, So-ochow UniversityP24: Nanoimprinting Solutions for High Density Memory Storage: Gang Luo, Obducat Ab.; Ye Zhou, Obducat Ab.; Roland Palm, Obducat Ab.; Torbj&#246;Rn Eriksson, Obducat Ab.; Babak Heidari, Obducat Ab.P25: Evaluation of Interaction Between Antisticking Layer and UV Curable Resin By Scan-ning Probe Microscopy : Makoto Okada, Graduate School of Science, Univ. Of Hyo; Masayuki Iwasa, Sii Nanotechnology Inc.; Yuichi Haruyama - Kazuhiro Kanda - Kei Kuramoto - Masaru Na-kagawa - Shinji Matsui P26: Fabrication and Characterization of Siox Moth-Eye Structure By Room-Temperature Nanoimprint Using Caged- and Ladder-Hsq: Yuji Kang, University of Hyogo; Makoto Okada, University of Hyogo; Kazuhiro Kanda, University of Hyogo; Yuichi Haruyama, University of Hyo-go; Shinji Matsui, University of HyogoP27: Role of Confinement on Material Flow in Nano-Structured Geometry: Jérémie Teisseire, Surface Du Verre Et Interface - Cnrs/Sai; Amélie Revaux, Surface Du Verre Et Interface - Cnrs/Sai; Maud Sarrant-Foresti, Saint-Gobain Recherche; Elin Sondergard, Surface Du Verre Et Interface - Cnrs/Sai; Etienne Barthel, Surface Du Verre Et Interface - Cnrs/SaiP28: Effects of Substrate Deformation and Its Simple Estimation By Height Analysis of Resist Top Surface: Hiroaki Kawata, Osaka Prefecture University; Norihiro Fujikawa, Osaka Prefecture University; Yuuta Watanabe, Osaka Prefecture University; Masaaki Yasuda, Osaka Prefecture Uni-versity; Yoshihiko Hirai, Osaka Prefecture UniversityP29: Bubble Trapping in UV Nanoimprint Lithography Using A Capacity-Equalized Mold: Qing Wang, Aist; Hiroshi Hiroshima, Aist; Sung-Won Youn, AistP30: Self-Aligned Fabrication of Flexible Organic Thin Film Transistors for Display Back-planes By Means of Nanoimprint Lithography: Herbert Gold, Joanneum Research; Ursula Palfin-ger, Joanneum Research; Thomas Rothländer, Joanneum Research; andreas Petritz, Joanneum Re-search; Frank Reil, Joanneum Research; Barbara Stadlober, Joanneum ResearchP31: Embossing Effect By Ulstrasonic Vibration Nanoimprint System: Atsumasa Sawada, Aist; Kazunori Ootsuka - Harutaka Mekaru - Masaharu TakahashiP32: Angle Controlled Imprints Using Step and Stamp Imprint Lithography: Tomi Haatainen, Vtt; Tapio Mäkelä, Vtt; Jouni Ahopelto, Vtt; Gilbert Lecarpentier, VttP33: Positive Resists for A T-NIL / Uvl Hybrid Lithography: Saskia Möllenbeck, University of Wuppertal; Khalid Dhima, University of Wuppertal; andre Mayer, University of Wuppertal; Hella-Christin Scheer, University of Wuppertal

Page 111: NNT 2010 Abstract Book

111

P34: Etch-Free Lift-Off With Sputtered Layers in T-NIL: Andre Mayer, University of Wupper-tal; Saskia Möllenbeck, University of Wuppertal; Khalid Dhima, University of Wuppertal; Hella-Christin Scheer, University of WuppertalP35: Si(111) Pits Fabricated By UV Nanoimprint Lithography With Replica Molds for The Site-Controlled Deposition of Nanocrystals : Elisabeth Lausecker, University of Linz; Martyna Grydlik, University of Linz; Moritz Brehm, University of Linz; Cornelia Reitböck, University of Linz; Iris Bergmair, Profactor Gmbh; Michael Mühlberger, Profactor Gmbh; Maksym Yarema, University of Linz; Wolfgang Heiss, University of Linz; Thomas Fromherz, University of Linz; Günther Bauer, University of LinzP36: Characterisation of Photoresists With Respect To Thermal Nanoimprint : Khalid Dhima, University of Wuppertal; Saskia Möllenbeck, University of Wuppertal; andre Mayer, University of Wuppertal; Hella-Christin Scheer, University of WuppertalP37: Pssq Templates Fabricated By RuvNIL Technique for Di-Block Copolymer Graphoepi-taxy: Nikos Kehagias, Icn; Richard A Farrell - Marc Zelsmann - Achille Francone - Mustapha Chouiki - Rainer Schoeftner - Vincent Reboud - Justin Holmes - Michael Morris - Clivia Soto-mayor TorresP38: Multi-Tier Mold Fabrication By Gray Scale Laser Lithography Combined With Dry Etching: Sung-Won Youn, National Institute of AistP39: Pattern Transfer of Organic Solar Material Using Novel Template: Norito Hoto, Osaka Pref. Univ.; Tomoki Nishino, Osaka Pref. Univ.; Jyunji Sakamoto, Osaka Pref. Univ.; Hiroaki Kawata, Osaka Pref. Univ.; Yoshihiko Hirai, Osaka Pref. Univ.P41: Structuring Graphene Layers Using NIL: Iris Bergmair, Functional Surfaces&Nanostructures, Profactor; Maria Losurdo - Giovanni Bruno - Goran Isic - Milka Miric - Rados Gajic - Kurt Hingerl - Michael Muehlberger - Rainer SchoeftnerP42: Study and Development of Polymer Destabilization By Capillary NIL: Céline Masclaux, Ltm - Cnrs; Cécile Gourgon, Ltm - CnrsP43: Polymer Phoxonic Crystals Fabricated By Nanoimprint Lithography: Damian Dudek, Catalan Institute of Nanotechnology; Vincent Reboud, Catalan Institute of Nanotechnology; John Cuffe, Catalan Institute of Nanotechnology; Nikolaos Kehagias, Catalan Institute of Nanotechnol-ogy; Clivia Sotomayor Torres, Catalan Institute for Research and Advanced StudieP44: Fabrication of Sub-100 Nm Metal Nanowire Structure By Zero Residual Nanoimprint Lithography: Fantao Meng, Lund UniversityP45: in Situ Characterization of Mold-Resist Adhesion in UV Nanoimprint Lithography: Thomas Glinsner, Evgroup; Marc Zelsmann, Ltm Cnrs Cea Leti; Achille Francone, Ltm Cnrs Cea Leti; Gerald Kriendl, Evgroup; Cristina Iojoiu, Lepmi; Jumana Boussey, Ltm Cnrs Cea LetiP46: Fabrication of Silicone Based Opto-Electronic Ring Resonator With Soft Uv-NIL Pro-cess: Jung Wuk Kim, Amo Gmbh; Namil Koo, Amo Gmbh; Ulrich Plachetka, Amo Gmbh; Jens Bolten, Amo Gmbh; Michael Waldow, Institute of Semiconductor Electronics Rwth Aachen; Christian Moormann, Amo Gmbh; Heinrich Kurz, Amo GmbhP47: Development of Mold Fabrication Processes Using Ormostamp® Material for Step and Repeat Uv-NIL: Corinne Perret, Ltm; Pietroy David, Ltm; Labau Sebastien, Ltm; Gourgon Cecile, Ltm; Boussey Jumana, LtmP48: Sub-7 Nm Gap Bowtie Array By Post Fabrication Size Reduction Using Pressed Self - Perfection By Liquefaction (P-Spel) and Nanoimprint: Jihoon Kim, Princeton University; Fei Ding, Princeton University; Stephen Y Chou, Princeton UniversityP49: Seamless Roller Mold Fabricated By Cylindrical Photolithography and Roller Imprint-ing of Brightness Enhancement Film With Continuous Ball-Lens-Array: Yung-Chun Lee, Na-tional Cheng Kung University; Hong-Wei Chen, National Cheng Kung University

Page 112: NNT 2010 Abstract Book

112

NNT 2010 Conference Program and Proceed-ing

Reactive-monolayer-assisted thermal nanoimprint lithography for fine metal patterning

Shoichi Kubo, Koichi Nagase and Masaru Nakagawa

Institute of Multidisciplinary Research for Advanced Materials (IMRAM), Tohoku University

2-1-1 Katahira, Aoba-ku, Sendai 980-8577, Japan TEL&FAX: +81-22-217-5668, E-mail: [email protected]

Thermal nanoimprint lithography (TNIL) has attracted considerable attention

as a promising new tool for high-resolution, high-throughput nanofabrication since it was first proposed by Chou et al.[1]. We have recently reported reactive-monolayer-assisted thermal nanoimprint lithography (R-TNIL) as an advanced TNIL technique[2]. In R-TNIL, a gold substrate surface is modified by a photocrosslinkable monolayer (PcM), and resist hydrocarbon polymers near a substrate are covalently anchored to the substrate by a photo-induced graft reaction with the PcM (Fig. 1). As a result, the resist polymer film on the substrate is stabilized, leading to the improvement of lateral resolutions of polymer patterns by TNIL and gold patterns by simple wet etching. In this report, we present further techniques to spread R-TNIL widely. Resist properties of polymer films in R-TNIL will be also discussed.

First, R-TNIL was applied to other metals. The terminal group of a molecule forming PcM can be selected according to substrate surface conditions. In the case of gold, PcM was formed from an alkylthiol derivative containing a benzophenone moiety. A trimethoxysilane derivative containing a benzophenone moiety was selected for chromium and copper to form PcM on their oxidation layer at the outermost surface. Figure 2 shows an SEM image of a chromium thin film pattern fabricated by R-TNIL involving wet etching. Copper and silver patterns could be also obtained in a similar manner. In the case of R-TNIL involving electrodeposition, fine gold line patterns with controlled various aspect ratios could be fabricated on submicron scale using a gold substrate masked with a poly(styrene) (PS) resist patterns as a working electrode (Fig. 3).

As metal pattern sizes get smaller, it is necessary for a resist layer to get thinner. Therefore, it is important to understand resist properties of polymer thin films. The study of the resist property of a PS thin film to wet etching proved that infiltration of an aqueous gold wet etchant occurred into the PS thin film. The infiltration behavior depended on PS molecular weight and film thickness. The results indicate that a difference in density distribution in the PS thin films has an influence on the resist property. It was proved that the resist property of PS with weight-average molecular weight 360 kg mol-1 significantly lowered when the thickness was thinner than 0.1 μm.

[1] S. Y. Chou, P. R. Krauss, and P. J. Renstrom, Appl. Phys. Lett. 67 (1995) 3114. [2] H. Oda, T. Ohtake, T. Takaoka, and M. Nakagawa, Langmuir 25 (2009) 6604.

Page 113: NNT 2010 Abstract Book

113

Wet etching Resist removal

Photocrosslinkable monolayer (PcM)

Covalently anchoring of PS to gold substrate

Au

Au-S bond

C-C bond

Stabilization of PS thin films on gold substrates

Benzophenone alkylthiol

Thermal nanoimprint

Polymer thin film(Resist layer)

Gold substrate

PS

Figure 1. Schematic illustration of reactive-monolayer-assisted thermal nanoimprint lithography for wet etching of a gold thin film on a substrate.

10 μm

Figure 2. SEM image of a patterned chromium thin film fabricated by R-TNIL involving wet etching.

100 μm 1 μm

(a) (b)

Figure 3. SEM images of (a) top view and (b) cross section of gold patterns fabricated by R-TNIL involving electrodeposition.

Page 114: NNT 2010 Abstract Book

114

NNT 2010 Conference Program and Proceed-ing

Fluorescent radical polymerization resinand its advantages in UV nanoimprinting

Kei Kobayashi

1Institute of Multidisciplinary Research for Advanced Materials (IMRAM), Tohoku University,2-1-1 Katahira, Aoba-ku, Sendai 980-8577, Japan

1,2, Shoichi Kubo1,2, Hiroshi Hiroshima2,3, Takeshi Ohsaki4,Shinji Matsui2,5, and Masaru Nakagawa1,2

2Japan Science and Technology Agency (JST), Core Research Evolutional Science and Technology (CREST)3National Institute of Advanced Industrial Science and Technology (AIST)4Toyo Gosei Co., Ltd.5Laboratory of Advanced Science and Technology for Industry (LASTI), University of Hyogo

Phone & Fax: +81-22-217-5688, E-mail: [email protected]

Introduction: It is anticipated that Step & Repeat UV nanoimprint lithography (UV-NIL) using a spincoated thin film of UV-curable resin is useful for high-throughput nanofabrication as well as Step & Flash nanoimprint lithography [1] using droplets of UV-curable resin by ink-jet printingtechnique. In the former, it is reported by Hiroshima et al. that the use of easily condensable pentafluoropropane [2] successfully avoids bubble trap often occurring in UV nanoimprinting under air atmosphere. In this report, we investigated the occurrence of imprinted pattern defects owing to bubble trap on submicron scales under air and pentafluoropropane atmospheres by using a fluorescent UV-curable resin causing radical photopolymerization [3]. In addition to facile detection of pattern defects and residual layer thickness reported previously [3], we will present that the fluorescent UV-curable resin was available for detecting resin adhesion to mold surface, detecting uniformity of antisticking layers on mold surfaces, and analyzing imprinted line pattern pitches on submicron scale.Experimental: A spincoated film (0.1 µm thickness) of a fluorescent UV-curable resin [3] was subjected to Step & Repeat UV nanoimprinting at 1.0 MPa using a silica mold (10 x 10 mm)modified with Daikin Optool-DSX. The total period for one shot was set about 20 s. Imprinted resin patterns and used mold surfaces were observed by fluorescence microscopy [3].Summary: Figure 1 shows our proposing Step & Repeat UV-NIL procedures involving pattern defects detection by fluorescence microscopy. Figure 2 shows the fluorescence microscope images of UV-nanoimprinted resin patterns using a 0.1 µm thin film of the fluorescent UV-curable resin, with results for UV-nanoimprinting under air and pentafluoropropane atmospheres indicated in Fig. 2a and 2b, respectively. It was obvious that many pattern defects owing to bubble trapunder air atmosphere were observed as dark dots indicating no resin on a silicon substrate. The bubble trap was induced because pushing out air bubbles was suppressed in resin filling owing to the spincoated resin film of 0.1 µm thickness. In the case of UV-nanoimprinting under pentafluoropropane atmosphere, it was difficult to observe such bubble trap in resin patterns. We confirmed by using the fluorescent UV-curable resin that the Hiroshima method [2] using the easily condensable gas was useful for UV-nanoimprinting using a spincoated rein film. Figure 3 shows the fluorescence microscope image of a modified silica mold after UV-nanoimprinting under air atmosphere. It was proved that partial resin adhesion to the mold surface was caused under air atmosphere. It was found that the resin adhesion to the mold surface occurred near air bubbles trapped between mold and substrate surfaces. This will be because radical polymerization of the resin is suppressed by oxygen included in air. Therefore, pentafluoropropane had a suppressive effect of resin adhesion to a mold surface. In our presentation, we introduce the detection of uniformity of antisticking layers on mold surface by observation of fluorescent resin patterns and the resolution limit of observing fluorescent resin line patterns.[1] M. Colburn et al.: Proc. SPIE-Int. Soc. Opt. Eng. 3676 (1999) 379[2] H. Hiroshima et al.: Jpn. J. Appl. Phys., Part 1 46 (2007) 6391[3] K. Kobayashi, N. Sakai, S. Matsui, and M. Nakagawa: Jpn. J. Appl. Phys., (2010) in press

Page 115: NNT 2010 Abstract Book

115

spincoating S&R UV-nanoimprintunder PFP atmosphere

fluorescent UV-curable resin

defect detection fluorescent image

Fig. 1. Step & Repeat UV-nanoimprinting using a spincoated thin film of a fluorescent UV-curable resin under easily condensablepentafluoropropane atmosphere, followed by detecting pattern defects by fluorescence microscopy.

scale: 100 µm

(a) (b)

Fig. 2. Fluorescence microscope images of UV-imprinted resin patterns fabricated under (a) air and (b) pentafluoropropane atmosphere.

scale: 100 µm

Fig. 3. Fluorescence microscope image of an Optool-DSX-modified silica mold surface after UV-nanoimprinting under air atmosphere.

Page 116: NNT 2010 Abstract Book

116

NNT 2010 Conference Program and Proceed-ing

[email protected]

Improvement of replica mold releasability by “Anti-sticking Cure Process (ACP)”

Yasuhide Kawaguchi1, Kentaro Tsunozaki1, Lingyi Li2, Tomotaka Shibazaki2, Shuichi Shoji2 and Jun Mizuno2

Asahi Glass Co., Ltd. 1 1150, Hazawa-cho, Kanagawa-ku, Yokohama-shi, Kanagawa-ken, 221-8755, Japan

Waseda University 2

513 Wasedatsurumakicho Shinjuku,Tokyo 162-0041,Japan

Nanoimprint is now widely recognized as an effective process of nanofabrication, and is

beginning to be realized in the manufacturing of magnetic storage media, various optical components and biological applications. In order to improve the productivity of the nanoimprint process, much effort has been put into making replicated molds from the master mold. In our previous work, we have introduced mold replication using fluorinated UV curable resins (NIF) by UV nanoimprint [1,2]. Because of the low surface energy of NIF, the whole nanoimprint process can be conducted without the use of any release agent (Fig. 1). The process is very simple compared to other mold replication methods, and therefore, the productivity of the nanoimprint process can be improved dramatically. Recently we have developed “Anti-sticking Cure Process (ACP)”, a new process to

improve mold release properties of NIF molds [3]. In ACP, first a NIF mold is fabricated by UV nanoimprint, and after it is detached from the master mold, the NIF mold is irradiated by a strong UV light (wavelength 300-400nm). When ACP is applied, mold release becomes much easier in UV nanoimprint using NIF molds. The Mechanism is not yet clear, but the contact angle of water on the NIF mold increases by ACP so fluorinated components seems to be diffusing to the surface. We also suppose that active functional groups remaining on the surface of NIF is eliminated by ACP (Fig. 2).

In this paper, ACP process and its evaluation results will be presented, and the mechanism of the improvement of the mold release properties by ACP will be discussed.

Moreover, we will introduce applications of NIF such as antifouling coating and fingerprint removal, in witch ACP is used to improve hardness and water-repellency..

Page 117: NNT 2010 Abstract Book

117

[email protected]

References:

[1] K. Tsunozaki, Y. Kawaguchi, T. Yoneda, “Fluorinated materials for nanoimprint process”, The 6th international conference on nanoimprint and nanoprint technology (Paris, France, 2007). [2] K. Tsunozaki, Y. Kawaguchi, “Preparation methods and characteristics of fluorinated polymers for mold replication”,Microelectron. Eng. 86 (2009) 694–696. [3] Lingyi Li, Yasuhide Kawaguchi, Kentaro Tsunozaki, Jun Mizuno, Shuichi Shoji, “Improvement of replica mold releasability due to post cure”, The 57th Japan Society of Applied Physics Spring Meeting (Kanagawa, Japan, 2010).

Figure 1. Schematic diagram of the nanoimprint process using NIF mold.

Figure 2. Change in NIF’s surface free energy by ACP process.

Page 118: NNT 2010 Abstract Book

118

NNT 2010 Conference Program and Proceed-ing

° °

°

°

Page 119: NNT 2010 Abstract Book

119

4242424257575757

1.0E+05

1.0E+06

1.0E+07

1.0E+08

4242424257575757

1.0E+05

1.0E+06

1.0E+07

1.0E+08

μμ

°

°

Page 120: NNT 2010 Abstract Book

120

NNT 2010 Conference Program and Proceed-ing

Topic No. 1 Oral

Gas-assisted micro-area step-and-flash imprinting lithography S. Shen*, G. J. Wei, D. L. Pu, L. S. Chen

*Institute of Information Optical Engineering, University of Soochow, Peoples Republic of China

To meet the critical demand of manufacturing nano-/micro structure on large-scale substrates, a gas-assisted micro-area step-and-flash imprinting lithography (S-FIL) approach is proposed here. Unlike the conventional S-FIL, the present micro-area step-and-flash imprinting lithography offers every imprinting unit another two control parameters: space-orientation angle θ and mechanical embossing pressure f, which enable the fabrication of optical variable diffractive image by our technique possible.

Figure 1 shows a schematic of the proposed micro-area step-and-flash imprinting lithography approach. A small hard mold that contains nanoscale surface-relief features is pressed into a polymeric material cast on a substrate at a controlled pressure and orientation angle, thereby creating complex pattern in the polymeric material. To stabilize the imprinting process, a novel gas-assisted pressure technique is introduced, as illustrated in figure 2. The pressure is maintained by two high-precision relief valve units. The prototype machine of the present system is demonstrated in figure 3. An optical variable diffractive image is fabricated as an example. Patterns with a period of 900nm on a flat nickel stamp are fabricated by using holographic lithography and electro-forming process. The diameter of imprinting unit is 5mm. A portrait of Dr. R. W. Freynnman and the obtained optical varible diffractive image are shown in figure 3(a) and 3(b). The physical mechanism of the optical variable diffractive image lies in the fact that diffraction efficiency is influenced by the azimuth angle of the gratings in each embossing unit. In our experiment, the azimuth angles of the imprinting units vary from -30o to 30o, calculated by a self-defined digital imaging processing program.

The present approach has the potential to become a commercially viable technology for low-cost production of high-performance and high-throughput micro-/nano scale patterning-based optical devices, such as optical variable diffractive image etc.

Page 121: NNT 2010 Abstract Book

121

Figure 1. schematic of the proposed micro-area step-and-flash imprinting lithography approach

Figure 2. Gas-assisted micro-area imprinting lithography approach. 1. Gas source; 2. Air clearner; 3. Flow divider; 4~5. relief valve units; 6. Imprinting cylinder.

Figure 3. Photo of the prototype machine.

(a) (b)Figure 4. A portrait of Dr. R. W. Freynnman and the fabricated optical

varible diffractive image

Page 122: NNT 2010 Abstract Book

122

NNT 2010 Conference Program and Proceed-ing

Title: Nanoimprinting solutions for high density memory storage.

Authors: Gang Luo, Ye Zhou, Roland Palm, Torbjörn Eriksson, Babak Heidari

Obducat Technologies AB, Malmö SE-201 25, Sweden

[email protected]

Moore’s Law has predicted the developing trend of the computing wares, in which the number of transistors that can be placed inexpensively on an integrated circuit has been doubled approximately every 18 months due to the technique development and the marketing requirement. It can also be applied to the field of memory capacities, the hard disk storage device. The memory storage products for the next generation on the market will be mainly based on 500 Gbit/inch2 or hopefully 1Tbit/inch2 techniques. It means the pitch and dot size will be 36 nm and 18 nm, 24nm and 12 nm respectively [1, 2]. Obducat has been focusing on the high density memory storage for long time. We try to build a systematic processing technique for the patterning methods, from the pattern generation, stamp fabrication, pattern transfer in mass production to storage unit foundation. Here we will introduce our unique technologies: Electron Beam Recording (EBR), Intermediate Polymer Stamp (IPS) and Simultaneous Thermal and UV (STU) processes. With those techniques, nanoimprint lithography might be a patterning solution for the next generation of high density memory storage.

The dots pattern was written with the EBR system, to produce a stamp for use in Nanoimprint process (Fig 1a. and b.). As a start point a pitch of 60 nm and the diameters of the dots is 30 nm was used. The size could be decreased farther towards the goal of 1Tbit/inch2. IPS processes were adopted to duplicate the pattern from the stamp, in which the imprinting process on a soft polymer film was used to protect the stamp from contamination and destroy (Fig 1 c.) and d.)). The pattern was transferred to final target substrate with the STU process (Fig 1 e.) and f.)). With the optimization of processing parameters, the size and height of the final dots structure were same as that on the stamp. In demonstrated samples, the diameter and the height of the structure was 30 nm and 40 nm, which is same as the original stamp, the residual layer thickness after imprint was at sub-10nm level. Final substrates ware etched and cleaned after imprint process. The magnetic behavior of the each storage units were characterized (Fig 2.) demonstrating results according to the expectation.

[1] X. M. Yang and S. Xiao et al. J. Vac. Sci. Technol. B, 25(6), 2202 (2007)

[2] G. M. Schmid and M. Miller et al. J. Vac. Sci. Technol. B, 27(2), 573 (2009)

Page 123: NNT 2010 Abstract Book

123

Fig 1. a.) and b.) are the SEM images of the imprinting stamp, c.) and d.) are the SEM images of the inversed duplication on IPS stamps, e.) and f.) are the SEM images of imprinted dots on the final

substrates.

Fig 5: AFM and corresponding MFM image of the nanopatterns after planarization. Note that the sample was first demagnetized and then magnetized under a magnetic field of 2 kOe.

Page 124: NNT 2010 Abstract Book

124

NNT 2010 Conference Program and Proceed-ing

Page 125: NNT 2010 Abstract Book

125

()

()

()

()

Page 126: NNT 2010 Abstract Book

126

NNT 2010 Conference Program and Proceed-ing

Fabrication and characterization of SiOx moth-eye structureby room-temperature nanoimprint using caged- and ladder-HSQ

Y. Kang1,2, M. Okada1, 2,3, K. Kanda1,2, Y. Haruyama1,2, and S. Matsui1,2

1University of Hyogo, Kamigori, Ako, Hyogo 678-1205, Japan2JST-CREST, Sanbancho, Chiyoda-ku, Tokyo, 102-0075, Japan

3JSPS, Sumitomo-Ichiban Bldg., 6 Ichibancho, Chiyoda-ku, Tokyo 102-8472, Japan

Tel: +81-0791-58-1432, E-mail:[email protected]

The phenomenon of antireflection is widely used to insertion losses at the interfaces between different

optical media. The moth-eye pattern with tapered profile, high aspect ratio, and the pitch smaller than the

wavelength of the light, the effective refractive index near the changes gradually, and reduces for a wide

spectral bandwidth. Many techniques are available for fabricating moth-eye patterns. Nanoimprint

lithography (NIL) is very useful technique to make nanopatterns with simple process. So far, the

moth-eye nano patterns were formed using thermal- and UV-NIL process and increased the transmittance

of the glass.1) We reported room-temperature NIL (RT -NIL) process using liquid-phase hydrogen

silsesquioxane (HSQ) with hard-poly(dimethyl siloxane) (h -PDMS; Shin-Etsu Chemical Co., X-32-3095)

mold.2) This process replicated nanostructures at RT, low pressure, and high throughput. Moreover, the

excellent property of HSQ after annealing was its chemical similarities to SiO2. In this study, we

fabricated the SiOx moth-eye structures on a glass by RT-NIL using liquid-phase HSQ.

In this experiment, we used two types of HSQ with different molecular structure. One was the caged

structure. The other was ladder structure. We performed Fourier transform infrared (FT-IR) to confirm

how annealing effects internal portion of both HSQ resin, as shown in Fig. 1. This result indicates that

both HSQ resin was modified to SiOx structure after 600 °C annealing in vacuum. Figures 2(a) and 2(b)

show the imprinted patterns of caged- and ladder-HSQ before annealing, respectively. The pitch and

height are 270 nm and 400 nm. Following, the imprinted HSQ patterns were annealed at 600 °C for 1

hour in vacuum. The imprinted pattern of caged-HSQ flowed after 600 °C annealing, as shown in Fig 2(c).

On the other hand, the profile of the ladder-HSQ pattern remained after 600 °C annealing, as shown in Fig.

2(d). Figure 3 show the result of the transmittance of the glass with the imprinted structure before and

after annealing. While the bare glass showed only 91.7% at 600 nm wavelength, the transmittance values

of glass with caged- and ladder-HSQ patterns before annealing increased to 94.4% and 95.3%. However,

the transmittance value of glass with caged-HSQ after annealing drastically decreased to 92.6% and that

with ladder-HSQ after annealing slightly decreased to 94.8% .

In conclusion, we have successfully fabricated SiOx moth-eye structure by RT-NIL and it enhanced

transmittance of glass. The different of molecular structure effected the degradation of the transmittance

with annealing.

Page 127: NNT 2010 Abstract Book

127

References

1) K. Han et al., Sol. Energy. Mater. Sol. Cells, 86, 657 (2009).

2) Y. Kang et al., Jpn. J. Appl. Phys, to be published (2010)

Fig. 1. FT-IR spectra: Annealing temperature dependence of (a) caged-HSQ and (b) ladder-HSQ.

(a) (b)

Fig. 2. SEM image of the imprinted pattern: (a) caged-HSQ before annealing, (b) ladder-HSQ before

annealing, (c) caged-HSQ after 600 °C annealing, (d) ladder-HSQ after 600 °C annealing.

Fig. 3. Transmittance of the glass with imprinted structure before and

after 600 °C annealing: (a) caged-HSQ, (b) ladder-HSQ

500 nm

(a) (b) (c) (d)

(a) (b)

500 nm 500 nm 500 nm

Page 128: NNT 2010 Abstract Book

128

NNT 2010 Conference Program and Proceed-ing

role of confinement on material flow in nano-structured geometry

Jérémie Teisseirea, Amélie Revauxa, Maud Sarrant-Forestib, Elin Sondergarda, Etienne Barthela

a Surface du Verre et Interface, Unité Mixte CNRS/Saint-Gobain UMR 125, Aubervilliers, 93303, France b Saint-Gobain Recherche, Aubervilliers, 93303, France

e-mail: [email protected]

Keywords: nanoimprint lithography, nanostructure, thin films, relaxation, PMMA, confinement, lubrication

Nano-Imprint Lithography (NIL) [1-2] is a powerful technique for surface texturation at nanoscale size. It uses direct impression of the motives, usually on thin thermoplastic polymers films, resulting in a strong potential for large area, low cost texturation [3-4]. For efficient imprinting, models have been proposed to describe the flow during the process [5-7]. They have shown the important role of the rheology of the material and its wetting properties in relation to the geometry. Indeed NIL is often applied to thin films and the slowing down of the flow due to confinment is a primary concern.

Here, we propose to quantify the effect of confinement on material flow in thin polymeric films such as resist layers in Nano Imprint Lithography (NIL). Above the glass transition temperature, surface patterns relax under the action of surface tension: from the decay rate of the patterns, the flow rate can be quantified. In the context of newtonian fluids with small deformations, we give an analytical expression for the flow rate as a function of the confinement (fig. 1) 2πH/λ (H: thickness of the layer; λ structure period). The predictions have been tested on a model material, PMMA: we have monitored the viscous relaxation of nano-structures due to Laplace pressure and identified the impact of 2πH/λ on the relaxation time (fig. 2 and fig. 3).

For large film thickness H, we recover the bulk regime of relaxation [8] while for severe confinement (i.e. thin film); the lubrication approximation is shown to apply [9]. As predicted by our model, the transition region extends between 0.3 < 2πH/λ < 3 (fig. 1). The lubrication regime appears to exist only for very thin layer in comparison with the confined regime. Our results should allow easier qualitative understanding and prediction of imprint process parameters on many systems.

[1] S.Y.Chou, P.R.Krauss, P.J.Renstrom, Science, 272, 85 (1996) [2] S.Y.Chou, P.R.Krauss, P.J.Renstrom, Appl.Phys.Lett., 67 (1995), 3114 [3] C. Peroz, C. Heitz, E. Barthel, E. Sondergard, V. Goletto, J Of Vacuum Sci., 27 2007 [4] C. Peroz, V. Chauveau, E. Barthel, E. Sondergard, Adv. Mat. 21, 555, 2009 [5] H.D.Rowland et W.P.King, J. Micromech. Microeng., 14 (2004), 1625-32 [6] H.D.Rowland, A.C.Sun, P.R.Schunk W.P.King, J. Micromech. Microeng., 15 (2005), 2414-25 [7] G.L.W.Cross, J. Phys. D: Appl. Phys., 39 (2006), 363-386 [8] M. Hamdorf, D. Johannsmann, J. of Chem .Phys., 12 (2000), 4262-70 [9] T.Leveder, S.Landis, L.Davoust, Appl.Phys.Lett., 92 (2008), 013107

Figure 1. Evolution of 1/τ normalized by τ_bulk in function of the confinement of the layer: the line shows the general case and the dashed line shows the high confined regime (lubrication approximation).

Figure 2. Evolution of AFM profil of PMMA thin layer (2m) after structuration and during curing 10 degrees above the Tg.

Figure 3. Evolution of the height of structures as a function of time of curing. The line is calculated by our model.

Page 129: NNT 2010 Abstract Book

129

role of confinement on material flow in nano-structured geometry

Jérémie Teisseirea, Amélie Revauxa, Maud Sarrant-Forestib, Elin Sondergarda, Etienne Barthela

a Surface du Verre et Interface, Unité Mixte CNRS/Saint-Gobain UMR 125, Aubervilliers, 93303, France b Saint-Gobain Recherche, Aubervilliers, 93303, France

e-mail: [email protected]

Keywords: nanoimprint lithography, nanostructure, thin films, relaxation, PMMA, confinement, lubrication

Nano-Imprint Lithography (NIL) [1-2] is a powerful technique for surface texturation at nanoscale size. It uses direct impression of the motives, usually on thin thermoplastic polymers films, resulting in a strong potential for large area, low cost texturation [3-4]. For efficient imprinting, models have been proposed to describe the flow during the process [5-7]. They have shown the important role of the rheology of the material and its wetting properties in relation to the geometry. Indeed NIL is often applied to thin films and the slowing down of the flow due to confinment is a primary concern.

Here, we propose to quantify the effect of confinement on material flow in thin polymeric films such as resist layers in Nano Imprint Lithography (NIL). Above the glass transition temperature, surface patterns relax under the action of surface tension: from the decay rate of the patterns, the flow rate can be quantified. In the context of newtonian fluids with small deformations, we give an analytical expression for the flow rate as a function of the confinement (fig. 1) 2πH/λ (H: thickness of the layer; λ structure period). The predictions have been tested on a model material, PMMA: we have monitored the viscous relaxation of nano-structures due to Laplace pressure and identified the impact of 2πH/λ on the relaxation time (fig. 2 and fig. 3).

For large film thickness H, we recover the bulk regime of relaxation [8] while for severe confinement (i.e. thin film); the lubrication approximation is shown to apply [9]. As predicted by our model, the transition region extends between 0.3 < 2πH/λ < 3 (fig. 1). The lubrication regime appears to exist only for very thin layer in comparison with the confined regime. Our results should allow easier qualitative understanding and prediction of imprint process parameters on many systems.

[1] S.Y.Chou, P.R.Krauss, P.J.Renstrom, Science, 272, 85 (1996) [2] S.Y.Chou, P.R.Krauss, P.J.Renstrom, Appl.Phys.Lett., 67 (1995), 3114 [3] C. Peroz, C. Heitz, E. Barthel, E. Sondergard, V. Goletto, J Of Vacuum Sci., 27 2007 [4] C. Peroz, V. Chauveau, E. Barthel, E. Sondergard, Adv. Mat. 21, 555, 2009 [5] H.D.Rowland et W.P.King, J. Micromech. Microeng., 14 (2004), 1625-32 [6] H.D.Rowland, A.C.Sun, P.R.Schunk W.P.King, J. Micromech. Microeng., 15 (2005), 2414-25 [7] G.L.W.Cross, J. Phys. D: Appl. Phys., 39 (2006), 363-386 [8] M. Hamdorf, D. Johannsmann, J. of Chem .Phys., 12 (2000), 4262-70 [9] T.Leveder, S.Landis, L.Davoust, Appl.Phys.Lett., 92 (2008), 013107

Figure 1. Evolution of 1/τ normalized by τ_bulk in function of the confinement of the layer: the line shows the general case and the dashed line shows the high confined regime (lubrication approximation).

Figure 2. Evolution of AFM profil of PMMA thin layer (2m) after structuration and during curing 10 degrees above the Tg.

Figure 3. Evolution of the height of structures as a function of time of curing. The line is calculated by our model.

Page 130: NNT 2010 Abstract Book

130

NNT 2010 Conference Program and Proceed-ing

Effects of Substrate Deformation and its Simple Estimationby Height Analysis of Resist Top Surface

Hiroaki Kawata*,**, Norihiro Fujikawa*, Yuuta Watanabe*,Masaki Yasuda*,** and Yoshihiko Hirai*,**

*Osaka Prefecture University, Japan **CREST JST, Japan

E-mail [email protected]

Since high pressure (~10MPa) is applied in thermal nanoimprint, substrate is deformed during the press. Illustration of substrate deformation is shown in Fig. 1. During the press the Si substrate is deformed by the applied pressure (Fig. 1(a)). When the press pressure is removed, the Si wafer recovers the flat surface (spring back). Then, the top surface of the PMMA film is curved and the top surface shape reflects the Si substrate deformation. The imprinted PMMA pattern shape is often degraded and the variation in residual layer thickness is induced by the substrate deformation. In this report effects of the substrate deformation are examined. (110) Si wafer of 10 × 10 mm2 square is used as the mold substrate. Cavity patterns with vertical and very smooth side wall are fabricated by KOH anisotropic etching. The cavity width and depth are 2 µm and 3 µm, respectively. The pattern area is 2.5 × 2.5 mm2 square. The mold has a wide flat region. The lateral position, x, is measured from the mold center. The lateral positions of the pattern edge and the wafer edge are shown in Fig. 1(b)). The mold is pressed to 7.5 µm thick PMMA film (Mw = 350k) on Si substrate of 30 × 30 mm2 square. The press conditions are 170 ºC, 7.5MPa for 15 min and the press pressure is released after the cooling to 40 ºC. Note that the resist is pushed outside from the flat area. The results for the 1000 µm and the 200 µm substrates are shown in Figs. 2 and 3, respectively. The top figures show the height profile of the resist top surfaces by the stylus step (KLA-Tencor, Alphastep IQ). The bottom figures show the PMMA profiles at the center (x=0 mm) and the pattern edge (x=1.25 mm). For the 1000 µm substrate good PMMA pattern is obtained only around the pattern edge and the resist filling is incomplete in a large part of the pattern area. The good and defect pattern areas are clearly found in the circles A and B of the height profile of the resist top surface. For the 200 µm substrate good pattern is obtained in the whole pattern area. The pattern defects are induced by the resist flow from the wide flat region.They can be reduced by the flexibility of the substrate deformation when thin substrate is used. The height profile is curved and the variation of the top surface position is associated to the residual PMMA thickness. Figure 4 shows the height profile of the resist top surface and the residual layer thickness measured by SEM pictures for the 200 µm substrate. The variations of the both curves are similar. It is clear that the height profile of the resist top surface provides important information for the substrate deformation.

Page 131: NNT 2010 Abstract Book

131

(b)(a)Fig. 1 Schematic views of substrate deformation for (a) during press and (b) after release.

-10123

0 1 2 3 4 5

PM

MA

surf

ac

e

he

ight

(um

)

Lateral position (mm)

-4-2024

0 1 2 3 4 5Lateral position (mm)

A

B

(a) (a)

(b) (c) (b) (c)

5µm 5µm 5µm 5µm

Fig. 2 Imprint results for 1000µm substrate. (a) height profile of the resist top surfaces, (b)and (c) are PMMA patterns at center (x=0 mm) and pattern edge (x=1.25mm), respectively.

Fig. 3 Imprint results for 200µm substrate. (a) height profile of the resist top surfaces, (b)and (c) are PMMA patterns at center (x=0 mm) and pattern edge (x=1.25mm), respectively.

-4

-2

0

2

4

0

2

4

6

8

0 1 2 3 4 5

PM

MA

He

ight

(um

)

Re

sid

ua

l thic

kne

ss (u

m)

Lateral position x (mm)

Fig. 4 Height profile of the resist top surfaces and the residual PMMA thickness for 200µm substrate.

Cavity depth

Page 132: NNT 2010 Abstract Book

132

NNT 2010 Conference Program and Proceed-ing

Page 133: NNT 2010 Abstract Book

133

Page 134: NNT 2010 Abstract Book

134

NNT 2010 Conference Program and Proceed-ing

Self-aligned fabrication of flexible organic thin film transistors for display backplanes by means of nanoimprint lithography

H. Gold, U. Palfinger, T. Rothländer, A. Petritz, F. Reil, and B. Stadlober Institue of Nanostructured Materials and Photonics, Joanneum Research, Austria Organic thin film transistors (OTFTs) need to fulfil several performance requirements in order to be applicable in active-matrix driven flexible displays. On the one hand high on/off ratios are required in the drain current to achieve a better contrast ratio, on the other hand the area consumption should be as small as possible. Both demands can be met by scaling down the dimensions of the transistors, as smaller channel length values result in higher currents. We’ve realized the miniaturization of flexible OTFTs by use of nanoimprint lithography. Important preconditions for fabricating such devices with organic materials include a detailed understanding of the semiconductor growth, the availability of an organic dielectric material with a thickness below 100 nm and a process- and material-compatible method for source-drain fabrication. We developed a fabrication procedure for organic thin film transistors based on self-aligned nanoimprint lithography for source-drain production with channel lengths in the submicron range. Polycarbonate was used as the substrate. It is shown that this approach is compatible with different organic dielectric materials, as for example a BCB derivative and an Ormocer™ material. Dielectric strengths of up to 1.2 MV/cm could be reached. The devices show satisfactory saturation in the output characteristics even for channel length values in the submicron regime as long as proper scaling is maintained. Severe short channel effects are avoided by minimization of the contact resistance via surface treatment. The nanoimprinting was either based on hot embossing or on UV-nanoimprint lithography. Self-alignment was achieved by utilizing a back-substrate exposure technique. In doing so the nanoimprinted gate layer defines the pattern of the source-drain layer thus resulting in perfect alignment and minimized gate-to-source and gate-to-drain overlaps. A process scheme is displayed in Figure 1.

Page 135: NNT 2010 Abstract Book

135

gate dielectricphotoresistgoldorganic semiconductor

gate dielectricphotoresistgoldorganic semiconductor

gate dielectricphotoresistgoldorganic semiconductor

gate dielectricphotoresistgoldorganic semiconductor

Figure 1: Scheme of the self-alignment process. The NIL structuring of the gate electrode is shown in the close-up.

Page 136: NNT 2010 Abstract Book

136

NNT 2010 Conference Program and Proceed-ing Topic No. 1

Poster

Embossing Effect by 30kHz Ulstrasonic Vibration Nanoimprint System

Atsumasa Sawada*, Kazunori Ootsuka*, Harutaka Mekaru*, Masaharu Takahashi* * Research Center for Ubiquitous MEMS and Micro Engineering,

National Institute of Advanced Industrial Science and Technology (AIST) 1-2-1, Namiki, Tsukuba, Ibaraki, 305-8564, Japan, E-mail: [email protected]

INTRODUCTIONWe have developed the tertiary nanoimprint system that can be applied ultrasonic vibration

on the mold surface, because of the challenging TAKT time realization for legacy nanoimprint systems. In this system, it is generated in the small heat on the fine patterns of the mold surface. As a result, it is a possible to transfer the fine patterns on the embossing target at a short time.

EXPERIMENTAL AND RESULTThe outline of the ultrasonic vibration nanoimprint system are composed of the press

machine with the ultrasonic horn (Horn material: titanium, Frequency: 30 kHz) with a nickel electroforming mold (15mm square standard mold by SCIVAX Corp.) and the gimbal controlled stage to put a embossing target that can be a match for the mold surface in a short time (Fig. 1). Ultrasonic nanoimprint process consists of three steps (Fig. 2). At the first step, the pressure (500N) is applied between the mold and the embossing target, next step is that ultrasonic vibration is applied the embossing target surface through the ultrasonic horn with the mold. And final step is released the mold from embossing target.

The evaluation conditions are as follows. The target board is PET (Polyethylene Terephthalate) plane board (Thickness: 1.0 mm). The mold surface has both the line and space patterns and the circle dot patterns (Fig. 3).

Also, in applying the ultrasonic vibration for 10 seconds or 60 seconds on the mold, the mold patterns were possible to transfer on PET target (Fig. 5).

CONCLUSIONThe short time heating by applying the ultrasonic vibration into the imprinting mold is

possible to be minimized the thermal deformation part on the embossing target sample (Fig. 4). Also, the lithography can be done on the PET material in a short time. In fact, in the TAKT time, the ultrasonic nanoimprint system is suggested to have an intermediate feature between the thermal nanoimprint system [1] and the photo nanoimprint system [2].

REFERENCES[1] S. Zankovych, T. Hoffmann, J. Seekamp, J-U Bruch, C.M. Sotomayor Torres, Nanotechnology 12 (2001) 91-95 [2] Kathleen A. Gehoski, David P. Mancini, Douglas J. Resnick, Molecularimprints Technical Report (2004)

Page 137: NNT 2010 Abstract Book

137

Press

Detachment

Step 1 Setup

Step 2 Imprinting by applying ultrasonic to mold

Step 3 Release

Applied of ultrasonic vibration

Gimbal controlled stage

Ultrasonic horn

Nickel electroforming mold

Embossing target

Transferred pattern

Press

Detachment

Step 1 Setup

Step 2 Imprinting by applying ultrasonic to mold

Step 3 Release

Applied of ultrasonic vibration

Gimbal controlled stage

Ultrasonic horn

Nickel electroforming mold

Embossing target

Transferred pattern

Fig. 1 Ultrasonic nanoimprint machine

PC for machine controller

Power supplier for ultrasonic

Monitor for controller

Pressmachine

Ultrasonic horn with a nickel electroforming mold

Gimbal controlled stage

Fig. 3 Mold surface from top view Mold Size: 15mm x 15mm

Fig. 2 Ultrasonic nanoimprint process

H

Pattern height: 1000nm

10mG

5mF

4mE

3mD

2mC

1mB

0.7mA

0.5m

1 and 2

20

30

40

50

60

70

80

90

100

110

0 20 40

Time [sec]

Tem

pera

ture

[C

deg.

]

Line/Space

3Circle dot (Convex)

7 and 8 Line/Space

4 and 5 Circle dot

Tg of PET

(Concave)6

Circle dot (Convex)

60

Fig. 4 Temperature change of mold surface when ultrasonic vibrated (Ultrasonic frequency: 30kHz)

Ultrasonic Applied Time: 10sec Ultrasonic Applied Time: 60sec Fig. 5 Imprinting on PET target

Page 138: NNT 2010 Abstract Book

138

NNT 2010 Conference Program and Proceed-ing

Topic No. 1 Poster

ANGLE CONTROLLED IMPRINTS USING STEP AND STAMP IMPRINT LITHOGRAPHY

T. Haatainen*, T. Mäkelä*, J. Ahopelto*, G. Lecarpentier ** * VTT Microsystems and Nanoelectronics, FI-02044 Espoo, FINLAND

** SET S.A.S, F-74490 Saint-Jeoire, FRANCE

Nanoimprint lithography is an ideal method to fabricate various optical devices, because the resist itself can work as a functional element[1]. New light guide designs based on hybrid grating structures can be fabricated using sequential nanoimprint lithography. These structures may contain elements with various shapes, sizes and orientation. Step and Stamp Imprint Lithography (SSIL) is a suitable method to fabricate large scale master with plurality of optical elements. In our system, a stamp with size of few millimeters can be used for patterning large areas up to 200 mm. For example, the method has been used for fabrication of bendable nickel stamps for Roll-to-Roll nanoimprinting [2] and polymer stamps for UV-nanoimprinting [3].

The imprinting machine equipped with rotating head introduces ability to control, in addition to X/Y positioning, also angular orientation of the stamp. The imprinting head can be rotated between -90° and +90° with accuracy of 0.1°. This makes it a suitable tool to fabricate, for example, directed optical structures in large scale, and thereby only few basic elements are needed to create a large entity. The method is effective tool to fabricate large masters, because the design of the master can be changed by programming new X/Y and angular positions of the basic elements. In this work, the angular positioning accuracy was evaluated by imprinting gratings with 250 nm features into thermoplastic polymer by varying the angle by 15° steps. The quality of the imprints was evaluated by optical and AFM microscopy. The theta angle of the gratings was measured by SEM. In figure 1 is shown fourteen imprints with theta angles between -90° and +90°. In figure 2 is shown a SEM micrograph of an imprinted grating with 0.1° error to the 45° set value.

The partial support of the EC-funded project NaPANIL (Grant Agreement no 29759) is gratefully acknowledged. The content of this work is the sole responsibility of the authors.

References: [1] Y. Hirai and Y. Tanaka, J. Photopolymer Sci. and Techol. 3(2002) 475-480. [1] T. Haatainen, P. Majander, T. Riekkinen, J. Ahopelto, Microelec. Eng. 83 (2006) 948-950.[2] T. Haatainen, T. Mäkelä, J. Ahopelto, Y. Kawaguchi, Microelec. Eng. 86 (2009) 2293-2296.

Page 139: NNT 2010 Abstract Book

139

Fig. 1 Photograph imprinted gratings with theta angles between -90° and +90°.

Fig. 2 SEM micrograph of imprinted grating with 0.1° deviation to the set value of the rotation head.

Page 140: NNT 2010 Abstract Book

140

NNT 2010 Conference Program and Proceed-ing

abstract NNT 2010, Copenhagen, Denmark

* email: moellenbeck@uni-wuppertal-de 1

Topic No. 1

Oral

Positive resists for a T-NIL / UVL hybrid lithography

S. Möllenbeck*, K. Dhima, A. Mayer, H.-C. Scheer

University of Wuppertal, Rainer-Gruenter-Str 21, D-42119 Wuppertal, Germany

Hybrid lithography combines thermal nanoimprint lithography (T-NIL) with optical/UV-lithography

(UVL), where both lithography processes are performed within one single resist layer [1], in contrast to a

Mix and Match process [2] where two different resist layers are used. Similar to Mix and Match, hybrid

lithography offers the benefit to make use of both techniques in an optimum way. The small structures are

defined through nanoimprint lithography (imprint of large structures requires high volumes of polymer to

be transported) and the larger structures are defined by optical lithography (small structures are limited by

diffraction) [3].

The T-NIL/UVL hybrid lithography starts with the nanoimprint, which has to be a thermal one, as the

resist must not be crosslinked during the imprint step. Then the optical lithography is run with a conven-

tional photo mask, where the lithography has to be accomplished over a pre-patterned surface, which is

critical. If required this step can be aligned with respect to the imprinted pattern [4]. The imprint tempera-

ture has to be chosen in a way that the exposure properties of the resist are not affected, meaning in par-

ticular that the photoactive component (PAC) of the resist must not degrade. At the same time the tem-

perature has to be sufficiently high to obtain a successful imprint with a small residual layer. Therefore the

imprint has to be done above the glass temperature, Tg. Unfortunately the degradation temperature of the

PAC and Tg are in the same range, thus the choice of temperature und time for the imprint process are

critical as well.

In principle, positive and negative tone resists can be used likewise. It was already shown that hybrid

lithography works with the negative tone resist SU-8 [5, 6, 7], which is suggested by its low Tg. There are

also first results for a positive tone resist, where, compared to SU-8, the process conduct turns out to be

more difficult because of the typically high Tg. However it pays off, as the positive tone resist results in a

better pattern definition within the transition region between the exposed and the unexposed area [8].

In order to see whether this is the case in general we tested two standard positive tone resists, which,

according to the manufacturers data, are comparable, AZ 1505 (MicroChemicals) and AR-P 3510 (Allre-

sist). Both are based on Novolak and have the same PAC. Tg is about 100°C. Already at a temperature of

120°C strong degradation of the PAC has to be faced.

Our results clearly show that the two materials differ substantially. AZ 1505 requires a higher imprint

temperature than AR-P 3510 (Fig. 1). After development in the hybrid process AR-P 3510 appears unrea-

sonably rough (Fig. 2). With an optimisation of the process parameters a well-defined result can be

achieved (Fig. 3).

[1] F. Reuther et al, SPIE Proc. 4343 (2001) 802-809

[2] J.C. Potosky et al, J. Vac. Sci. Technol. 19 (1981) 924-926

[3] C. Gourgon et al, J. Vac. Sci. Technol. B 21 (2003) 98-105

[4] L. Montelius et al, Microelectron. Eng. 53 (2000) 521-524

[5] L.H.D. Skjolding et al, Microelectron. Eng. 86 (2009) 654-656

[6] H.-C. Scheer et al, accepted for publication Microelectron. Eng. 2010

[7] M. Wisssen et al, Proc. SPIE 6792 (2008) 67920V

[8] EMLC Conf., January 2010, Grenoble

Page 141: NNT 2010 Abstract Book

141

abstract NNT 2010, Copenhagen, Denmark

* email: moellenbeck@uni-wuppertal-de 2

Fig. 1: Typical imprint result obtained in a commercial imprint system (EV 520 HE)

at T = 120°C, p = 2 kN, 20 min, initial layer thickness 180 nm.

The micrographs show a 40 µm wide line besides several 1 µm wide lines.

Left: AR-P 3510: Acceptable imprint depth, relatively low residual layer.

Right: AZ 1505: Imprint depth very low, high residual layer.

Fig 2: Hybrid lithography for both resists. (SEM

micrographs at 80° inclination, view from the

opened lithography window in direction of the

imprinted lines). Left: AR-P 3510,

right: AZ 1505. The surface of the AR-P looks

porous/grainy. The higher residual layer for AZ

is clearly visible.

Fig. 3: Hybrid lithography result for AR-P 3510.

The narrow lines (300 nm/500 nm) are defined

by T-NIL at 115°C, 2kN, 10 min. The UV lithog-

raphy test pattern consists of 10 µm lines, ar-

ranged perpendicular to the imprinted lines.

The transition region at the lithography edge is

well defined.

Page 142: NNT 2010 Abstract Book

142

NNT 2010 Conference Program and Proceed-ing Topic No.1 abstract NNT 2010, Copenhagen, Denmark

Oral

Etch-free lift-off with sputtered layers in T-NIL

Andre Mayer*, Saskia Möllenbeck, Khalid Dhima, Hella-Christin Scheer

University of Wuppertal, Rainer-Gruenter Str. 21, D-42119 Wuppertal, Germany

Lift-off is a simple technique that has proven its capability to define metallic structures on

substrates. These patterns can be used directly e.g. as electrodes for devices [1], or indirectly as a

hard mask [2] for a subsequent etching step. Lift-off relies on the evaporation of metal onto the

top of a resist layer structured by lithography, featuring negative or recessed slopes. Due to the

fact that the resist edges remain uncovered, the resist can be lifted off in solvent together with the

metal on top of it. The result is a structured metal layer on the substrate.

When nanoimprint is used as the lithography method for the lift off an additional etching step

is required to remove the residual layer after imprint. In this way, lift-off structures smaller than

10 nm were demonstrated by thermal nanoimprint lithography (T-NIL) [3]. Our intention is to

spare this additional RIE (reactive ion etching) step in order to keep the lift-off as simple and cost

efficient as possible.

Our T-NIL approach is based on partial cavity filling [4]. The initial polymer layer is chosen

sufficiently thin to avoid any filling of the stamp cavities. This leads to homogeneous and ultra

thin residual layers [4, 5]. Such ultra thin polymeric layers can easily be removed by low energy

sputtering. We found that intrinsic sputtering during the actual deposition process is adequate for

this purpose, when sputtering is used for lift-off instead of evaporation.

Admittedly, due to the relatively high processing pressure, metal deposition in a sputter coater

is less directional than in an evaporation machine. In view of lift-off, the coverage of edges thus

improved is not beneficial. The lifting of the metal requires a rip-off of the thin layer along the

edges, which often results in metallic flags remaining along the metal structures (Fig.1). Under

such conditions the adhesion between the metal and the substrate is a critical issue. Fig. 2

demonstrates this for 300 nm lines, 800nm pitch. Due to the limited steepness of the edges of the

stamp structures (the submicron structures of the stamp have an angle as low as 70 degree at the

upper edge), the sputtered layer was more or less continuous. This hampered the access of the

solvent, resulting in non-lifted areas. Other areas were completely lifted, suggesting either a too

low adhesion within the small contact area or remnants of polymer under the metal. We ascribe

this to adhesion failure, as no adhesion promoter (typically Cr [6]) was sputtered below the Au.

Although the process conditions were not ideal – in terms of the adhesion Au/substrate and the

slopes of the stamp pattern, we were able to perform a lift-off with structures of different sizes

and geometries. Fig. 3 shows a grid where the lifted area is relatively small; the limiting factor

here is the slope of the stamp pattern. In contrast, for the definition of the 800nm dots shown in

Fig. 4, the area to be lifted was huge; adhesion is the major problem here.

Word count: 530

[1] L. Montelius, B. et al, Microelectronic Engineering 53 (2000) 521 - 524

[2] B. Cui, Teodor Veres, Microelctronic Engineering 83 (2006) 902 - 905

[3] S. Y. Chou, P. Krauss, Microelectronic Engineering 35 (1997) 237-240

[4] N. Bogdanski et al, Microelectronic Engineering 78-79 (2005) 598-604

[5] C. Auner et al, Organic Electronics 10 (2009) 1466-1472

[6] T. Nielsen et. al, J. Vac. Sci. Technol. B 22(4) 1770 - 1775

Page 143: NNT 2010 Abstract Book

143

Topic No.1 abstract NNT 2010, Copenhagen, Denmark

Oral

Fig. 1: Lift-off structures with 5 µm lines and

spaces. Due to a limited steepness of the stamp

pattern edges (about 70°) small flags remain

along the metal lines (see insert).

Fig. 2: Lift-off for 300 nm lines, 800 nm pitch. In

addition to the low edge steepness (resulting in

non-lifted areas) limited adhesion of the Au (no

Cr below) results in (partial) rib-off of the narrow

lines.

Fig. 3: Definition of negative structures by lift-

off. Due to the large contact area the adhesion

issue is less critical.

Fig. 4: Definition of positive structures by lift-off

is critical with respect to adhesion, as a huge area

of metal has to be lifted from only small contact

areas (here 800 nm dots).

Page 144: NNT 2010 Abstract Book

144

NNT 2010 Conference Program and Proceed-ing

Page 145: NNT 2010 Abstract Book

145

Page 146: NNT 2010 Abstract Book

146

NNT 2010 Conference Program and Proceed-ing

Topic No. 1: Nanoimprint

Oral

Characterisation of photoresists with respect to thermal nanoimprint

Khalid Dhima, Saskia Möllenbeck, Andre Mayer, Hella-Christin Scheer

University of Wuppertal, Wuppertal, D-42119, Germany

e-mail: [email protected]

Keywords: hybrid lithography, T-NIL, thermal degradation, photoresist

For easy pattern definition beyond the limits of conventional optical lithography, nanoimprint

[1] offers a low cost route. One possible way is to mix and match [2] thermal nanoimprint (T-

NIL) with optical lithography in the UV-range (UVL). Another way is a hybrid technique

[3,4,5] where only one single layer is used for both lithography steps.

We are investigating the potential of hybrid lithography of T-NIL and UVL, in particular for

non-crosslinking photoresists. Earlier experiments [6] have shown, that two positive tone

resists which, according to the manufacturers data, should be comparable for lithography,

show substantial differences in a hybrid process. Fig.1 and Fig.2 demonstrate that with an

imprint temperature of 130°C ARP-3510 is still developable after the exposure, but AZ-1505

is not.

For successful hybrid lithography the degradation of the photoresist during the imprint

process is a critical issue. Therefore the degradation of both photoresists, AZ-1505 and ARP-

3510, was investigated by simulating the imprint step on a hotplate within the interesting

temperature range for imprint, 110°C – 130°C [6]. According to a realistic imprint process, a

time of 15min at elevated temperature (imprint + cool down) and a layer thickness of about 1

µm were chosen. Before temperature treatment the spin coated samples were soft baked

(100°C, 60s). To simulate the imprint a Si cover was applied during temperature treatment. A

similar experiment was performed without cover in order to test the impact of reactions with

air.

Fig.3 shows a typical result, a dose curve obtained with 120°C temperature treatment. For this

experiment we started with highly comparable lithographic conditions: Without temperature

treatment, development time was set to 60s and the dose for clear was set to 12 mJ/cm2 for

both resists. This was achieved with developer thinning of 1:4 for AZ-1505 (supplier

recommendation) and an adapted thinning for ARP–3510 of 1:1.4 (supplier recommendation

is 1:1). After the temperature treatment both photoresists changed their lithographic response,

but the degradation is completely different. With the Si-cover on top, the degradation is not as

severe as without the cover for both resists. Obviously the degradation due to reaction with

air/oxygen is more pronounced with ARP-3510. Anyway, ARP-3510 features higher

degradation than AZ-1505, as, typically, a complete removal of the layer requires a high

exposure dose (low contrast tail). We found that the thinning of the developer is the main

parameter to determine, whether AZ-1505 or ARP-3510 provide the better hybrid result at a

given imprint temperature. When both resists are processed with comparable sensitivity, AZ-

1505 degrades less than ARP-3510 (see Fig.3), when the resists are processed according to

the manufacturers recommendation (thinning 1:1 for ARP), ARP-3510 is better suited for

hybrid lithography (see Fig.1/2).

Page 147: NNT 2010 Abstract Book

147

[1] S.Y. Chou, L. Zhuang, L. Guo, Appl. Phys. Lett. 67 (1995) 3114-3119.

[2] L. Montelius et al, Microelectr. Engineering 53 (2000) 521-524.

[3] F. Reuther et al, SPIE Proc. 4343 (2001) 802-809.

[4] Pfeiffer et al, Microelectr. Engineering 57-58 (2001) 381-387.

[5] Skjolding et al, Microelectr. Engineering 86 (2009) 654-656.

[6] S. Möllenbeck et al, EIPBN conf. 2010, Anchorage, Alaska US.

(a)

(b)

Figure 1. Hybrid lithography result (T-NIL + UVL) for a) AZ-1505

(developer thinning 1:4) and b) ARP-3510 (developer thinning 1:1).

Imprinted lines (vertical) are superimposed with lithography test patterns

(horizontal). Top: Imprint temperature 120°C; bottom: Imprint temperature

130°C. At 130°C ARP-3510 is still developable after exposure, but AZ-1505

is not.

(a)

(b)

Figure 2. Thermal degradation according to dose curves (relative layer

thickness remaining after development as a function of exposure dose).

Three curves are shown: Without temperature treatment (dotted), and after

15min at 120°C on a hotplate with (line) and without (dashed) Si cover on

top to simulate the imprint step of the hybrid lithography. a) AZ-1505,

developer thinning 1:4. b) ARP-3510, developer thinning 1:1.4.

Page 148: NNT 2010 Abstract Book

148

NNT 2010 Conference Program and Proceed-ing

1Oral

“PSSQ templates fabricated by RUVNIL technique for di-block copolymer graphoepitaxy”

Nikolaos Kehagias1‡, Richard A. Farrell2,3,4, Marc Zelsmann5, Achille Francone5, Mustapha Chouiki6, Rainer Schoeftner6, Vincent Reboud1, Justin D. Holmes2,3,4, Michael A. Morris2,3,4 and

Clivia Sotomayor Torres1,7

1 Phononic and Photonic Nanostructures Group, Catalan Institute of Nanotechnology (CIN2-CSIC), Campus Bellaterra - Edifici CM3, 08193-Bellaterra (Barcelona), Spain, 2 Centre for Research on Adaptive

Nanostructures and Nanodevices (CRANN), Trinity College, University of Dublin, Dublin 2, Ireland, 3Tyndall National Institute, University College Cork, Cork, Ireland, 4 Materials Chemistry Section,

Department of Chemistry, University College Cork, Cork, Ireland, 5 LTM-CNRS, 17 rue des Martyrs 38000,Grenoble, France, 6 Profactor GmbH, Functional Surfaces and Nanostructures, Steyr-Gleink, Austria, 7

Catalan Institute for Research and Advanced Studies ICREA, 08010 Barcelona, Spain. ‡ Email: [email protected]

Nanoimprint lithography (NIL) is a top-down parallel lithographic technique capable of creating patterns with sub 50 nm resolution by mechanically deforming a polymeric resist layer in conjunction with a thermal1

and/or a ultra-violet curing step2. This technique can be extended to inorganic polymer systems such as poly-silsesquioxanes (PSSQ) for fabricating nano-arrays and 3D structures. Silsesquioxane nanostructures have found uses in many applications recently, most notably as a direct write negative tone e-beam resist for patterning nanowires3 and low-k dielectric insulation materials4.Previous work on aligning (by graphoepitaxy) block copolymer nano-patterns within electron beam patterned SSQ type templates have shown that linear and concentric hexagonal arrays of PS-b-PMMA lamallae patterns can be assembled with precision5. The combination of using a neutral brush layer at the base and a HSQ sidewall allowed for the researchers to create hexagonal arrays with up to 2 concentric rings of PMMA with low defect content. Extremely thin layers (~ 2 nm) of hydrogen silsesquioxane have also been written by electron beam methods to create chemical patterns (14 nm half-pitch) to align and register PS-b-PMMA patterns6.In this paper we report on the patterning (figure 1) of poly-silsesquioxane (PSSQ) films by reverse UV nano-imprint lithography (RUVNIL) technique7 and investigate the self-assembling properties and polymer flow/de-wetting phenomena of cylinder forming polystyrene-block-polymethylacrylate (PS content 0.72, 67.0 kg/mol) block copolymer films following thermal annealing (figure 2).

Word Count: 224

References: [1] Chou, S. Y.; Krauss, P. R.; Renstrom, P. J. Science 1996, 272, (5258), 85-87 [2] Bailey, T.; Choi, B. J.; Colburn, M.; Meissl, M.; Shaya, S.; Ekerdt, J. G.; Sreenivasan, S. V.; Willson, C. G. Journal of Vacuum Science & Technology B 2000, 18, (6), 3572-3577. [3] Namatsu, H.; Takahashi, Y.; Yamazaki, K.; Yamaguchi, T.; Nagase, M.; Kurihara, K. Journal of Vacuum Science & Technology B 1998, 16, (1), 69-76. [4] Kohl, A. T.; Mimna, R.; Shick, R.; Rhodes, L.; Wang, Z. L.; Kohl, P. A. Electrochemical and Solid State Letters 1999, 2, (2), 77-79. [5] Yamaguchi, T.; Yamaguchi, H. Advanced Materials 2008, 20, (9), 1684 [6] Cheng, J. Y.; Rettner, C. T.; Sanders, D. P.; Kim, H. C.; Hinsberg, W. D. Advanced Materials 2008, 20, (16), 3155-3158. [7] N. Kehagias, V. Reboud, G. Chansin, M. Zelsmann, C. Jeppesen, C. Schuster, M. Kubenz, F. Reuther, G. Gruetzner and C. M. Sotomayor Torres, Nanotechnology, 18, 175303, (2007)

Page 149: NNT 2010 Abstract Book

149

Fig. 1 Top view scanning electron microscope image of PSSQ template for graphoepitaxy

Fig. 2 PS-PMMA micro-droplet formation (on patterned PSSQ substrate) by controlled de-wetting using the via as a sink for polymer flow and adjusting via width/spacer dimensions

The authors would like to acknowledge the following sources of funding which supported this work: NaPANIL (FP7-CP-IP 214249), LAMAND (FP7-NMP-2009-245565), SFI grant 03-IN3-I375, SFI CRANN CSET grant. The authors are grateful to Intel Ireland for access to microscopy facilities and continuous support.

Page 150: NNT 2010 Abstract Book

150

NNT 2010 Conference Program and Proceed-ing

Topic No.1 Poster

MULTI-TIER MOLD FABRICATION BY GRAY SCALE LASER LITHOGRAPHY

COMBINED WITH DRY ETCHING

Sung-Won Youn*,**, Sang-Cheon Park*, Qing Wang*,**, Kenta Suzuki*, Hiroshi Hiroshima*,** *National Institute of Advanced Industrial Science and Technology (AIST), AIST East, 1-2-1 Namiki,

Tsukuba, Ibaraki 305-8564, Japan **JST-CREST, Kawaguchi Center Building, 4-1-8 Honcho, Kawaguchi, Saitama 332-0012, Japan

1. Introduction There has been considerable interest in imprint lithography for producing multi-tier structures for various applications (e.g., dual-damascene structure, holograms, and many others) because imprint lithography is a highly efficient patterning process, which enables for fabricating three dimensional (3D) structures in a single process step [1,2]. For that reason, development of an inexpensive, fast, and easy fabrication technique of multi-tier molds is one of critical issues in the imprint lithography industry. Recently, grayscale e-beam lithography (G-EBL) was introduced as a low-cost and simple 3D mold fabrication technique [2]. However, this technique is difficult to be applied to fabricate large molds because throughput of e-beam lithography is very low. From this viewpoint, we considered the use of grayscale laser beam lithography (G-LBL) since its process rate is much faster than G-EBL. In this study, G-LBL combined with reactive ion etching (RIE) was studied to explore its possibility as a fabrication process of multi-tier molds with large surface area. 2. Experimental

A low contrast positive tone resist (OFPR-800LB, TOK) was used for GLL. The Si wafer spin-coated with hexamethyldisilazane (HMDS) and the resist were pre-baked on a hot plate at 95oC for 30 min to evaporate the solvent and to densify the resist. After this, a laser pattern direct writer (µPG 101, Heidelberg Instruments) was used to pattern multi-tier structures in the resist. This system can modulate the intensity of the laser to 100 different intensities (or gray levels) where level 100 has the maximum intensity and level 1 is barely exposed. Exposed samples were developed by dipping in a NMD-3(2.38%) solution for 1 min at room temperature. Pattern transfer to Si was performed with an RIE using ICP SF6 plasma. The SF6 flow rate, chamber pressure, RF power, and etching time were 100 sccm, 25 Pa, 110W, and 15 min, respectively. An optical image was taken using white balance function of an optical microscope to record the sample's surface color of the developed resist pattern with high-fidelity. Processed sample surfaces were observed by optical microscope and their surface profiles were measured using a white light interferometric surface profiler (Zygo New View 5000).

3. Summary

To control the depths of multi-tier structures, the residual thickness in resist after development was investigated as a function of relative laser exposure intensity, as shown in Fig. 1. The residual thickness decreased with the increase of relative laser exposure intensity and power. The optical microscope image on the right side shows patterns in resist with various exposure conditions, and different colors in image indicate different thickness of the resist. Silicon micro-mold was fabricated by the subsequent RIE process, as shown in Fig. 2. From the graphs on the left side, it can be known the about 50-tier microstructures with depths from 80 nm to 4.6 µm are formed in the Si mold. Etching selectivity between resist and silicon was approximately 1:20. From the analysis results using optical interferometer it can be clearly seen that multi-tier structures is well produced.

The technique studied here was inexpensive, fast and simple, and is expected to be applicable to fabricate micro-scale multi-tier molds with a large pattern area. References: [1] "Nanoimprint with thin and uniform residual layer for various pattern densities", Hiroshima H., MNE 86, 611 (2009 [2] "3D structures for UV-NIL template fabrication with grayscale e-beam lithography", Piaszenski G., Micro electron. Eng. 84, 945 (2007

Page 151: NNT 2010 Abstract Book

151

Fig. 1 Variations of residual thicknesses of OFPR-800LB resist as a function of input laser power at different relative laser exposure intensity (left graph). Optical microscope image of a local pattern area in resist after gray scale laser writing and subsequent development. Different colors are related to different depths in the resist. The image was taken using white balance option (right image).

((a)~(e) correspond to Fig. 1)

optical interferometer analysis result of (C) Fig. 2 Surface profiles of multi-tier Si mold after etching measured at various pattern areas (left graph). Three dimensional image and surface profile of multi-tier Si mold measured from a local pattern area using an optical interferometer (right image).

Page 152: NNT 2010 Abstract Book

152

NNT 2010 Conference Program and Proceed-ing

Pattern transfer of organic solar material using novel template

Norito Hoto, Tomoki Nishino, Jyunji Sakamoto, Hiroaki Kawata, and Yoshihiko Hirai

Physics and Electronics Engineering, Osaka Pref. Univ., Sakai, Osaka 599-8531, Japan *E-mail; [email protected]

1. IntroductionOrganic solar cell is expected to realize power generation devices using bulk hetero junction structure. To

obtain higher efficiency, nano scale hetero junction structure is demanded using high aspect ratio organic solar materials. There has been reported several innovative works using nanoimprint process 1-3.

In this work, pattern transfer to an organic solar cell material is achieved by casting process 4 using novel template with high aspect ratio fine fin stricter.

2. Experiment To fabricate fine mold, we newly propose side edge process as shown in Fig.1 a-d. After preparing grating

pattern on Si, the side wall is oxidized and resist is coated (Fig.1-a,b). The thickness of the SiO2 side wall is varied from 20nm to 100nm, which is determined by oxidation condition. After removal of the oxidized layer on the top surface, the resist is removed (Fig.1-c). Finally, convex Si part is etched back and thin SiO2 fin structure is remained (Fig.1-d). Using this side wall process, we obtained high aspect ratio structure.

Then, casting of organic solar material P3HT, which is dissolved into solvent, is carried out to the high aspect ratio structure (Fig.1-e). Using the casting process, damages to the template could be drastically reduced because of no pressing process as conventional nanoimprint. Finally, organic material is released (Fig.1-f).

Figure 2 demonstrates typical result of fin structure. Fine groove structures at the pattern edges are fairly transferred to P3HT. The transferred fine groove is expected to work as an organic hetero junction structure.

References1) M. Aryal, et al., J. Vac. Sci. Technol. B 26 (2008) 2562. 2) D Cheyns, et al., Nanotechnology 19 (2008) 424016. 3) H. Her, et al., J. Physics and Chemistry of Solids 69 (2008) 1301. 4) K. Sogo, et al., Microelectronic Engineering 84 (2007) 909.

Page 153: NNT 2010 Abstract Book

153

a. Si base mold preparation

b. Side wall Oxidation and resist coat

c. SiO2 etching and resist removal

d. Si etch back

Si

SiO2 fin

Photo resist

SiO2

e. P3HT Casting

f. Releasing

a. Si base mold preparation

b. Side wall Oxidation and resist coat

c. SiO2 etching and resist removal

d. Si etch back

Si

SiO2 fin

Photo resist

SiO2

e. P3HT Casting

f. Releasing

Figure 1 Schematic diagram of fine template fabrication and transfer process.

P3HT

1.0um

60 nm

Figure 2. Experimental result of fin structure transfer to P3HT film.

Page 154: NNT 2010 Abstract Book

154

NNT 2010 Conference Program and Proceed-ing Topic No. 1

Oral or Poster

structuring Graphene layers using nil

I. Bergmaira, M. Losurdob, G. Brunob, G. Isicc, M. Miricc, R. Gajicc, K. Hingerld, M. Muehlbergera, R. Schoeftnera

aFunctional Surfaces and Nanostructures, Profactor GmbH, Steyr-Gleink, Austria [email protected]

bInstitute of Inorganic Methodologies and of Plasmas, IMIP-CNR, Dept. of Chemistry - University of Bari, Bari, Italy

cInstitute of Physics, Solid state Physics and New Materials, Belgrade University, Belgrade, Serbia

dCenter for Surface- and Nanoanalytics, Johannes Kepler University Linz, Austria

In this work we show the fabrication and structuring of graphene and graphene layers using NIL. Graphene is a single graphite layer with carbon atoms arranged in a honeycomb crystal lattice. It has been shown to be stable the first time in 2004. British researchers have used a tape to exfoliate graphene from graphite and transfer printed it on a SiO2 substrate. The results were random graphene flakes with unique properties like a very high mobility of 18000 cm2/Vs [1]. This property makes it possible e.g. to achieve transistors with a higher cut-off frequency in comparison to silicon based transistors [2]. The usual reported method to structure graphene is e-beam lithography [3]. Here random graphene flakes on a substrate are structured by a scanning electron microscope. No alignment is possible and the throughput is very low using this technique. In the last years few approaches have been started to achieve structured graphene using NIL. Xiaogan et al. have reported a method using exfoliation of graphene layers with a patterned stamp from graphite [4] and Liang et al. have shown the electrostatically exfoliation of graphene from patterned graphite onto a SiO2 substrate [5]. Each structure had to be controlled if it is graphene or multiple layers of graphene. We show a process where we directly imprint on graphene layers and a succeeding etching step provides patterned graphene. Therefore the big advantage is that one can examine the number of layers of graphene before the lithography step using Raman. The graphene was fabricated using mechanical exfoliation of graphite on a 90 nm SiO2 layer (Fig. 1) or using chemical vapour deposition (Fig. 2). For the shown results a two layer resist system (LORA1 and mr-UVCur06) was spin coated on a substrate with exfoliated graphene on top. The mr-UVCur06 is structured using UV-NIL on 2.5x2.5 cm² and the pattern is transferred to the substrate by reactive ion etching using O2. Afterwards the LORA1 is dissolved in a developer such that the structured graphene layers remain (Fig. 3). This method is dependent on random graphene flakes and does not provide only single layer of graphene but also multiple layers of graphene (Fig. 4). In the future we will structure CVD deposited graphene which will provide structured graphene on large areas. The progress towards large area structured graphene will have a deep impact in using graphene for industrial applications like graphene-based electronics for high frequency applications or photo detectors. The authors acknowledge funding by the European Community's 7th Framework Programme under grant agreement no 228637 NIM_NIL (www.nimnil.org).

Page 155: NNT 2010 Abstract Book

155

references [1] K.S. Novoselov et al., Science, 306 666 (2004) [2] Y.M. Lin et al., Nano Lett. 9, 422 (2009) [3] A.K. Gaim et al., Nature Materials 6 183 (2007) [4] Xiaogan L., Nano Lett., 7(12), 3840 (2007) [5] X. Liang, Nano Lett., 9, 467 (2009)

fig. 1 Microscope image of exfoliated graphene (upper flake). Darker areas show higher number of graphene layers.

fig. 2 Microscope image of CVD deposited graphene (dark areas). The image shows 300x300 µm².

fig. 2 SEM image of graphene layers structured using NIL (dark areas).

fig. 3 AFM image of graphene layers structured using NIL. The height of the structures is around 2 nm.

Page 156: NNT 2010 Abstract Book

156

NNT 2010 Conference Program and Proceed-ing

Topic No. 1: Nanoimprint, Nanoprint Poster

study and development of polymer destabilization by capillary nil

C. Masclaux1, C. Gourgon1, C. Perret1, S. Labau1

1 - Laboratoire des Technologies de la Microélectronique, 17 rue des Martyrs (c/o CEA Grenoble), F-38 054, Grenoble Cedex 9, France

The lithography step is the key one in the constant reduction of pattern sizes in nanotechnologies. NanoImprint Lithography techniques are presented as an alternative solution to actual ones (electronic and optical), especially for the realization of nano-structures.

One of the major limitations of NIL is related to the polymer contact with the mold, creating adhesion problems, so damaged patterns and a limited lifetime of the mold. We propose a new NIL technique as a potential solution. Capillary phenomena (spontaneous or destabilization due to capillary forces) are commonly observed and are the cause of defects observed in NIL, such as dewetting, capillary bridges ... This spontaneous destabilization of the polymer can be used to pattern a polymer film.

It has been shown in literature that pattern formation can be promoted by two pathways: application of a temperature gradient [1] or of an electric field [2]. In the case of a flat mold, the destabilization of the polymer leads to spontaneous formation of micro-scale structures. Using a mold with nano-scale patterns can lead to nano-structuration of the polymer, by positive lithography as shown in Figure 1. SEM pictures of figure 1 correspond to this phenomenon by presenting a mold with 0,5µm wide grids and its positive replication.

The key parameter of this organized destabilization is the distance between the mold and polymer surface, so we fabricated specific “spacer molds”, as shown in Figure 2. The mold is made by successive levels of lithography and plasma etching, and contains lines or dots on a square area of 10x10mm centred in a 18x18 mm² cavity. The depth of the cavity varies from 50 nm to 150 nm and corresponds to the spacer height. The patterns are 375 nm and 250 nm wide lines and dots.

The aim of this paper is to present an analysis of pattern formation by polymer destabilization using these spacer molds. Results presented elsewhere have already demonstrated that destabilization occurs even with the same temperature applied to the top and bottom heating plates, which is in contradiction with literature. For present experiments, no temperature gradient was applied once again, and we have studied the influence of temperature value, contact time and spacer height on spontaneous patterning.

Figures 3 & 4 show SEM and AFM pictures of a patterned NEB22 film. Experimental details will be indicated. By standard thermal NIL dots should lead to imprinted holes, whereas dots are obtained by positive capillary NIL replication. The pictures of figure 3 demonstrate that both states are obtained in localized areas, even at Tg (80°C), for a 1 minute contact time. For longer contact time and higher temperature, representative results are presented on figure 4; they show that holes and dots can appear simultaneously in the same regime. We will demonstrate that mold deformation is responsible for the formation of micrometric capillary bridges, and that standard imprint occurs on top of the bridges whereas capillary NIL leads to a duplication of dots between the micrometric brides. The complete scenario of polymer destabilization will be presented and analysed.

Word Count: 504

[1] E. Schäffer et al, Advanced Materials 15 (2003) 514-517 [2] Wu N. & Russel W.B., Nanotoday 4 (2009) 180-192

Page 157: NNT 2010 Abstract Book

157

Mold

Capillary

P ~ 1bar, T > Tg

Mold

Polymer Film

Silicon Wafer Mold

Capillary

Mold

Capillary

P ~ 1bar, T > Tg

Mold

Polymer Film

Silicon WaferP ~ 1bar, T > Tg

Mold

Polymer Film

Silicon Wafer

figure 1 : capillary nil process and sem pictures of a mold and its replication (0,5µm grids spaced 1µm)

Mold

Polymer Film

Silicon Wafer

d

Mold

Polymer Film

Silicon Wafer

d

figure 2 : capillary with different spacers (d = 50, 80, 100, 150nm)

figure 3 : sem pictures of different states obtained with spacer mold

figure 4 : sem and Afm pictures of mixed state obtained with spacer mold

Page 158: NNT 2010 Abstract Book

158

NNT 2010 Conference Program and Proceed-ing

Polymeric PhoXonic Crystals fabricated by Nanoimprint Lithography

D. Dudek1*, V. Reboud1, J. Cuffe1,2, N. Kehagias1 and C. M. Sotomayor Torres1,3

1 Catalan Institute of Nanotechnology, Campus de Bellaterra, Edifici CM3, ES 08193 – Barcelona, Spain. * [email protected]

2 Tyndall National Institute, University College Cork, Lee Maltings, Cork, Ireland 3 Catalan Institute for Research and Advanced Studies ICREA, 08010 Barcelona, Spain

The fabrication of a device to control simultaneously the propagation of light and sound requires patterns with feature sizes in the nanometer scale. Such a device called PhoXonic crystal [1] possesses frequency regions or band-gaps where no electromagnetic (EM) neither acoustic wave can propagate. The interest in such PhoXonic crystals is high due to their possible integration in lightwave circuits for optical and electrical microsystem devices [2]. PhoXonic band-gaps have their origin in the destructive interference of multiply scattered waves in periodic structures. In our work we target the telecommunication wavelength of 1.55 µm to create a band-gap by a periodic variation of the density and elastic constants for phonons [3] and of the refractive index for the photons within the same structure. Modelling of the acoustic and EM waves in such a crystal has shown that two lattice structures are promising to exhibit a large band gap. Therefore, we focused our fabrication process on square and honeycomb lattice structures with a periodic array of circular polymer pillars on an nm-thin polymer slab using nanoimprint technique [4]. The key parameter to obtain large band-gaps in these crystals is the distance between two pillars, which should be in the range of some tenth of nanometers as shown by the simulation results. To test this prediction we designed PhoXonic crystal patterns of 100 µm x 100µm where we set the pitch to a constant value (400 nm and 500 nm) and increased the diameter of the holes to obtain a high filling fraction. We optimized the fabrication of the stamp in silicon to control of the nm-thin silicon walls between two holes over the whole profile depth with a value of more than 400 nm which is difficult to obtain in a reactive ion etching (RIE) process. The fabricated stamps with the 22 nm and 14 nm thin silicon walls show a remarkable mechanical stability at pressures over 60bar and temperatures over 180ºC. The inspection of the stamp (Figure 1) shows no damage over the whole patterns after more than 20 imprints. In the pattern transfer from the silicon stamp to the polymer we optimized the nanoimprint process to obtain a variation in residual layer thickness but maintaining the shape and height of the structure. Independent of the material used we observed an improvement of the polymer filling when the filling fraction was higher than 0.4. In this case the entire structure was filled with polymer and the pillar shape was transferred without any artefacts homogenous over the whole pattern area. One example of such an imprinted structure is shown in Figure 2 in a cross-section micrograph. We compared the imprint process for different polymers under comparable conditions and discuss the results in terms of viscosity and elastic deformation. Furthermore, we applied a non-destructive inelastic light scattering technique to obtain the elastic constants of the used polymers. [1] T. Gorishnyy, J.-H. Jang, C. Koh, and E. L. Thomas, Appl. Phys. Lett., 91 (12) 121915 (2007). [2] Gorishnyy, T.; Maldovan, M.; Ullal, C., Phys. World, 18 (12), 24–29 (2005). [3] J. Vasseur, A. C. Hladky-Hennion, P. Deymier, B. Djafari-Rouhani, F. Duval, B. Dubus, and Y. Pennec, Journal of Physics: Conference Series, 92, 012111 (2007). [4] N. Kehagias, V. Reboud, C. M. Sotomayor Torres, V. Sirotkin, A. Svintsov, S. Zaitsev, Microelectronic Engineering, (85), 846-849 (2008)

Page 159: NNT 2010 Abstract Book

159

Figure 1: SEM micrograph of the silicon stamp after more than 20 imprint processes. Design and fabricated device of a/ square lattice and b/ honeycomb lattice PhoXonic crystal pattern with high filling fraction (14nm and 22nm) thin silicon walls.

Figure 2: Square lattice PhoXonic crystal imprinted pattern in mr-I 6000 nanoimprint polymer with 77nm distance between two pillars.

Page 160: NNT 2010 Abstract Book

160

NNT 2010 Conference Program and Proceed-ing

Fabrication of Sub-100 nm metal nanowire structure by Zero Residual Nanoimprint Lithography

Fantao Menga,b, Gang Luob, Lars Monteliusb, H. Q. Xub*, Jinkui Chua*

a The Key Laboratory for Micro/Nano Technology and System of Liaoning Province, Dalian University of Technology, Dalian 116024, China

b Division of Solid State Physics, Lund University, Box 118, S-22100 Lund, Sweden

Corresponding author: email: [email protected] and [email protected]

Nanoimprint lithography (NIL) is a nonconventional lithographic technology that promises low-cost, high-throughput patterning of structures with sub-10 nm resolution [1]. The remaining residual layer in the imprinting process is one of the critical issues for the industrialization of NIL technology. Bogdanski et al. have demonstrated the realization of 300 nm linewidth metal wire structure using NIL without residual layer removal [2]. Yang et al. have fabricated 70 nm metal nanowire structure using zero residual NIL, but they treated the imprinted substrate for 10 s using oxygen reactive ion etching (RIE) before metal evaporation [3]. Here, we report on the fabrication of sub-100 nm metal nanowire by zero residual NIL without oxygen RIE treatment using a high aspect ratio stamp and thin polymer layer and lift-off process.

The overall experimental procedure is shown in Figure 1. The stamp with 200 nm pitch, 80 nm linewidth and 300 nm height, was fabricated on a 2-inch SiO2/Si wafer, as shown in Figure 2. A 70 nm thick mr-I 7010E layer was spin-coated onto the 2-inch silicon wafers and then pre-baked at 140 for 5 min on hotplate. Thermal NIL process, which has 130 process temperature and 35 bar process pressure, was applied for fabrication of zero residual layer imprinted patterns. As shown in Figure 3, 200 nm pitch grating was successful patterned. The thickness of the residual layer was measured to be zero nm, using cross-section image with SEM. Furthermore, the imprinted patterns were investigated by AFM system. Figure 4 shows an AFM image and height profiles of the imprinted patterns. It can be seen from Figure 4 that the thickness of the residual layer is zero nm, which is consistent with the thickness measured from cross-section image with SEM. The Cr metal nanowires were fabricated by deposition of a thin Cr layer on the imprinted pattern and lift-off process into hot remover 1165 solution. Figure 5 is SEM images of the 80 nm narrow, 10 nm thick Cr patterns which shows its successful fabrication on the silicon substrate.

Page 161: NNT 2010 Abstract Book

161

[1] S. Y. Chou, P. R. Krauss, W. Zhang, L. G. Guo, and L. Zhuang, J. Vac. Sci. Technol. B 15, 2897 (1997) [1] N. Bogdanski, M. Wissen, S. Möllenbeck, and H. –C. Scheer, J. Vac. Sci. Technol. B 24, 2998 (2006) [3] K.Y.Yang, J. W. Kim, K. J. Byeon, H. C. Lee, and H. Lee J. Nanosci. Nanotechnol. 9, 4194 (2009)

Fig. 1 Schematic diagram of overall process

Fig. 2 SEM image for cross-section of the stamp with 200 nm period, 80 nm linewidth and 300 nm height.

Fig. 3 SEM image for cross-section of the imprinted pattern with zero residual layer. About 5 nm Pt was sputter-coated to aid in

SEM imaging.

Fig. 4 AFM image and height profiles of the imprinted patterns. Three height profiles were investigated, labeled by red, green and blue lines, respectively. All these profiles show that the imprinted

pattern with zero residual layer was successful fabricated.

Fig. 5 SEM images of the 80 nm linewidth Cr nanowire patterns. The inset image is a high-magnification image.

Page 162: NNT 2010 Abstract Book

162

NNT 2010 Conference Program and Proceed-ing

In situ characterization of mold-resist adhesion in UV Nanoimprint lithography

J. Boussey1, M. Zelsmann1, A. Francone1, S. Labau1, C. Iojoiu2 , T. Glinsner3, G. Kreindl3

1 LTM-CNRS-UJF/ CEA-LETI-MINATEC, 17 rue des martyrs, 38054 Grenoble, France 2 LEPMI, CNRS- Grenoble INP-UJF, 56 rue de la piscine, 38400 Saint Martin d’Hères, France

3EVGroup, E. Thallner GmbH, DI Erich Thallner Strasse 1, A-4780 Schärding-Austria e-mail: [email protected]

In nanoimprint techniques, the mold surface has to be treated with an antisticking layer in order to guarantee its safe and reproducible release from the cross liked resist. The release properties of the quartz template used in UV-NIL are of prime importance with respect to the possible spread of these patterning techniques. Generally, the release properties of the mold surface are obtained by the application of a fluorinated antisticking layer (F-ASL) that decreases the surface energy of the quartz down to 10mN/m and eases its separation from the imprinted and cured resist features. Requirements in term of number of imprints performed before mold cleaning and /or retreatment vary as a function of targeted applications and can be detrimental in some cases. For instance, in nanoelectronics (mix & match mode), a quartz template is expected to stamp 5000 dies before being cleaned and re-used [1]. However, currently reported performances are well below this value and has raised, since few years, big amount of research works aiming at understanding and alleviating the mechanisms beyond those poor performances [2-4] In our previous works [5], we have identified a chemical degradation mechanism occurring between the fluorosilanes molecules and the free radicals induced in the acrylate-based resist upon UV irradiation. We have also proved that when the UV curing phenomenon does not involve free radicals, the antisticking properties of a quartz mold, beforehand treated with F-ASL, remain unchangeable for much longer lifetime [6]. Finally, the addition of fluorinated surfactant to the resist formulation seems also to increase significantly the durability of the antisticking layer [6-7]. Despite those thorough studies, they all had the drawbacks to be conducted on dummy quartz template and to focus on chemical characterization of the involved surfaces (i.e. mold and resist surfaces). As a consequence, the actual forces applied during mold detachment were not taken into account in the study of the antisticking layer degradation. Yet, those forces rely on the way that the mold is lifted-off, on the roughness of the mold features [8] and on the mechanical properties of the cross-linked resist it self. In this work, we will present, for the first time, an accurate comparative study, based on in-situ measurements of the de-embossing force between a real quartz template and several types of resists whose mechanical properties (elasticity modulus) and chemical reactivity towards F-ASL are made variable (Table 1 ). Actually, the new UV-NIL stepper recently released by EVGroup (EVG 770 NIL Stepper) provides the possibility to determine accurately the de-embossing force thanks to the implementation of well calibrated three load cells at the template holder level (Fig.1). Moreover, the software allows to always separating the mold from the imprinted die along the same direction, starting from the edge. Using this new tool, accurate and reliable assessment of the template-resist adhesion issues will be presented

Acknowledgements: This work was supported by a grant from the Region Rhône-Alpes scientific research promotion board via Clusters de Micro et Nanotechnologies. The quartz mold used was provided by IMS-Chips-Stuttgart Germany, in the framework of Fantastic European Project.

Page 163: NNT 2010 Abstract Book

163

references: [1] Cost of Operation of Nano Imprint Lithography, J. Massin, STMicroelectronics, private communication [2] F. S. Garidel, M. Zelsmann, N. Chaix, P. Voisin, J. Boussey, A. Beaurain, and B. Pelissier, J. Vac. Sci. Technol. B 25 (2007) 2430 [3]. A. Houle, S. Raoux, D. C. Miller, C. Jahnes, and S. Rossnagel, J. Vac. Sci. Technol. B 26 (2008) 1301. [4] H. Schmitt, L. Frey, and H. Ryssel, J M. Rommel, C. Lehrer, J. Vac. Sci. Technol. B 25 (2007) 785-790 [5] D. Truffier-Boutry, M. Zelsmann, J. De Girolamo, . Boussey, C. Lombard, B. Pépin-Donat, Appl. Phys. Lett. 94 (2009) 044110 [6] C. Alleaume, D. Truffier-Boutry, A. Beaurain, B. Pelissier, M. Zelsmann, J. Boussey, R. Sood, C. Iojoiu, NNT 2009 Proceedings, xx [7] M.W. Lin, D. J. Hellebusch, K. Wu, E-K. Kim, K H. Lu, K.M. Liechti, J.G. Ekerdt, P. S. Ho, C.G.Willson, J. Micro/Nanolith. MEMS MOEMS 7_3 (2008) 033005 [8] K. Tada, M. Yasuda, Y. Kimoto, H. Kawata, and Y. Hirai: Jpn. J.Appl. Phys. 47 (2008) 2320

Triethylene glycol di vinyl ether + UV 6576 as a photoinitiator

Poly ethylene glycol mono metha acrylate

Triethylen glycol di acrylate Poly (dimethylsiloxane) amino propyl

Triethylen glycol di methaacrylate + poly ethylene glycole di vinyl ether Darocure ITX as photoiniator

Table 1.Chemichal formulae of the monomers used to formulate the UV curable resists analyzed. Acrylate based ones (left column) used Darocure 4265 from Ciba as photoinitiator. Mechanical properties were made variable by considering various degrees of cross-linking. Right column depicts two other types of UV resists which the curing does not involve free radicals.

Figure 2. Typical de-embossing force curves obtained by EVG770 depicting the force exerted (here for two types of commercially available resists)

Page 164: NNT 2010 Abstract Book

164

NNT 2010 Conference Program and Proceed-ing

Topic No. (1) Oral or Poster (Poster)

Fabrication of silicone based opto-electronic ring resonator with Soft

UV-NIL Process

J. Kima, N. Kooa, U. Plachetkaa, J. Boltena, M. Waldowb, C. Moormanna and H. Kurza, b a Advanced Microelectronic Center Aachen (AMICA) / AMO GmbH, Germany

b Institute of semiconductor Electronics, RWTH Aachen University, Germany

As UV-NIL enters the area of decisive application, the demonstration of functional nanostructures fabricated by UV-NIL becomes an essential milestone deciding the further progress of UV-NIL. Here we report on a careful study of the quality and functionality of optical ring-resonators fabricated by Soft UV-NIL, where the Q-factor mirrors sensitively the surface quality of the nanophotonic ring structure printed. In Figure 1, a ring-resonator structure, defined by the Soft UV-NIL process is shown; the element was transferred into a SOI-Substrate by RIE-Process. In Figure 2 the transmission spectrum of the fabricated ring resonator details a Q-factor of 25200 and a transmission losses of 7,7 dB/cm². The results demonstrate convincingly that an optimized Soft UV-NIL process can provide a suitable low cost method for the fabrication of a crucial element in the area of silicon photonics. Word Count: 135

Page 165: NNT 2010 Abstract Book

165

Fig. 1 Nanophotonic ring-resonator structure fabricated by Soft UV-NIL

Fig. 2 Transmission spectrum of a UV-NIL ring-resonator

Page 166: NNT 2010 Abstract Book

166

NNT 2010 Conference Program and Proceed-ing

development of mold fabrication processes using ormostamp® material for step and repeat uV-nil

C. Perret, D. Pietroy, S. Labau, C. Gourgon, J. Boussey Laboratoire des Technologies de la Microélectronique, LTM-CNRS-UJF/CEA-Leti, 17 rue

des Martyrs F- 38 054 Grenoble Cedex, France e-mail: [email protected]

Keywords: step and repeat UV-NIL, mold copy, large surface

One main interest of NIL patterning techniques is the possible duplication of nanostructures on large surfaces. However, fabricating large surface molds, fully covered with nanoscale features, is almost impossible because e-beam direct writing is still a very time consuming technique. Step and repeat processes have been developed to alleviate this limitation. Indeed, in Step&Repeat mode, the mold has reduced size which enables its manufacturing by e-beam lithography and dry plasma etching processes. In UV-NIL Step&Repeat techniques, the mold has to be transparent and is usually achieved on thick fused silica (6.35mm thick) by E-beam lithography and plasma etching. The handling of such thick and insulating substrates in e-beam writers and inside plasma etching reactors requires the development of specific processes and holders. Moreover, in most cases, a post processing step is needed in order to fabricate a mesa all around the mold active area. In this paper, we show how this direct patterning of bulk fused silica can be replaced by the structuration of a thin transparent polymer layer deposited on a fused silica blank. The material used, Ormostamp®, purchased at Micro Resist Technology GmbH, the equipment is a new generation UV-NIL stepper provided by EVGroup (EVG770 NIL Stepper) shown on figure 1-a. A photo of the template used in this stepper, fixed on its template holder, is also given in Fig.1-b. One can distinguish a central active area surrounded of an opaque zone. Besides, this central area has to be few microns higher than the opaque zone. The fabrication of this mesa requires a specific UV lithography followed by wet or dry silica etch steps which can introduce contamination on the active surface. As the ormostamp® material can be spincoated in relatively thick films (comparable to the required mesa height), we investigate the possibility to fabricate the template and mesa into Ormostamp® material. Experimental details of this process are schematized on Fig.2 and will be explained in details at the conference.

We first started by replicating silicon mold into an Ormostamp® thick film spincoated on a 100 mm quartz wafer. This replication involves a thermal NIL step and specific UV curing steps. Photograph of such a replica is presented on figure 3 where 5x5 mm² gratings of differents patterns size and density can be seen. The uniformity of optical diffraction demonstrates the homogeneity of the imprinted structures. 250 nm lines were characterized by AFM, as shown on figure 3. The analysis of the image leads to a 220 nm line width, instead of 250 nm, but this variation is representative of real dimensions on the silicon mold. Prior to the development of step and repeat UV-NIL processes, this fused silica mold was used to imprint thermoplastic polymer by thermal NIL in order to qualify the cured ormostamp® behaviour during the imprint process. SEM results are presented on figure 5. Lines are imprinted into a NEB 22 thin film. The profiles are in good agreement with the mold ones. This demonstrates the high quality of demolding and the possibility to use these ormostamp® patterns as a mold copy. It will be shown how this material coated on top of the fused silica substrate can be used successfully to produce nanopatterns on large surfaces, such as 200 mm wafers, by step and repeat UV-NIL. Limitations and interest for specific applications will be analyzed.

Page 167: NNT 2010 Abstract Book

167

Figure 1 : Photograph of the new generation EVG770 NIL stepper (left) and typical quartz template fixed in its stamp holder(top)

Figure 2: Flow chart of the fabrication of an ormostamp® replica to be used in the EVG770 NIL Stepper

Figure 3: Diffractive gratings replicated by thermal NIL and specific curing in a Ormostamp® layer on a fused silica substrate

Figure 4: AFM picture of 220 nm lines imprinted in Ormostamp® material

Figure 5: 220 nm lines duplicated in a NEB22 film by thermal NIL using fused silica mold covered with patterned ormostamp® layer

pressure

UV

Silicon mold

Ormostamp Cr

Page 168: NNT 2010 Abstract Book

168

NNT 2010 Conference Program and Proceed-ing

Sub-7 nm Gap Bowtie Array By Post Fabrication Size Reduction Using Pressed Self - Perfection By Liquefaction (P-SPEL) and Nanoimprint

Jihoon Kim, Fei Ding, and Stephen Y. Chou

Department of Electrical Engineering, Princeton University, Princeton, NJ 08544

Significant effort has been taken to improve resolution and cost of nanofabrication. However, due to the factors such as intrinsic beam nature (e.g. electron beam lithography (EBL)) and resist properties and chemistry, further reduction of nanopattern dimensions in a conventional nanofabrication becomes increasingly limited and difficult. To overcome those difficulties, we have recently developed and demonstrated a path-changing method: pressed self-perfection by liquefaction (P-SPEL), where a nanostructure is improved post its initial fabrication by local melting and pressing it with a flat plate.1 However, previously P-SPEL has been demonstrated on simple patterns such as holes, gratings and squares.

Here, we report SPEL, combined with nanoimprint, on a very useful plasmonic structure, bowtie array, which needs sub-10 nm gap to create strong plasmonic field.2 Using P-SPEL, we have reduced, in a well controlled manner, the initial gap of 30 nm (that was fabricated by EBL) to sub-7nm, which is difficult to be achieved reliably by EBL. In this structure, a huge plasmonic field enhancement is arising in a gap between two narrow sharp corners when light is shinning on it, so it is one of very important nano structures for the study of Surface Enhanced Raman Spectroscopy (SERS).

Figure 1 shows the basic process steps of P-SPEL for the bowtie plasmonic structure. First a bowtie nanoimprint mold with protruding bowtie features was fabricated by EBL and then reverted to a new mold with the concave bowtie feature by nanoimprint, shadow evaporation of Cr and etching. In P-SPEL, the protruding resist structures were pressed by a SiO2 flat guiding plate, which reduced the height of the resist structures and forced the resist to flow laterally, hence reducing the gap between two corners.

We investigated the influence of P-SPEL parameters such as temperature, pressure and time on the spacing size of gaps. Figure 2 shows the spacing size after P-SPEL at different P-SPEL temperatures and time. As temperature increases, the spacing is almost linearly reduced until 75°Cand then suddenly changes about 80°C which indicates polymer flow has different behavior at different temperature regime. For the time change, at first 30s, the spacing size is suddenly reduced and after then almost linearly reduced by about 2nm/min of reduction rate. For the pressure effect, the spacing size is almost constant with increasing pressure. Consequently, it is found that the temperature is the most effective factor for P-SPEL on bow tie structures among others.

We successfully achieved about 7 nm gap sizes of bowtie from original gap size of 30 nm, by using P-SPEL at 78 °C and 200 psi for 5 min which is about 77% of size reduction. Figure 3 shows the SEM micrograph of a bow tie of about 70nm on Si substrate before and after P-SPEL which shows the 7 nm gap size. Through P-SPEL, we control the post fabrication pattern dimensionto sub-10 nm and also successful demonstration of P-SPEL on bow tie structures proves that it is an excellent post fabrication process for practical structures which need high resolution patterns.

Page 169: NNT 2010 Abstract Book

169

1 Y. Wang, X. Liang, Y. Liang, and S. Y. Chou, Nano Lett. 8(7), 1986 (2008).2 F. Jackel, A. A. Kinkhabwala, W. E. Moerner, Chem. Phys. Lett. 446, 339 ( 2007).

Fig.1. Schematic of pressed self-perfection by liquefaction (P-SPEL) for a bowtie plasmonic structures

a) b)Fig.2. The spacing size as a function of (a) P-SPEL temperature for 30s and (b) time at various temperature. Pressure is 200psi for both graphs.

a) b)

Fig.3. SEM micrograph of bowtie structures of about 70nm on Si substrate (a) before and (b) after P-SPEL at the temperature 78°C and pressure 200 psi for 5 min.

60 65 70 75 80 851012141618202224262830

spac

ing

size

(nm

)

Temperature (oC)0 1 2 3 4 5

5

10

15

20

25

30sp

acin

g si

ze(n

m)

time (min)

72oC74oC76oC78oC

Page 170: NNT 2010 Abstract Book

170

NNT 2010 Conference Program and Proceed-ing

1

Seamless Roller Mold Fabricated by Cylindrical Photolithography and Roller Imprinting of Brightness Enhancement Film with Continuous Ball-Lens-Array

Yung-Chun Lee and Hong-Wei Chen Department of Mechanical Engineering, National Cheng Kung University, Tainan, Taiwan

Roller imprinting is considered the most promising technology for mass-production of micro/nano-structures for it can replicate micro/nano-structures from a roller mold in a fast, large-area, low-cost, and continuous (roll-to-roll) way. However, it is still a challenging task to prepare a roller mold with complex surface features on its cylindrical surface, especially when a seamless pattern is requested. In this paper, we report the successful fabrication of a seamless roller mold using traditional photolithography approaches. We have also applied this seamless roller mold for continuous roller imprinting of ball-lens-array polymer structures on a PET film, which forms a brightness enhance film (BEF) used in back-light modulus of LCD flat panel displays. Optical performance of this roller-imprinted BEF has been examined and verified.

The key elements allow us to achieve seamless micro-structures on a roller surface are: (a) a pneumatic air coating method for uniformly coating a thin layer of photo-resist (PR) on the cylindrical surface of a roller and (b) a precision step-and-rotated UV exposure system to transfer the pattern form a photo-mask to a PR layer coated on the roller surface, as being schematically depicted in Fig. 1(a) and 1(b), respectively. Efforts have been given to adjust the air coating parameters such as air pressure difference, spinning speed, and PR dispensing rate, in order to achieve uniformly coated PR layer. Designed patterns are then transferred to the PR layer in a line-by-line and step-and-rotated way through a photo-mask and parallel UV light source. After developing the UV-exposed PR layer, patterned PR structures are formed on the roller surface and can be subsequently used for completing the final metal roller mold either by chemical etching or electroforming.

Experimentally, we have produced a number of seamless roller molds with a variety of patterns and micro-structures. For the purpose of fabricating a brightness-enhanced optical film with a ball-lens-array surface structure, a roller mold is fabricated using the above-mentioned approaches. As shown in Fig. 2(a), the steel roller mold is 50 mm in diameter and 150 mm in length. The patterned area is 100 mm long since a 5” photo-mask is used. A hexagonally-close-packed hole-array-opening pattern was first formed on a 5 um thick PR (AZ 4620) layer which is coated on the roller surface. The diameter of the hole-opening is 20 um and the center-to-center pitch between adjacent holes is 54 um. Chemical (FeCl3) etching process is adopted here and creates an array of concave ball-shape cavities on the roller surface. For reducing the surface roughness after etching, the roller is then subjected to electro-polishing. The final surface structures on the roller surface are measured by a 3D confocal microscope (VT-9700, Keyence) and are shown in Fig. 2(b). The profile of the ball-shape cavity is given in Fig. 2(c), which has a depth of 22 um and a diameter of 54 um. Using this seamless roller mold and a UV roller-imprinting system, continuous ball-lens-array polymer structures are formed on a PEF film as shown in Fig. 3(a). The SEM images of detailed structures of the roller-replicated ball-lens-array are shown in Fig. 3(b) and 3(c). Profiles measured by the confocal 3D microscope are given in Fig. 3(d) and 3(e).

The brightness enhancing capability of the fabricated ball-lens-array optical film has been measured using an optical illuminance measurement system. An optical gain of 1.2 to 1.3 is observed which verifies the light collimating characteristic of the ball-lens-array film. Study on further improving the optical performance based on adjusting the dimension and shape of the ball-shape cavities on the roller’s surface is under way.

Page 171: NNT 2010 Abstract Book

171

2

Fig. 1. Two examples show the photolithographic masks and the fabricated microstructures on the surface of seamless roller molds.

Fig. 2. Fabricated seamless roller mold; (a) a photo, (b) ball-shape concave micro-structures on the roller surface, and (c) detailed surface profile of the ball-shape cavity.

Fig. 3. A PET film coated with ball-lens-array polymer micro-structures from UV roller-imprinting; (a) a photo, (b) and (c) SEM images of the replicated ball-shape polymer micro-structures, (d) and (e) surface profiles of the replicated ball-shape polymer micro-structures.

(a) (b) (c)

(e)(d)

Etch

in

Compressed AirPR

Air Ring

(a) (b)

(a) (b) (c)

Page 172: NNT 2010 Abstract Book

172

NNT 2010 Conference Program and Proceed-ingNNT 2010 Conference Program and Proceeding

Page 173: NNT 2010 Abstract Book

173

process And process modellinGP50: Advanced Trench Filling Process Combing Thermal Imprint and Selective Copper Elec-trodeposition for Printed Wiring Board Fabrication: Hiroshi Yoshida, Materials Research Lab, Hitachi Ltd.; Hiroshi Nakano, Materials Research Lab, Hitachi Ltd.; Hitoshi Suzuki, Materials Research Lab, Hitachi Ltd.; Toshio Haba, Materials Research Lab, Hitachi Ltd.; Akira Chinda, Hi-tachi Cable Ltd.; Haruo Akahoshi, Materials Research Lab, Hitachi Ltd.P51: Resin Elongation Phenomenon in Nanoimprint Lithography: Kosuke Kuwabara, Hitachi Ltd.; Akihiro Miyauchi, Hitachi Ltd.; Hiroyuki Sugimura, Kyoto Univ.P52: Controlled formation of Dense Nanoshpere Arrays By Thermal Annealing of Nanoim-printed Lines for Nanodot Array Working Stamp Fabrication: Arne Schleunitz, Paul Scherrer Institut; Christian Spreu, Paul Scherrer Institut; Jaejong Lee, Korean Institute of Machinery and Materials; Helmut Schift, Paul Scherrer InstitutP53: Evaluation of Curing Characteristics in UV-NIL Resist: Akira Horiba, Osaka Pref. Univ.; Ryosuke Suzuki, Osaka Pref. Univ.; Yoshihiko Hirai, Osaka Pref. Univ.P54: Fabrication of Wire Grid Polarizer for Visible Spectrum By Lift-Off Process: Chien-Li Wu, National Tsing Hua University

Page 174: NNT 2010 Abstract Book

174

NNT 2010 Conference Program and Proceed-ing

Advanced Trench Filling Process Combing Thermal Imprint and Selective Copper Electrodeposition for Printed Wiring Board

Fabrication

Hiroshi Yoshida1*, Hiroshi Nakano1, Hitoshi Suzuki1, Toshio Haba1, Akira Chinda2, Haruo Akahoshi1

1Materials Research Laboratory, Hitachi Ltd., Hitachi, Ibaraki, 319-1292 Japan 2Hitachi Cable Ltd., Hitachi, Ibaraki, 317-0065 Japan

*[email protected]

A trench filling process1 to fabricate ultra-fine pitch printed wiring boards was developed by combining thermal imprint process and selective copper deposition technique. Copper was electorodeposited selectively inside the trenches fabricated in the polymeric dielectric layer by thermal imprint process without causing excess deposition on the surface. The selective deposition was realized by a novel electrodeposition bath employing Cyanine dye as an inhibiting additive. The recessed interconnections with dimension of 5µm line and space (L/S) were successfully fabricated.

As illustrated in Figure 1, a Ni mold was used to imprint L/S pattern with half pitch of 5 to 10µm into the dielectric polymer layer. Thermoplastic polyimide (Upilex-VT, Ube Industries, Ltd.) and heat curable resin (CCR-240GS, Asahi Chem. Res. Lab. Co. Ltd.) were applied as dielectric materials. Before electroplating, thin electroless NiB layer was deposited on the surface of imprinted dielectric layer as a seed layer for current feeding. A novel electroplating bath with Basic Red 12 as an inhibiting additive2,3 was applied to selectively fill copper inside the trenches with strong suppression of copper deposition on the top surface. Finally, the seed layer was removed by rapid etching.

Figure 2(a) and 2(b) show cross-sectional scanning electron micrographs of the copper wiring with 5µm half pitch fabricated in the polyimide, and with 10µm half pitch fabricated in the heat curable resin, respectively. Copper was selectively and void-lessly filled in the narrow trenches without excess deposition on the top surfaces for both samples, forming copper wiring with rectangle cross-section in the bottom and sides. Although, top surface of the polyimide layer in Figure 2(a) was round in shape suggesting further improvement of imprint condition is required, the results show developed process can be applied to various types of dielectric materials.

The selective electrodeposition technique shows significant advantages compared to non- or less- selective deposition, which require planarization to remove excess copper deposited on the surface. Combination of the technique with imprint technology may potentially replace the state of the art semi-additive process for fine printed wiring board manufacturing.

Page 175: NNT 2010 Abstract Book

175

References

1. R. Huemoeller, S. Rusli, S. Chiang, T.Y. Chen, D. Baron, L. Brandt and Bernd Roelfs, Advancing Microelectronics, 34, p 22, 2007

2. T. Haba, T. Itabashi, H. Akahoshi, and H. Miyazaki, Advanced Metallization Conference 2002, B. M. Melnick, T. S. Cale, S. Zaima, and T. Ohta, Editors, (Materials Research Society, 2003), pp. 361.

3. T.Haba, H. Suzuki, H. Yoshida, H. Akahoshi, and A. Chinda, Electrochem. Solid-State Lett., Vol. 13, (2010), pp. D23.

(d) Cu selective deposition

(c) NiB seed layer formation

substrate

(e) Quick etching

(b) Thermal imprint

dielectric layer

(a) Dielectric layer

Thermoplastic polyimide

Heat curable resin

Cu

Cu

(a)

(b)

Figure 2. Cross-sectional SEM images of the recessed interconnections. (a) L/S = 5/5µm, aspect ratio 2 pattern in thermoplastic polyimide layer. (b) L/S = 10/10µm, aspect ratio 1 pattern in heat curable resin layer.

Figure 1. Trench filling process combining thermal imprint and selective copper depositions for printed wiring board fabrication.

Page 176: NNT 2010 Abstract Book

176

NNT 2010 Conference Program and Proceed-ing

Resin Elongation Phenomenon in Nanoimprint Lithography

Kosuke Kuwabara 1,2, Akihiro Miyauchi 2, and Hiroyuki Sugimura 1

1 Department of Materials Science and Engineering, Kyoto University, Yoshida Honmachi, Sakyo-ku, Kyoto 606-8501, Japan

2 Materials Research Laboratory, Hitachi Ltd. 7-1-1, Omika, Hitachi, Ibaraki, 319-1292, Japan

*E-mail: [email protected] Introduction Thermal nanoimprint lithography (T-NIL), which uses mechanical press systems, is a promising technology for fabricating fine structures. The transferred structures are the inversions of the mold morphology in T-NIL. However, we have found a phenomenon, elongation, by which pillar structures (nanopillars) with a higher aspect ratio than that of the corresponding microholes on the mold can be made with T-NIL [1,2]. This elongation enables the formation of extra high-aspect-ratio structures. It is still not fully understood, however, and it is therefore necessary to elucidate the mechanisms of the elongation phenomenon in order to control the demolding process. We describe the relationship between surface adhesion force and the elongation of polystyrene (PS) nanopillars. Experimental The mold for T-NIL was a Si piece (5 × 7 mm) with a 1.0-m-thick SiO2 layer. Microholes were fabricated on the mold by photolithography process. A release layer was formed on each mold using either a silicone release agent or an alkoxysilane-terminated perfluoropolyether. A homebuilt NIL system was used for T-NIL. In the T-NIL test, each mold with a release layer was pressed at 150 °C onto a PS film spin-coated on a piece of Si piece (10 × 10 mm). The pair was separated at 20 - 120 °C in a tensile tester. The imprinted morphology was observed with SEM after Pt coating. The adhesion force between the resin and the release layer was evaluated by a force curve measurement in atomic force microscopy (AFM) with a PS colloid probe.

Results and Discussion PS nanopillars with higher aspect ratios than that of corresponding holes on the mold were obtained (Fig.1). The finest PS nanopillars were 95 nm in diameter and 4.1-μm-high. Elongation depended on the aspect ratio of the corresponding microholes on the mold and the release agent used for the mold. We measured the demolding forces of flat molds in T-NIL against a PS layer spin-coated on pieces of Si wafer (Fig. 2), and adhesion forces of a PS colloid probe to flat molds in AFM (Fig. 3). In both measurements. the mold with silicone release layer, with which elongation of nanopillars occurred, showed larger adhesion force against PS. The height of the nanopillars was plotted against the mean stress loaded on their foot areas, which were derived from the aspect ratios of the microholes and the adhesion force obtained with the force curve measurements on flat molds (Fig. 4). The conditions under which the elongation occurred exhibited a threshold on the stress loaded on the foot area of the nanopillars.

Page 177: NNT 2010 Abstract Book

177

References [1] K. Kuwabara, M. Ogino, T. Ando and A. Miyauchi, Appl. Phys. Lett., 93, 033904 (2008). [2] K. Kuwabara, A. Miyauchi and H. Sugimura, submitted to Jpn. J. Appl. Phys.

Fig. 2 Demolding force of flat molds with release layer in T-NIL process against PS layers. Error bars show standard deviations.

Fig. 3 Adhesion forces of PS colloid probe on flat molds with and without release layers. Error bars show standard deviations.

Fig. 1 SEM images of PS nanopillars fabricated with elongation phenomenon. Demoldingtemperature was 20 oC. Nanopillars heights were (a) 1.0 m (corresponding diameter of microhole on mold with silicone release layer was 500 nm), (b) 3.2 m (350 nm microhole, silicone release layer), (c) 4.1m (200 nm microhole, silicone release layer), and (d) 1.0 m (200 nm microhole, perfluoropolyether release layer).

0.00

0.05

0.10

0.15

0.20

0.25

Silicone Perfluoropolyether

Dem

oldi

ng fo

rce

(MPa

)

0

100

200

300

400

500

Cleaned Silicone Perfluoro-polyether

Adhe

sion

forc

e (p

N)

SiliconePerfluoropolyether

Relative mean stress for nanopillar’s foot area (a.u.)0 5 10 15 20 25

1.0

2.0

3.0

4.0

5.0

Nano

pilla

rhei

ght (m

)

0.0

Fig. 4 Nanopillar height as a function of the mean stress loaded on the foot area of nanopillars. Mean stress was derived from aspect ratio of microhole and adhesion force obtained in force curve measurements on flat molds assuming that adhesion force between surface of microholes on the mold and resin layers is uniform and constant. Microholes' depth was 1 m. Each error bar shows standard deviation.

Page 178: NNT 2010 Abstract Book

178

NNT 2010 Conference Program and Proceed-ing

Topic No. 7 (Processes and process modeling) Oral presentation preferred

controlled formation of dense nanoshpere Arrays by thermal Annealing of nanoimprinted lines for nanodot Array Working stamp fabrication

Arne Schleunitz*, Christian Spreu*, JaeJong Lee** and Helmut Schift* *Paul Scherrer Institut, Laboratory for Micro- and Nanotechnology, 5232 Villigen PSI, Switzerland

** Korea Institute of Machinery and Materials, Daejeon 305-600, Koera

Nanoimprint lithography (NIL) plus reflow is a highly interesting way to combine the high resolution capability of a replication method with a post-processing method which can generate 3-D structures with defined shape from 2-D (binary) resist structures on large areas [1]. The thermal reflow technique is simple and well established for the fabrication of spherical or cylindrical lenses in the microrange with very smooth surfaces. Apart from obvious advantages as the reduction of surface roughness, post-processing by reflow can also be used to generate new kinds of patterns [2]. In this contribution, we enlarge the portfolio of reflow further by forming new structures by controlled dewetting and agglomeration of nanoimprinted performs.Recently, reflow was expanded to sub-µm structures, using thermal nanoimprint lithography (NIL) for generating the resist perform with subsequent reactive ion etching (RIE) for window opening. Thus, 100 nm high ridges are fabricated in poly(methyl methacrylate) (PMMA) resist with a molecular weight of 25k (glass transition Tg 105°C). During reflow, at 100 nm line width (half-pitch) the lateral spreading of the ridges leads to a merging of adjacent structures (process time 4 min at 160°C, initial structure height 100 nm after RIE). The spreading can be inhibited by a hydrophobic surfactant coating using fluorinated silane chemistry [3], applied onto substrate windows after residual layer etching. Then the PMMA tries to assume a relatively high contact angle of about 73°, and stops before significant spreading is achieved. For ridges with line widths below 200 nm, we then observe a dewetting of resist during reflow [4]. The resist, which normally tends to spread laterally to some extent over the areas covered by the surfactant, splits locally and collects material along the ridges to form larger randomly distributed dots with lens-like shape (see Fig. 1). At these small dimensions, the resist is not able to flow over large distances and stops when local depletion happens. Since this coagulation needed to be more controlled, we fabricated stamps with defined nodes (Fig. 2), which serve as nucleation points for these dots during the reflow step. If these nodes were set in a distances smaller than the average distance of dots without nodes, we obtained a regular dot matrix with almost totally dewetted lines. At specific distances larger than the average distance of dots without nodes, a forming of additional spheres can be achieved, i.e. a collection of material at nodes as well as in the center between two nodes. This frequency doubling is an interesting aspect of self-ordering, which would enable the fabrication of dot arrays with higher resolution than with the original stamp patterns. Thus the combination of a top-down (nanoimprint) and bottom-up (coagulation) overcomes size limitations (in aspect ratio or resolution) or generates surface shapes not possible or difficult to achieve with standard lithography.This powerful post-processing method can be used either directly as post-processing step in production or for the fabrication of 3-D stamp copies with the desired shapes. In Fig. 3, reflowed polymer structures were etched using a proportional pattern transfer based on dry etching. Then one single original resist structure can be used to generate mold copies with a range of patterns and profiles, e.g. with different heights. When combined into a single mold, e.g. by step&repeat NIL, stamps with a manifold of structures can be generated.

references: [1] D. Daly, R.F. Stevens, M.C. Hutley, and N. Davies, J. Meas. Sci. Technol. 1, 759–766 (1990). [2] S.Y. Chou and Q. Xia, nature nanotechnology 3, 295-300 (2008). [3] H. Schift, L.J. Heyderman, C. Padeste, and J. Gobrecht, Microelectron. Eng. 61-62, 423-428 (2002). [4] H. Schift, L.J. Heyderman, M. Auf der Maur, and J. Gobrecht, Nanotechnol. 12, 173-177 (2001).

Page 179: NNT 2010 Abstract Book

179

fig. 1 Process scheme: well-ordered polymer nanospheres are generated by nanoimprinted line pattern and controlled dewetting during thermal reflow step. Stamp originals are subsequently generated by proportional pattern transfer into silicon substrate by plasma etch technique.

fig. 2 Silicon stamps with 100 nm wide cavities (SEM micrographs) and periodic nodes serving as nucleation points. Imprinted ridge performs form ordered nanospheres (AFM micrographs) during thermal reflow induced by the nucleation points. Frequency doubling can be observed, when distance between nodes exceeds a critical dimension.

fig. 3 Array of nanoscaled sphere pattern generated in silicon substrate. Reflowed polymer structures were etched using a proportional pattern transfer based on dry etching technique.

Page 180: NNT 2010 Abstract Book

180

NNT 2010 Conference Program and Proceed-ing

Evaluation of curing characteristics in UV-NIL resist

Akira Horiba1 ,2, Ryosuke Suzuki1 ,2, Yoshihiko Hirai1 ,2

1Physics and Electronics Engineering, Osaka Pref. Univ., Sakai, Osaka 599-8531, Japan 2 CREST-JST, Kawaguchi, Saitama, 332-0012, Japan

*E-mail; [email protected]

1. IntroductionUV nanoimprint lithography (NIL) [1] is expected to fabricate fine patterns in high throughput. In UV NIL process, resist curing characteristics such as modulus modification and shrinkage would affect to

the resist profile formation and inducement of residual stress. However, the mechanical characteristics in UV curing resist have not been reported in details for UV nanoimprint resists.

In this report, modulus modification and shrinkage of UV resist is measured under UV exposure. Based on the result, simple structural simulation are carried out to investigate resist profiles and residual stress.

2. Measurements of mechanical characteristics and simulation In UV nanoimprint process, the important interest is not only chemical reactions of the resist, but also

mechanical modulation by UV exposure, which is closely related to resist curing and design optimum exposure conditions. The mechanical characteristics are evaluated using UV rheology meter. Figure 1 shows schematic diagram of typical UV rheology meter MCR301 (Anton Paar). The storage modulus, phase lag and thickness shrinkage are measured under various UV exposure intensities and discuss about the mechanical modulation in UV resists. In this report, PAK-01 resist (TOYO GOSEI) is investigated.

Figure 2 shows modulation of storage modulus and thickness changes under various exposure UV intensity. The results depend on UV intensity. Based on the measured characteristics of the UV resist, structural simulation is carried out under variable modulus and shrinkage ratio during UV exposure. In this study, optical intensity distribution is taken into consideration. Figure 3 shows example of optical intensity profile in UV resist and template, which is derived by solving Maxwell equations. Based on the optical intensity distribution and the mechanical characteristics of the UV resist, the resist profile and residual stress are simulated. Figure 4 demonstrates the resist profile and residual stress distribution. In this case, the final shrinkage of the resist is 4.1%. In this simple mechanical model, CD error is induced by UV curing. Line width dependence and material characteristic dependence will be discussed.

References1) M. Colburn, et al., Proc. SPIE 3676 (1999) 378.

Acknowledgements We deeply thanks to Drs. T. Ohsaki and N. Sakai at TOYO GOSEI Co. for resist preparation and fine discussions on resist curing mechanisms.

Page 181: NNT 2010 Abstract Book

181

UV source

Quartz stage

UV resin

Rotating rod

UV

Aactuator/Torque sensor

UV source

Quartz stage

UV resin

Rotating rod

UVUV

Aactuator/Torque sensor

Figure 1 Schematic diagram of UV rheology meter.

0 10 20 30100

101

102

103

104

105

106

107

108

1mW

Time[s]

Sto

rage

ela

stic

mod

ulus

[Pa]

3mW30mW

a) Storage modulus

0 10 20 300.8

0.85

0.9

0.95

1

1mW

Time[s]R

elat

ive

Res

ist T

hick

ness

3mW30mW

b) Relative thickness

Figure 2. Modulation of storage modulus and resist thickness by UV curing in various exposure UV intensities.

25nm 50nm

50nm Quartz (n=1.49)

Resist (n=1.60)

nm356=λ

Si sub.

25nm 50nm

50nm Quartz (n=1.49)

Resist (n=1.60)

nm356=λ

Si sub.

Figure 3. Optical intensity profile by UV exposure Figure 4. Resist profile simulation based on mechanical properties of UV curing.

Page 182: NNT 2010 Abstract Book

182

NNT 2010 Conference Program and Proceed-ing

Topic No. 7Oral

Fabrication of Wire Grid Polarizer for Visible Spectrum by Lift-off Process

Chien-Li Wu, David Mikolas, Chien-Chung Fu, Cheng-Kuo Sung*Department of Power Mechanical Engineering, National Tsing Hua University,

Hsinchu, 30013, Taiwan, R.O.C.*email: [email protected]

Nanoimprint is an appropriate fabrication process for producing simple, periodic, and high resolution nanostructures. In this study, we proposed using UV nanoimprint process as well aslift-off process to fabricate the parallel-stacking wire grid polarizer (WGP) for visible spectrum, which means the pitch and linewidth should be smaller than sub-wavelength for matching the desired optical performance. The procedure of making the WGP contains the UV nanoimprint process, oxygen plasma etch, metal deposition, and resists removal. Thedeposition process should be carefully handled for the subsequent lift-off process.

The undercut structure is usually required for lift-off process because it could avoid the step coverage behavior [1]. Some research articles have shown that the lift-off process could be applied with only single photoresist layer without undercut shape [2]. For preliminary tests in this study, resists were patterned by e-beam lithography in order to obtain various parameters of structures. Fig. 1 shows the aluminum deposition results. The designed thickness of deposited aluminum was 100 nm, and there were four different deposition behaviors occurredin the experiments. The pitch of the structure was 240 nm, the linewidth was 144 nm, and the depth of the structure was 330 nm. Fig. 1(a) shows the surface pile-up phenomenon after e-gun evaporation due to the different deposition rate in the top and bottom regions of structures.In this case, the thickness of Al was 200 nm. Figs. 1(b) and 1(c) respectively show the step coverage and non-uniform issues, which were resulted from the smaller mean free path of the deposited atoms and the non-flat substrate surface. Meanwhile, we have achieved a good result made by thermal evaporation as shown in Fig. 1(d) that was no step coverage issue but a uniform aluminum coating. It would be suitable for the following lift-off process.

The different parameters of resist structures were applied to discover the limit of deposition process. Fig. 2 shows a higher metal structure in the bottom region could be obtained with greater cavity width of resist structures which should provide higher optical performance [3]. After resist removal, the aluminium wire grid was obtained even though there were some contaminations on the sample (Fig. 3); this process will be optimized in the future work. The etching process could be applied to the next step to make the parallel stacking WGP which should provide desired optical performance.

Word Count: 389

References:[1] “Nanometer metal line fabrication using a ZEP520/50 K PMMA bilayer resist by e-beamlithography,” Lihua An et al., J. Vac. Sci. Technol. B 23(4), 1603-1606, Jul/Aug. (2005)[2] “E-beam assisted fabrication of a subwavelength aluminum mesh,” Clarisse Mazuir et al.,Advanced Fabrication Technologies for Micro/Nano Optics and Photonics II, Proc. of SPIE Vol. 7205 (2009)[3] “Bilayer metal wire-grid polarizer fabricated by roll-to-roll nanoimprint lithography on flexible plastic substrate,” Se Hyun Ahn et al., J. Vac. Sci. Technol. B, Vol. 25, No. 6, 2388-2391 (2007)

Page 183: NNT 2010 Abstract Book

183

Fig. 1 Different aluminum deposition behaviors made by evaporation (a) surface pile-up, (b) step coverage (c) non-uniform, (d) designed result.

Fig. 2 Various parameters of resist structures show the higher aluminum structure could be achieved in the bottom region (cavity width-pitch-depth).

Fig. 3 Aluminum wire grid after resist removal.

Page 184: NNT 2010 Abstract Book

184

NNT 2010 Conference Program and Proceed-ingNNT 2010 Conference Program and Proceeding

Page 185: NNT 2010 Abstract Book

185

SOFT LITHOGRAPHYP55: UV Enhanced Substrate Conformal Imprint Lithography (UV-SCIL) on SUSS Mask Aligners and Its Applications : Ran Ji, SUSS Microtek Lithography Gmbh; Vu-Hoa Nguyen, SUSS Microtek Lithography Gmbh; Michael Hornung, SUSS Microtek Lithography GmbhP56: Fabrication of Micro and Nano Patterns for Biosensor Applications Using an Automated Microcontact Printing Tool: Juan Pablo Agusil Antonoff, Institute for Bioengineering of Catalonia; Marilia Barreiros Dos Santos, Institute for Bioengineering of Catalonia; Christian Sporer, Institute for Bioengineering of Catalonia; Josep Samitier, Institute for Bioengineering of Catalonia

Page 186: NNT 2010 Abstract Book

186

NNT 2010 Conference Program and Proceed-ing Topic No. (2)

Oral or Poster (Oral)

UV enhanced substrate conformal imprint lithography (UV-SCIL) on SUSS mask aligners

and its applications

Ran Ji, Vu-Hoa Nguyen, Michael Hornung

SUSS MicroTec Lithography GmbH, Germany

Nanoimprint Lithography (NIL) [1]

, which allows cost-effectively fabrication of nanostructures, receives

therefore considerable attentions in the last decades. Rigid quartz stamps provide high resolution down to

several nanometers. However, the imprint area and therefore throughput are limited due to its poor capability

to adapt to the substrate waviness. The flexibility of a soft stamp, e.g. PDMS stamp, enables the patterning on

wafer scale within one imprint step. But on the other hand, the stamp distortion caused by imprint pressure

limits the resolution and overlay accuracy.

A novel NIL technique developed by Philips Research and SUSS MicroTec, substrate conformal imprint

lithography (SCIL) [2, 3]

, bridges the gap between UV-NIL with rigid stamp for best resolution and soft stamp

for large-area patterning. Based on a cost-effective upgrade on Süss mask aligner, the capability can be

enhanced to SCIL with resolution of down to sub-10 nm on an up to 6 inch area without affecting any

established conventional optical lithographic processes on the machine.

The unique multi-layer stamp design, which consists of a thin glass carrier, a soft buffer layer and a structure

layer with special material mixture, enables the combination of in-plane rigidness and out-of-plane softness

and provides therefore the advantages of high resolution and wafer-scale full-field imprinting on the same

time. A special sequentially contact- and separation-mode guarantees the repeatability of the imprint results.

By using capillary forces of the liquid resist surface as imprint force instead of back-side pressure, the lifetime

of the stamps is maximized. The above mentioned features explore the potential of SCIL technique in

industrial applications, such like LED, media storage, bio-nano sensors and so on.

In this paper, the principle of this revolutionary technique and the machine concept are introduced. Its

potential application in high brightness LED and the consideration of industrialization are briefly discussed.

Word Count: 299

References: [1] "Imprint of sub-25 nm vias and trenches in polymers", Stephen Y. Chou, Peter R. Krauss, and Preston J.

Renstrom, APL 67, 3114 (1995)

[2] “3D Photonic Structures by Sol-Gel Imprint Lithography”, M. Verschuuren, H. van Sprang, Mater. Res.

Soc. Symp. Proc., Vol. 1002, Materials Research Society, 1002-N03-05, (2007).

[3] “UV Enhanced Substrate Conformal Imprint Lithography (UV-SCIL) Technique for Photonic Crystals

Patterning in LED Manufacturing”, R. Ji, M. Hornung, M. Verschuuren, R. v.d.Laar, J. v.Eekelen, U.

Plachetka, m. Moeller, C. Moormann, Microelectronic Engineering 87 963–967, (2010).

Page 187: NNT 2010 Abstract Book

187

(a)

(b)

Fig. 1 Photograph of (a) MA6 mask aligner with SCIL upgrade tooling; (b) a SCIL stamp.

(a) (b)

(c) (d)

Fig. 2 SEM images of imprinted structures into AMONIL resist by using UV-SCIL on SUSS

MA6 mask aligner. (a) square-shape holes array with a hole diameter of 95 nm and a pitch of

150 nm, structure depth is 70 nm; (b) lines and spaces structures with line-width of 55 nm and

a pitch of 150 nm, structure depth is 70 nm; (c) top-view and (d) side-view of holes array with

a hole diameter of 320 nm and a pitch of 513 nm, the structure depth is 160 nm.

Page 188: NNT 2010 Abstract Book

188

NNT 2010 Conference Program and Proceed-ing

Page 189: NNT 2010 Abstract Book

189

Page 190: NNT 2010 Abstract Book

190

NNT 2010 Conference Program and Proceed-ingNNT 2010 Conference Program and Proceeding

Page 191: NNT 2010 Abstract Book

191

stAmps And templAtesP57: Nickel Molds With 3 Dimensional Micro/Nano Features for Biochips Application: Kam-biz Ansari, Institute of Materials Research and EngiP58: Metallic Stamp Replication Based on Reversal Nanoimprint Lithography: Gang Luo, Ob-ducat AB.; Ye Zhou, Obducat AB.; Torbjörn Eriksson, Obducat AB.; Babak Heidari, Obducat AB.P59: Fabrication of Large Area Nanotemplate Through Parallel Side-Bonding Process: Soon-Won Lee, Korea Institute of Machinery & Materials; Sung-Je Park, Korea Institute of Machinery & Materials; Ji-Hye Lee, Korea Institute of Machinery & Materials; Jun-Ho Jeong, Korea Institute of Machinery & Materials; Jun-Hyuk Choi, Korea Institute of Machinery & MaterialsP60: Nickel Stamp Replication Assisted By Soft Imprinting: Ye Zhou, Obducat Technology AB; Gang Luo, Obducat Technology AB; Ki D Lee, Obducat Technology AB; Roland Palm, Obducat Technology AB; Johan Ring, Obducat AB Sweden; Torbjörn Eriksson, Obducat Technology AB; Rizgar Jiawook, Obducat Technology AB; Babak Heidari, Obducat Technology ABP61: Production Quality of Working Stamps for Nanoimprint Lithography: Brian Bilenberg, NIL Technology Aps; Poul Erik Hansen, Danish Fundamental Metrology; Søren Dahl Petersen, NIL Technology Aps; Gerald Kreindl, EV Group E. Thallner GmbhP62: Fabrication of Embedded Metal Stamps By Transferred UV Nanoimprint Lithography: Nikos Kehagias, Icn; Vincent Reboud, Icn; Tim Kehoe, Icn; Clivia Sotomayor Torres, IcnP63: Modeling The Enhancement of Nanoimprint Stamp Bending Compliance By Backside Grooves: Mitigating The Impact of Wafer Nanotopography on Residual Layer Thickness: Hayden K Taylor, Mit; Kristian Smistrup, NIL Technology; Duane S Boning, MitP64: Curved and Sloped NIL Stamps - Fib As Versatile Approach Towards Complex 3d-NIL Stamps: Simon Waid, Vienna University of Technology; Sasa Kutzkuresovic, Vienna University of Technology; Heinz D Wanzenboeck, Vienna University of Technology; Emmerich Bertagnolli, Vi-enna University of Technology; Michael Muehlberger, Profactor Gmbh; Rainer Schoeftner, Profac-tor GmbhP65: Impact of The Stamp Sidewall-Inclination on The Replication of Structures: Simon Waid, Vienna University of Technology; Heinz D Wanzenboeck, Vienna University of Technology; Emm-erich Bertagnolli, Vienna University of Technology; Michael Muehlberger, Profactor Gmbh; Rainer Schoeftner, Profactor GmbhP66: 12.5 Nm Half Pitch Nanoimprint Lithography Using Stamps Replicated From Char-pan Master Templates: Dominik Treiblmayr - Michael Kast - Gerald Kreindl - Thomas Glinsner - Elmar Platzgummer - Hans Loeschner - Peter Joechl - Stefan Eder-Kapl - Thomas Narzt - Mi-chael Muehlberger, Profactor Gmbh; Martin Boehm - Iris Bergmair - Mustapha Chouiki - Rainer Schoeftner - Elisabeth Lausecker - Thomas FromherzP67: Flexible Stamp With In-Situ Temperature Control: Kristian Smistrup, NIL Technol-ogy Aps; Tobias Hedegaard, NIL Technology Aps; Brian Bilenberg, NIL Technology Aps; Jesper Nørregaard, NIL Technology Aps; Saeed Abadei, Technical University of Denmark; Ole Hansen, Technical University of Denmark; anders Kristensen, Technical University of Denmark; Theodor K Nielsen, NIL Technology ApsP68: Thermal NIL of Large Area 12 Nm EUV Interference Lithography Gratings Into Resist With Improved Release Properties and Pattern Transfer: Christian Dais, Eulitha AG; Harun H Solak, Eulitha AG; Mirco Altana, U. Applied Sciences Northwestern Switzerland; Christian Spreu, Paul Scherrer Institut; Hakan Atasoy, Micro Resist Technology Gmbh; Jens Gobrecht, U. Applied Sciences Northwestern Switzerland; Helmut Schift, Paul Scherrer Institut

Page 192: NNT 2010 Abstract Book

192

NNT 2010 Conference Program and Proceed-ing

Nickel molds with 3 dimensional micro/nano features for biochips application

Kambiz Ansari*, Christina Tan, Koh Teng Hwee ** *Institute of Materials Research & Engineering, Agency for Science, Technology and Research

(A*STAR) 3 Research Link, Singapore ** Solves Innovative Technology Pte. Ltd

In this paper we developed novel molds with high resolution features down to sub-50nm and/or 3D non-planar structures interconnected on the mold surface. Novel molds with sub-50nm resolution connected to micron size structures on the same surface to achieve 3D non-planar molds have been developed. The aim is to develop new novel type of molds consisting of non-planar 3D micro and nano-structures down to sub-20nm interconnected on the same substrate, and, micro/nano-structures with curved surfaces. These types of molds have many applications in fabrication of devices with multilevel and/or curved structures with dimensionality and complexity and new functionalities are not available at the moment. They have many applications in different fields of photonics; bio medicals, nanotechnology, and lab-on-a-chip hence, have high potential for commercialization [1]. Our fabricated molds are defined of micro- and nano- features on their surface, and are typically 300 um thick 4“ and 8” diameter or 120x70mm2 rectangular. The fabrication steps include template preparation utilizing high resolution techniques over large areas. To create 3D non-planar features or curved features, Jet and Flash Imprint Lithography (JFIL) that creates Sub-100nm lines and spaces over large areas on Si wafers, or graytone photolithography [1] combined with high resolution electron beam writing and/or proton beam writing [2] and/or ICP etching are employed (Fig 1). Then, a layer of Cu/Ni is sputtered as a seed layer to fill the patterns using electroplating. A nickel sulfamate electroplating system (Technotrans technologies Pte Ltd) is employed to plate and overplate to fabricate mold with nanofeatures on its surface and 300nm base thickness. After a manual delamination frequently defects such as resin used in JFIL are left on the mold surface. To clean the mold, the 20nm Cu as a sacrificial layer is etched away to release the mold from the highly sticking resin layer used in JFIL; while the 20nm Ni is used to achieve the seed layer for subsequent nickel sulfamate electroplating. To create 3D non-planar features or curved features, graytone photolithography [1-3] combined with high resolution electron beam writing and/or proton beam writing [2] and/or ICP etchings are employed (Fig 2 (b)). Subsequently processes of punching and back polishing have been employed to achieve the required smoothness, flatness, and physical dimensions for the fabricated molds while the data side has been protected by a Nitto plastic cover. Furthermore, a process of metal-on-metal electroplating has been employed and developed to sub-100nm scale to replicate high quality delicate molds to so called stampers with feature sizes down to sub-50nm scale. The replication process at sub-50nm scale is challenging and needs new process development to enhance the fidelity and quality of replication. The process has been employed to copy high quality molds from industrial companies that successfully are used in their production lines (fig 2 (c)).

Page 193: NNT 2010 Abstract Book

193

Nickel molds with 3 dimensional micro/nano features for biochips application

References: 1. Samuel M Stavis, Elizabeth A Strychalski and Michael Gaitan, Nanofluidics structures

with complex three-dimensional surfaces, Nanotechnology 20 (2000) 165302. 2. Patent 20100072069 “Method for manufacturing a stamper” NIXON & VANDERHYE,

PC 3. Patent (WO/2009/041245), (PCT/JP2008/066031) METHOD OF MANUFACTURING

STAMPER, AND STAMPER

(a)

(b) (c) Figure 1 (a) Schematic of the fabrication steps (b) the patterned 100nm pillars on nickel mold (c) An imprinted 400nm channel in the middle connected to two inlet-outlet 50um microchannels utilizing 3D non-planar Ni mold and NIL process.

(a)

(b) (c)

Figure 2. (a) schematic of graytone photolithography (b) SEM image of a fabricated 2-level 3D nickel mold consist of 500x500nm2 square ridges connected to 50x50um2 ridges. (c) Copy of the fabricated 4” mold with 100nm lines and spaces utilizing JFIL and Ni electroplating.

50um

Page 194: NNT 2010 Abstract Book

194

NNT 2010 Conference Program and Proceed-ing

Title: Metallic stamp Replication Based on Reversal Nanoimprint Lithography.

Authors: Gang Luo, Ye Zhou, Torbjörn Eriksson, Babak Heidari

Obducat Technologies AB, Malmö SE-201 25, Sweden

[email protected]

Nanoimprint lithography is known as a high throughput, high resolution and low cost patterning methods, which has been applied to many different research and industrial applications. One of the critical components in nanoimprint lithography is the stamp. It usually consist of nano features structures with well defined depth and inclined or vertical side wall, which is normally patterned with E-beam writing system and followed by well controlled dry etching process. Due to the long e-beam exposure time, the stamps are very expensive. However the stamps can be easily contaminated or destroyed during the imprinting processes as a result of strong mechanical force and contacting with resist. The solution for this is to fabricate cheap and faithful metallic duplications from the original stamp, with even better mechanical properties in an economic way.

Previous work showed that most of the duplication methods have some shortcomings. For example, it may damage original stamp, it contaminate the original stamp or the metallic replications and the contamination can’t be cleaned away, imprinting or replication has demolding defects and it is not faithful duplication, et al.

We investigated several different stamp duplication methods based on thermal nanoimprint lithography, UV nanoimprint lithography and Simultaneous Thermal and UV (STU) processes [1]. Here we put forward to a novel metallic stamp replication method, which is based on the imprinting and electroplating techniques [2] (Fig 1.). The process in short starts with soluble resist is spin-coated on the stamp with anti-sticking layer. Then the patterned layer is transferred to another hard substrate through a very gentle nanoimprint process, in which very low pressure, room temperature and UV are adopted. The process avoids the stress force between resist and different substrate materials due to the temperature impulse. After sputtering and plating, the metallic replica can be produced. With the soluble resist as pattern transferring media, both the original and the replica can be easily cleaned without any residual resists. The stamp and its metallic replica with high quality are shown in Fig 2.

[1] Y. Zhou, M. Asbahi, G. Luo, M. Keil, P. Carlberg, R. Jiawook, T. Eriksson and B. Heidari, IEEE Transactions on Nanotechnology, in the process.

[2] X. D. Huang, L.-R. Bao, X. Cheng, L. J. Guo, S. W. Pang and A. F. Yee, J. Vac. Sci. Technol. B 20(6), 2872(2002)

Page 195: NNT 2010 Abstract Book

195

Fig 1. Processing scheme of the metallic stamp fabrication

Fig 2. SEM images of the original stamp (a.) and duplicated stamp (b.).

a.) b.)

Page 196: NNT 2010 Abstract Book

196

NNT 2010 Conference Program and Proceed-ing

Page 197: NNT 2010 Abstract Book

197

Page 198: NNT 2010 Abstract Book

198

NNT 2010 Conference Program and Proceed-ing

Nickel stamp replication assisted by soft imprinting

Ye Zhou, Gang Luo, Ki-Dong Lee, Roland Palm, Johan Ring, Torbjörn Eriksson, Rizgar Jiawook and Babak Heidari

Obducat AB, Malmö, Sweden

Abstract

With the high development pace in nanoimprint lithography (NIL), nowadays NIL process has became established for industrial applications. In order to achieve the lowest possible cost of large scale manufacture one of the key issues is to reduce the cost of the stamp supplying.

It is known that producing the master stamp is always time-consuming and expensive, it is therefore preferable to produce the maximal copies from the master stamp. In this paper we introduce an innovative method, which combined soft imprint and electroforming process, to replicate the original master stamp into nickel stamps with either identical or reversed structure tones. The method shows the intrinsic virtues in terms of flexibility, simplicity, cost-effectiveness and time-efficiency. Using this method we successfully replicated the master stamp with large patterned areas in different pattern dimensions and densities into nickel stamps.

Reference:

1. K. Ansari, J. A. van Kan, A. A. Bettiol, F. Watt, "Stamps for nanoimprint lithography fabricated by proton beam writing and nickel electroplating", J. Micromech. Microeng., vol. 16, pp. 1967-1974, 2006

2. J. M. Park, N. H. Kim, B. K. Lee, K. H. Lee, T. H. Kwon, "Nickel stamp fabrication and hot embossing for mass-production of micro/nano combined structures using anodic aluminum oxide", Microsyst. Technol., DOI 10. 1007/s00542-007-0504-0, 2007

3. S. H. Hong, J. H. Lee, H. Lee, "Fabrication of 50 nm patterned nickel. Stamp with hot embossing and electroforming process”, Microelectron. Eng., vol. 84, pp. 977-979, 2007

Page 199: NNT 2010 Abstract Book

199

Method:

Identical structures Reversed structural tone

UV resist

Spin-coating of polymer

Mold stamp

Imprint IPS

IPS

Polymer

Ni seed-layer deposition

IPS

IPS

Ni stamp

Electroforming

Demolding and stripping

Ni stamp

IPS

Imprint resist

Substrate

Spin-coating and substrate imprinting via STU process

Deposit of a carbon releasing layer

Ni seed-layer deposition

Demolding and stripping

Ni stamp

Electroforming

Imprint resist

Substrate

Carbon layer

Imprint resist

Ni layer Carbon layer

Substrate

Ni stamp

Substrate

HDD patterns

HDD patterns with 80nm in width, 120nm pitch in data track area on Si stamp (left column figures) and on copied Ni-stamp (right column)

Page 200: NNT 2010 Abstract Book

200

NNT 2010 Conference Program and Proceed-ing Topic No. 5

Oral

PRODUCTION QUALITY OF WORKING STAMPS FOR NANOIMPRINT LITHOGRAPHY

Brian Bilenberg*, Poul Erik Hansen**, Søren Dahl Petersen*, Gerald Kreindl*** *NIL Technology ApS, Denmark

**Danish Fundamental Metrology, Denmark ***EV Group E. Thallner GmbH, Austria

Nanoimprint lithography (NIL) does since its invention in 1995 [1] still lack a commercial breakthrough as the preferred production technology for a large volume product. One of the major issues that must be resolved before this is access to cheap large area stamps for imprinting. High resolution stamps for NIL are made by electron beam lithography (EBL) and dry etching. EBL is a slow serial process making large area high resolution NIL stamps expensive. One approach to justify the high cost of the first stamp (master stamp) is to make working stamps (replicas) from the master stamp which are used in the volume production to circumvent the risk of destroying the expensive master. Here we present two approaches for copying a master stamp: 1) Silicon to silicon replication and 2) Silicon to polymer replication. The quality of these two types of working stamps is characterized by spectroscopic scatterometry [2]. The results show that silicon to silicon replication with good control of critical dimensions is possible if one has perfect control of the imprint and pattern transfer processes, see Fig. 3 and Fig. 4. The silicon to polymer replication easier preserves critical dimensions due to its casting production process. Silicon master The master is a 4 inch Silicon master with 3 inch diameter patterned area in the center, see Fig. 1, consisting of nominal 350 nm wide square pillars in a triangular arrangement with a lattice constant of 700 nm, see Fig. 2. The height of the pillars is nominal 650 nm. The master was produced by electron beam lithography in ZEP520A [3] followed by aluminum lift-off and subsequent dry etching into the Silicon and anti sticking coating. Silicon to Silicon replication Silicon to Silicon replication is done by imprinting with the Silicon master into 300 nm thick mr-I7030E [5] on a 4 inch Silicon wafer. The ~20 nm residual layer is removed by oxygen plasma. The imprinted pattern is reversed by aluminum lift-off and subsequently the pillars are dry etched using the Al as a hard mask. Silicon to polymer replication Polymer soft copies[6, 7] as intermediate stamps reduces the risk of the stamp copying process. The created polymer copy resembles a negative counterpart of the used master with improved low surface energy and UV transparency. The UV-curable soft material is casted onto the master, cross-linked via UV irradiation and peeled off from the master and can be used directly for the subsequent imprint process without the need of additional anti sticking coating. Spectroscopic scatterometry Scatterometry is a dimensional metrology technique measuring the collective response from the light matter interaction area. The lateral and horizontal dimensions are found by best-fit matching of measured collective response and calculated collective responses [2]. In this work we use a combined library and direct search method for obtaining this best-fit matching [4]. The library and direct search model used for the calculations is a primitive hexagonal unit cell with rectangular pillars, see Fig. 2. Word Count: 490

Page 201: NNT 2010 Abstract Book

201

References: [1] S. Y. Chou, P. R. Krauss, and J. Renstrom, Appl. Phys. Lett. 67, 3314 (1995). [2] J. Garnaes P.-E. Hansen, N. Agersnap, J. Holm, F. Borsetto, and A. Kühle, Appl. Opt. Vol. 45, 2006 [3] ZEP520A, Zeon Corporation, Tokyo, Japan, www.zeon.co.jp [4] P.-E. Hansen and L. Nielsen, Materials Science and Engineering B 165, 2009 [5] mr-I7030E, micro resist technology GmbH, Berlin, Germany, www.microresist.de [6] Jason P. Rolland, Erik C. Hagberg, Ginger M. Denison, Kenneth R. Carter, Angew. Chem. Int. Ed. 2004, 43, 2–5 [7] Younan Xia and George M. Whitesides, Mater. Sci. 1998. 28:153–84

Figure 1. Image of the 4 inch silicon master stamp with 3 inch diameter patterned area with 350 nm wide pillars in a triangular arrangement with a lattice constant of 700 nm. Pillar height 650 nm.

Figure 2. SEM image of silicon master. The arrows show the primitive hexagonal unit cell used in the scatterometry calculations.

220240260280300320340

200

250

300

350

400

Figure 3. Silicon master pillar width distribution in nanometers. The dotted circle indicates the 3 inch diameter active area. The values are found by measuring and analyzing 100 different locations on the master. The width outside the patterned area has been set to 200 nm. This map shows perfect pillar width uniformity within the active area of the master.

Figure 4. Silicon replica pillar width distribution in nanometers. The dotted circle indicates the 3 inch diameter active area. The values are found by measuring and analyzing 100 different locations on the replica. The width outside the patterned area has been set to 200 nm. This map shows that critical dimension control is not as good as on the master but in a large area around the center of the replica it is similar to the master.

500 nm 30 mm

Page 202: NNT 2010 Abstract Book

202

NNT 2010 Conference Program and Proceed-ing

1

Poster

“Fabrication of embedded metal stamps by transferred UV nanoimprint lithography”

Nikolaos Kehagias1‡, Vincent Reboud1, Tim Kehoe1 and Clivia Sotomayor Torres1,2

1 Phononic and Photonic Nanostructures Group, Catalan Institute of Nanotechnology (CIN2-CSIC), Campus Bellaterra - Edifici CM3, 08193-Bellaterra (Barcelona), Spain, 2 Catalan Institute for Research

and Advanced Studies ICREA, 08010 Barcelona, Spain.

‡ Email: [email protected]

Advances in nanoimprint lithography require new stamps in order to cover the needs of different applications. In particular, combined thermal and UV nanoimprint lithography require hybrid stamps which incorporate metal protrusions which act as blocking agents for the UV light. Several reports [1] have reported strategies to fabricate such stamps. In another study [2] it has been reported that the positioning of the metal protrusion (absorbers) play a significant role in the light transmittance and contrast enhancement. In particular embedded metal layers in the transparent substrate enhance the transmitted light intensity and also results in an increased contrast of the exposed and unexposed resist.

In this paper we report on a fabrication process to generate embedded metal stamps (EMS) which are used in a reverse UV nanoimprint lithography (RUVNIL) process [3]. The fabrication steps are show in Figure 1. Initially a double resist layer (LOR+PMA) is spin coated on a Si substrate. Patterning by means of electron beam lithography (EBL) or NIL (a) is followed. A lift‐off process follows the metal (Au) deposition step (b), leaving behind a patterned surface metallic wires (c). A drop of Ormostamp (microresist technology GmbH) is then deposited on our patterned substrate. A glass substrate (treated with Ormoprime) is brought into physical contact with our patterned metallic layer (d). A low pressure (5 bars) is applied to the assembly followed by a UV light expos for 30 seconds (e). During separation and due to the difference in surface energy between the Ormoprime treated glass substrate and our patterned Si+ LOR substrate the metal features adhere and transfer to the glass substrate (f). Figure 2a shows a tilted scanning electron microscope (SEM) image of a EMS with metal lines varying in width. Figure 2b shows a top view SEM image of 60 nm metal lines embedded in an Ormostamp material.

This fabrication process opens the way for further investigation of the resolution limit of the near field UV lithography and the RUVNIL process while enhancing the uniformity of the spin coated sacrificial layer. Moreover, performing EBL on a transparent substrate is a difficult task due to charging effects, whereas using this method we could fabricate metallic nano structures on non‐conductive and transparent substrate.

Page 203: NNT 2010 Abstract Book

203

[1] Helmut Schift, Christian Spreu, Arne Schleunitz, and Jens Gobrecht, Anna Klukowska, Freimut Reuther and Gabi Gruetzner, J. Vac. Sci. Technol. B 27, 6, Nov/Dec 2009 [2] Michael Paulus, Heinz Schmid, Bruno Michel, Olivier J.F. Martin, Microelectronic Engineering 57–58 (2001) 109–116[3] N. Kehagias, V. Reboud, G. Chansin, M. Zelsmann, C. Jeppesen, C. Schuster, M. Kubenz, F. Reuther, G. Gruetzner and C. M. Sotomayor Torres, Nanotechnology, 18, 175303, (2007)

Figure 1 Schematic of the EMS fabrication steps

Figure 2 (a) tilted SEM images of embedded Au lines in an Ormostamp matrix, (b) 60 nm Au lines fabricated by transfer UV nanoimprint lithography

The authors would like to acknowledge the EU project NaPANIL (FP7-CP-IP 214249) for the financial support.

Page 204: NNT 2010 Abstract Book

204

NNT 2010 Conference Program and Proceed-ing

Topic No. 5 Preferred format: Oral

MODELING THE ENHANCEMENT OF NANOIMPRINT STAMP BENDING COMPLIANCE BY BACKSIDE GROOVES: MITIGATING THE IMPACT OF WAFER NANOTOPOGRAPHY

ON RESIDUAL LAYER THICKNESS

Hayden Taylor*, Kristian Smistrup**, and Duane Boning*

* Massachusetts Institute of Technology, Cambridge, MA 02139, USA ** NIL Technology, DK-2800 Kongens Lyngby, Denmark

e-mail: [email protected]; [email protected]

We describe a model for the compliance of a nanoimprint stamp with a grid of backside grooves, as proposed by Nielsen et al. [1]. We integrate the model with a fast simulation technique that we have previously demonstrated [2], to show how etched stamp-flexures reduce systematic, pattern-dependent residual layer thickness (RLT) variations while attenuating the contribution of random wafer nanotopography to RLT variation.

Measurements of the surface roughness of virgin silicon wafers (Fig. 1) indicate that the amplitude of nanotopography is approximately proportional to its wavelength up to scales of ≥10 mm. Nanotopography’s amplitude exceeds 10% of typical target RLTs for wavelengths greater than ~1 mm, suggesting that a stamp for patterning an array of millimeter-scale chips can usefully be structured with backside grooves between the chips, allowing the stamp to conform more easily to random undulations over distances larger than a chip diameter.

Our model for the deformation of a grooved stamp incorporates local indentation, transverse shearing, and bending. We conducted a series of finite-element simulations in which we varied the flexure thickness, tg, width, g, and initial wafer thickness, tm. We assumed periodic boundary conditions, with uniform unit pressure applied to every ninth mesa in both directions of the stamp. A balancing uniform pressure was applied across the backside of the stamp. From these simulations we extracted the effective, ‘lumped’ compliance of each geometry, and fit a dimensionless model describing the considerable increase in long-range compliance enabled by backside grooves (Fig. 2). Even a gap-to-mesa-pitch ratio of 0.1 increases the compliance by ~10 times, relative to a groove-less stamp.

We have incorporated this compliance model into our nanoimprint simulation algorithm [2]. This technique describes the mechanical behavior of the resist using the response of its surface topography to a unit impulse applied at a single location. Meanwhile, deflections of the elastic stamp and substrate are described with a point-load response. The evolution of residual layer thickness is computed in a series of steps, by convolving an iteratively-found contact-pressure distribution with the scaled impulse-response of the resist. Deflections of structured stamps are described using two separate point-load response kernels: one that assumes a uniform stamp thickness of tm and describes the relatively small deflections within the mesa, and a second kernel whose shape is piecewise-planar and captures additional relative displacements of mesas due to the presence of grooves.

Simulations using this enhanced algorithm (Fig. 3) agree closely with the experiments of Pedersen et al. [3]: a structured stamp with thicker material supporting the imprinted features affords far less within-chip RLT variation than a thinner stamp that can bend across the mesa. Simulations incorporating wafer nanotopographies (Fig. 4) predict that as tg reduces, less of the nanotopography translates to mesa-to-mesa RLT variation, while systematic within-mesa RLT variation is insensitive to tg.

This model and simulation approach could be used to optimize stamp geometries, check for interactions of differing patterns on adjacent mesas, or predict the RLT variation that will result from using a stamp or substrate with a known roughness.

Word Count: 500

Page 205: NNT 2010 Abstract Book

205

References: [1] T. Nielsen, R.H. Pedersen, O. Hansen, T. Haatainen, A. Tollki, J. Ahopelto, and A. Kristensen “Flexible

Stamp for Nanoimprint Lithography,” 18th IEEE Conf. MEMS 2005, pp. 508–511. [2] H. Taylor and D. Boning, “Fast simulation of pattern dependencies in thermal nanoimprint lithography,”

presented at Nanoimprint and Nanoprint Technology Conf., San Jose, CA, USA: 2009. [3] R.H. Pedersen, O. Hansen, and A. Kristensen, “A compact system for large-area thermal nanoimprint

lithography using smart stamps,” J. Micromech. Microeng., vol. 18, 2008, p. 055018 (9pp).

Fig. 1 Power spectra of the topographies of three different virgin silicon wafers: single-side-polished (SSP), 525 µm thick; double-side-polished (DSP) 500 µm thick; DSP 350 µm thick. Wavelengths up to approx. 13 mm are shown.

Fig. 2 Stamp compliance is considerably increased by the presence of backside grooves. ‘Compliance enhancement factor’ is the ratio of pk-pk deflection of the structured stamp to that of a uniformly tm-thick stamp, under identical loadings. Symbols: finite-element simulations; lines: semi-analytical model. Inset: stamp cross-section. tm/tg = 3.3.

Fig. 3 A structured stamp with narrow flexures separating thicker feature-carrying mesas reduces systematic RLT variation. ρ: protrusion density. Resist viscosity fit: 2×105 Pa.s. tm = 525 µm; tg = 150 µm; sm = 1.5 mm; g = 500 µm. Stamp-average pressure: 0.35 MPa; imprint time: 5 min.

Fig. 4 Simulations of imprinting a 5×5 array of mesas into a 7.5 mm-square region. Measured wafer nanotopography (525 µm SSP wafer) was fed into simulation. Random mesa-to-mesa RLT variation reduces with smaller tg, while systematic within-chip variation is relatively insensitive to tg. Process as Fig. 3; ρ = 0.3.

Page 206: NNT 2010 Abstract Book

206

NNT 2010 Conference Program and Proceed-ing Topic No. 5 Stamps and Templates Poster preferred

curVed And sloped nil stAmps fiB As VersAtile ApproAcH toWArds compleX 3d-nil stAmps

Simon Waid*, Sasa Kutzkuresovic*, Heinz D. Wanzenboeck*, Emmerich Bertagnolli*, Michael Muehlberger**, Rainer Schoeftner**

*Vienna University of Technology, Austria **Profactor GmbH, Austria

The potential to create true 3-dimensional structures is a unique advantage of nanoimprint lithography (NIL) over all other major lithography techniques and opens numerous, exciting applications in the fields of microoptics [1], functionalized surfaces, microfluidics [2] and photonics. However, the fabrication of 3-dimensional master stamps is still a challenge. Currently, the majority of NIL stamps has a two-level design resembling simple trench structures. However, multilevel stamps and even first 3-dimensionally structured stamps are entering the NIL arena. Especially with true 3-dimensionally structured stamps several technological questions such as optimum imprint parameters and fidelity of structures has not been thoroughly investigated. This work presents the fabrication of complex 3-dimensional stamps with curved or sloped features using a focused ion beam (FIB). Approaches for fabrication of controlled structures have been explored and the imprint process with these complex structures was investigated. FIB-processing is a fast, resist and maskless nanostructuring method, ideally suited for the rapid creation of structures out of the ordinary. We have employed FIB-processing in order to create 3D- nanoimprint-lithography (NIL)-templates and to modify existing templates with 3D-features for rapid prototyping. An optimization of different processing approaches has been performed including milling, gas-assisted etching (GAE) and gas-assisted deposition (GAD) in order to meet our demands in terms of speed and resolution. Using direct-milling round 3D-features (fig. 1) and ramps with controlled slopes (fig. 2) have been demonstrated. Furthermore, arbitrary 3D-structures (fig. 3) with a minimum feature-size down to 60nm have been realized. The direct-milling-process has been optimized in terms of resolution and throughput. The employment of GAE for even higher throughput was evaluated. Furthermore, 3D-structures were fabricated by FIB-GAD while introducing a novel concept, based on the deposition of hollow-structures. The hollow structures allow increasing the patterning-speed and improving the geometrical properties of the created structures. Finally, we have modified the already existing structures of a commercial NIL-stamp using our optimized 3D patterning-process. The created complex-geometry-templates were imprinted using standard NIL-materials such as Ormostamp (micro resist technology, Germany) or mr-UVCur06 (micro resist technology, Germany). In order to verify the suitability of the created templates for NIL, the topographies of both, the stamps and the imprints were acquired. This was performed by means of either atomic-force-microscopy (AFM) or scanning-electron-microscopy (SEM) in combination with FIB cross-sectioning. The gathered topographical information of both the stamp and the imprints were compared with each other and showed an excellent correlation (fig 1,2,3). Even the hollow structures resisted the demolding-forces effectively. Once again, FIB-processing proved to be a very flexible tool, able to produce true, high-quality 3D-strucures with outstanding resolution. Especially the 3D-modification of prestructured templates is a very promising approach, as it allows the creation of true 3D-pattern on NIL-templates not achievable with other structuring methods, while it maintains the processing speed and cost-effectiveness of conventional stamp-patterning techniques.

references: [1] “Nanoimprinting of micro-optical components fabricated using stamps made with Proton Beam Writing”, Van Kan, J.A. and Bettiol, AA and Osipowicz, T. and Watt, F., N. Univ. of Singapore dept. of physics (2006) [2] “Nanoimprint lithography for the fabrication of DNA electrophoresis chips”, A. Pépin, P. Youinou, V. Studer, A. Lebib and Y. Chen, Microelectronic Engineering Vol. 61-62, 927-932 (2002)

Page 207: NNT 2010 Abstract Book

207

fig. 1 Round structure created by FIB-milling (SEM-image, top left) and its corresponding imprint (AFM-image, red line and bottom-right). Only in the section indicated by the blue circle of the red line (measured profile) is non-conformal to the real structure due to an AFM imaging artifact caused by the convolution of the structure with the AFM tip-geometry.

fig. 2 Ramp-structure milling (SEM-image, top left) and its corresponding imprint (AFM- image, red line and bottom-right). Only in the section indicated by the blue circle of the red line (measured profile) is non-conformal to the real structure due to an AFM imaging artifact caused by the convolution of the structure with the AFM tip-geometry.

a) Stamp b) Imprint fig. 3 AFM-images of arbitrary-structures created by FIB-milling. (a) FIB-milled stamp in fused silica and (b) imprint of the structure in OrmoStamp

fig. 4 SEM-Image of hollow structure created by FIB-GAD. This structure successfully survived multiple imprint-processes.

Page 208: NNT 2010 Abstract Book

208

NNT 2010 Conference Program and Proceed-ing

Topic No. 5 Stamps and Templates Preference: oral

impAct of tHe stAmp sideWAll-inclinAtion on tHe replicAtion of structures

Simon Waid*, Heinz D. Wanzenboeck*, Emmerich Bertagnolli*, Michael Muehlberger**, Rainer Schoeftner** *Vienna University of Technology, Austria

**Profactor GmbH, Austria

The inclination of the sidewall-angles of nanoimprint-lithography (NIL)-masters has a major impact on the reliability of the overall imprint-process. Moreover, the sidewall-angle of structures has been recognized by the SIA roadmap [1] as an important process parameter of NIL-technology. Simulation [2] has indicated that geometries of the imprint patterns are affected by sidewall roughness. In an experimental approach we have investigated the effect of varying sidewall-inclination of stamp-structures on the resulting replica and discuss its implication on the reliability of the demolding-process and on the fidelity of the structure’s geometry. Using inorganic substrates such as Si or SiO2, stamps with mesas of varying sidewall-angle are fabricated with advanced fabrication techniques, including RIE-prestructuring and FIB fine-tuning of the stamps. These stamps are then employed to produce imprints using different UV-curable materials such as Ormostamp (micro resist technology, Germany) and mr-UVCur06 (micro resist technology, Germany). Further, our custom-tailored structures have been replicated by NIL without and with organic anti-sticking-layers (ASL) including F13-TCS (Sigma Aldrich) and BGL-GZ-83 (Profactor, Austria). After the aforementioned processing, both stamp-topographies as well as their resulting imprint-topographies have been characterized by surface imaging methods such as atomic-force-microscopy (AFM) or focused-ion-beam (FIB)-cross-sectioning in combination with scanning-electron-microscopy (SEM)-imaging. As AFM suffers from image artifacts due to the tip geometry a special approach with AFM measurements on tilted samples was introduced so that the true sidewall geometry could be derived from AFM data by digital image processing. The sidewall-inclination of the selected structures was evaluated as well as the surface roughness and the aspect ratio. Stamp structures of varying aspect-ratios with trench-depths in the range from 40 nm to 500 nm (see fig. 1) are realized using the above-stated method. As illustrated in fig. 2 the variation of process parameters permits the creation of structures with varying sidewall angles. The impact of the sidewall-angle on the topography of the stamps-replica is evaluated. The effects of different antisticking layers on the imprint are investigated and differences are discussed with respect to the sidewall angle. The acquired topographical information of stamps and produced imprints is compared. Results indicate that minor changes in the sidewall-angle of NIL-templates might have a significant impact on the structural conformity of their copies. It is found that shallower structures tend to be compatible with higher aspect-ratios (fig. 5), while deeper structures can still be replicated with sufficient structural-conformity if their sidewall inclination is adapted (fig. 3). Concluding, it has been demonstrated that FIB processing of NIL stamps allows to create structures with sidewall inclinations out of the ordinary. These stamps with custom-tailored side wall inclination can be used for systematic investigations of the NIL process.

references: [1] Lithography, International Technology Roadmap for Semiconductors 2009 [2] Yao, C.H., Chang, C.H., Hsieh, C.W., Sung, C.K., Effects of mold shape and sidewall roughness on nanoimprint by molecular dynamics simulation, Microelectronic Engineering 87 (5-8), 864-868 (2010)

Page 209: NNT 2010 Abstract Book

209

(a) (b) fig. 1 AFM-images of template-structures fabricated with two different sidewall-inclinations and varying mesa-depths (a) trenches with a nominal 45° sidewall inclination and (b) trenches with a nominal 90° sidewall inclination

(a) (b)

(c) (d) fig. 2 AFM-images (top) of template-structures and their corresponding cross-sections (bottom) with nominally 45° sidewall-inclination (a, c) and nominally 90° sidewall-inclination (b, d).

(a) (b)fig. 3 AFM-images of template-structures from fig. 1a and fig. 1b after five imprints using F13-TCS as ASL and Ormostamp as stamp-material. In fig. 3b residuals of Ormostamp material remaining in trenches with 90° sidewall inclination were found.

fig. 4 AFM-images of an imprinted structure showing a crack due to excessive stress during imprint.

fig. 5 AFM-images of an imprinted structure after successful imprint.

Eventuell die skala auch in nm beschriften wie bei fig 3b, oder einheitlich auvh mit fig 4 und 5

Page 210: NNT 2010 Abstract Book

210

NNT 2010 Conference Program and Proceed-ing Topic No. 5

Oral or Poster

12.5 nm HALF PITCH NANOIMPRINT LITHOGRAPHY USING STAMPS REPLICATED FROM CHARPAN MASTER TEMPLATES

D. Treiblmayra, M. Kasta, G. Kreindla, T. Glinsnera, E. Platzgummerb, H. Loeschnerb, P. Joechlb, S.Eder-Kaplb, T.

Narztb, M. Muehlbergerc, M. Boehmc, I. Bergmairc, M Chouikic, R. Schoeftnerc, E. Lauseckerd, T. Fromherzd a EV Group, Austria

b IMS Nanofabrication AG, Austria c Functional Surfaces and Nanostructures, Profactor GmbH, Austria

d Institute of Semiconductor and Solid State Physics, University of Linz, Austria Central issues in the nanoimprint process are the quality and durability as well as the availability of the stamps. The quality of the final imprint critically depends on the quality of features on the stamp. The durability of the stamp influences the process costs. Using electron beam lithography and subsequent reactive ion etching makes the fabrication stamps with small features on large areas extremely time consuming and therefore expensive. Using this valuable stamp in an imprinting process and risking damaging it has to be avoided especially in high throughput processes. We have a dual approach to address this problem. On the one hand we use stamp replicas to do the actual imprinting and on the other hand we use parallel charged particle beams to fabricate the master stamp. The use of copies from the original master stamp to do the actual imprinting greatly reduces the risk to damage the original. Our master stamps are fabricated using the CHARPAN (Charged Particle Nanopatterning) Tool [1]. This tool uses massively parallel ion beams to either expose a resist or directly pattern a substrate. For the work presented here silicon wafers which were coated with 20nm thick hydrogen silsesquioxane (HSQ) were used as master stamp materials. The resist was exposed using 10 keV H3

+ ions. After exposure, development and anti sticking treatment such masters can be directly used for stamp replication. The master stamps contain dots, lines and crossed line features with 12.5nm half pitch and different exposure doses. Figures 1 and 2 show SEM images of 2 of the patterns. Pattern definition depending on exposure dose and development procedure was investigated. These masters were used to fabricate stamp replicas, which were then again utilized to do the actual imprinting. As working stamp materials UV-curable fluorinated NIF materials from Asahi Glass were employed. SEM images of the patterns corresponding to figures 1 and 2 are shown in figures 3 and 4. The NIF material was droplet dispensed on glass substrates, which were pretreated with an adhesion promoter. These stamps were then used without anti sticking layer treatment to perform UV-NIL. As imprinting resist mr-UVCur06 from micro resist technology was used, which was spin coated on Si wafers, which were pretreated with mr-APS01 (also micro resist technology) as adhesion promoter. Imprinting was performed with a standard imprinting process in an EVG®620 nanoimprinter. Figures 5 and 6 show SEM images of the resulting imprint. For SEM investigations both, the soft polymer stamp as well as the imprinted resist, were coated with PtPd. Mechanical pattern stability depending in the resist was compared with the pattern stability on the CHARPAN master. We show that the whole process chain of CHARPAN master stamp – daughter stamp – UV nanoimprint is able to successfully replicate 12.5nm half pitch structures. This work was funded in part by the Austrian Nano Initiative (www.nanoinitiative.at) through the NILaustria projects NILdirect_stamp and NILstamp_replication (www.nilaustria.at). The CHARPAN Tool was developed by IMS Nanofabrication AG as part of the 6th European Framework Programme (www.charpan.com). References: [1] "Charged Particle Nanopatterning", E. Platzgummer, H. Loeschner, J. Vac. Sci. Technol. B 27(6),2707 (2009)

Page 211: NNT 2010 Abstract Book

211

Fig. 1: CHARPAN master: crossed lines

with 12.5nm half pitch Fig. 2: CHARPAN master: dots with

12.5nm half pitch

Fig. 3: polymer replica stamp: crossed lines Fig. 4: polymer replica stamp: dots

Fig. 5: nanoimprint of crossed lines with

12.5 nm half pitch Fig. 6: nanoimprint of dots with

12.5nm half pitch

Fig. 7: 40° tilted SEM view of 12.5nm half pitch imprinted dots

Page 212: NNT 2010 Abstract Book

212

NNT 2010 Conference Program and Proceed-ing Topic No. 5 Oral is preferred

FLEXIBLE STAMP WITH IN-SITU TEMPERATURE CONTROL

Kristian Smistrup*, Tobias Hedegaard*, Brian Bilenberg*, Jesper Nørregaard*, Saeed Abadei**, Ole

Hansen**, Anders Kristensen**, Theodor Kamp Nielsen* *NIL Technology ApS, DK-2800 Kongens Lyngby, Denmark

**Technical University of Denmark, DK-2800 Kongens Lyngby, Denmark The quality of thermal nanoimprint lithography depends heavily on the value and the homogeneity of the imprint resist temperature. It is not possible to place a temperature probe between stamp and imprint substrate. Therefore, most, if not all, thermal nanoimprint tools utilize a temperature measurement in the vicinity of the stamp/substrate stack. Thermal equilibrium in the vicinity of the stamp is thus essential. In this work, we use the top-most 3-4 microns of a silicon stamp as both heating element and temperature probe. Combined with a PID algorithm to control the power delivered to the heating element this promises excellent control of the temperature in the closest possible vicinity of the imprint resist. Building on the flexible stamp [1] and subsequent smart stamp [2], we have integrated a pressure cavity that delivers the imprint pressure, a heating element, a temperature sensor, and a flexible membrane with imprint structures on top into the flexible/smart stamp. Since these parts make up an entire imprint system, we call this 3rd generation flexible stamp for a NIL2Go stamp. Fig. 1 shows a cross-section of the NIL2Go stamp. Starting from a blank 100 mm silicon wafer (1-20 Ω*cm resistivity, DSP), the heavily doped areas are created by ion implantation and thermal processing, the pillars are etched by deep reactive ion etching, nanostructures are created in which ever way is best, gold contacts are evaporated onto the silicon, and the Pyrex with HF-etched cavity and drilled holes are bonded to the silicon wafer using anodic bonding. Ion implantation and thermal processing creates a heavily doped layer in the top 3-4 micron of the stamp giving a much lower sheet resistance than the rest of the stamp, so current will flow primarily in the top layer. Fig. 2 shows the contact arrangement on the NIL2Go stamp. It allows us to do a 4-point probe measurement of the stamp resistance. The stamps have room temperature resistances of 10-15 Ω. The resistance of doped layers like this one is expected to have a temperature dependence that is ⁄ ⁄ (units are Ω and kelvin), so a resistance of 15 Ω at 295 K, corresponds to a dependence of 0.05 Ω/K, which is adequate for measurements with simple equipment such as a programmable power supply with remote sensing (TTi QL355P) we use with our PID algorithm. Fig. 3 is a graph of the temperature vs. resistance relation of a real stamp. As seen it is linear as expected. The rate of change 0.02 Ω/K is very close to our expectations. The measured resistance is the integral of the local resistivity, and thus the calculated corresponding temperature must be viewed as the average temperature in the top silicon layer. However, since the thermal conductivity of silicon greatly exceeds that of the other components in the imprint system, and the PID controller works to keep that average temperature constant during imprinting, it is reasonable to assume that the temperature variation across the silicon stamp is very small. Word count: 494 References: [1] T. Nielsen, R.H. Pedersen, O. Hansen, T. Haatainen, A. Tollki, J. Ahopelto, and A. Kristensen "Flexible Stamp for Nanoimprint Lithography", Technical Digest of the 18th IEEE Conference on Micro Electro Mechanical Systems, MEMS 2005, Miami, FL, USA,, January 30 - February 3, 2005, pp. 508 – 511 [2] R.H. Pedersen, O. Hansen, and A. Kristensen, “A compact system for large-area thermal nanoimprint lithography using smart stamps,” J. Micromech. Microeng., vol. 18, 2008, p. 055018 (9pp).

Page 213: NNT 2010 Abstract Book

213

Fig. 1 Cross-section (sketch) of the NIL2Go stamp showing access for power and pressure as well as the position of the heating element. The silicon pillars that give the stamp local stiffness but global flexibility are also seen. Nanostructures are fabricated on top of the heating element but are not shown. Blue is Pyrex, yellow is gold, light grey is undoped silicon, and darker grey is doped silicon.

Fig. 2 Sketch of the contact arrangement on the NIL2Go stamp. Gold contacts (yellow) are on the backside, and the doped area (dark grey) is on the frontside of the silicon wafer (light grey). The contact arrangement allows for four terminal Kelvin measurement of resistance through the doped area.

Fig. 3 Resistance vs. temperature for a NIL2Go stamp. The measurement was made with a PT100 thermo element placed on top of stamp. The resistance is linear with temparature, and the rate of change, is 0.2 Ω/K is within expectations.

Pressure inletsPower in/out

Heating element

PSU senseterminal

PSU senseterminal

PSU source terminal

PSU source terminal

Page 214: NNT 2010 Abstract Book

214

NNT 2010 Conference Program and Proceed-ing Topic No. 5 (Stamp and Templates)

Oral presentation preferred

thermal nil of large Area 12 nm euV interference lithography Gratings into resist with improved release properties and pattern transfer

Christian Dais1, Harun H. Solak1, Mirco Altana2, Christian Spreu3, Hakan Atasoy4, Jens Gobrecht2,3, Helmut Schift3

1 Eulitha AG, 5232 Villigen PSI, Switzerland 2 University of Applied Sciences Northwestern Switzerland, Steinackerstr. 5, 5210 Windisch

3 Paul Scherrer Institut, Laboratory for Micro- and Nanotechnology, 5232 Villigen PSI, Switzerland 4 micro resist technology GmbH, Köpenicker Str. 325, 12555 Berlin, Germany

Extreme ultraviolet interference lithography (EUV-IL) is an unmatched technology for the fabrication of high resolution gratings with resolution below 50 nm over large areas [1]. It was already employed to fabricate stamps for nanoimprint lithography (NIL) [2,3]. Its main advantage is that dense gratings with regular structures can be fabricated on areas which are relevant for research and device applications. Due to the diffraction grating based interference lithography with coherent synchrotron based X-rays (13.4 nm) from the Swiss Light Source (SLS) at PSI, a high reproducibility is achieved, which makes it possible to pattern entire wafers with array of gratings by multiplying the pattern in a step&repeat way. Exposure bracketing enables to expose patterns with variable doses, resulting in gratings with varying duty cycles. This makes it not only possible to choose the structure most suitable for an application, but also to optimize parameters for pattern transfer and imprint.

In this contribution, a 400×400 µm2 large grating with 50 nm period and 12 nm wide, 40 nm high ridges was used as stamp for thermal nanoimprint lithography (NIL), see Fig. 1. The resist patterns exposed by EUV-IL were transferred into the underlying Si substrate by reactive ion etching (RIE). The 20×20 mm2 large stamps with the nanopatterns of aspect ratio of higher than 3 were replicated using thermal NIL. In order to avoid ripping of partial structures in the imprinted patterns during demolding, due to the high density of patterns, a new modified resist provided by micro resist technology GmbH was used. The resist mr-I 7030R with Tg = 50 °C, a modified mr-I 7030, has improved release properties due to fluorinated additives it contains [4]. It was imprinted for 20 min at 100 °C and 5000 N. Fig. 2 shows the good replication fidelity in a 300 nm thick resist. The reduced 8 nm measured trench width is due to the 5 nm Cr metallization needed for reduction of charging in the SEM.

Finally, the resist was used for pattern transfer into the underlying Si substrate. For this, a 100 nm thick resist was used. After imprint and resist thinning to open substrate windows, the resist was used as a mask for substrate etching. In Fig. 3 the etched pattern with 15 nm wide, 40 nm high ridges is shown. Due to anisotropic components in RIE, the trenches were broadened. Currently, this resolution is not only the highest achieved with NIL of EUV-IL patterns, but also a good basis for further improvements of NIL processes and pattern transfer.

references: [1] H.H. Solak H, Phys. D Appl. Phys. 39, R171-R188 (2006). [2] S. Park, H. Schift, H.H. Solak, and J. Gobrecht, J. Vac. Sci. Technol. B 22 (6), 3246-3250 (2004).[3] H. Schift, J. Vac. Sci. Technol. B 26(2) 458-80 (2008). [4] H. Atasoy, M. Vogler, T. Haatainen, A. Schleunitz, H. Schift, F. Reuther, G. Gruetzner, Abstract submitted to NNT'10, Oresund & Copenhagen, October 13-15, 2010.

Page 215: NNT 2010 Abstract Book

215

fig. 1 SEM micrographs of a silicon stamp fabricated by EUV-IL in HSQ resist with subsequent RIE into silicon, period 50 nm, ridge width 12 nm, height 40 nm.

fig. 2 SEM micrographs of imprints (20 min @ 100°C, 5000 N on stamp with 20×20 mm2 size) into mr-I 7030 XP R3 resist, thickness 300nm, with line gratings with 8 nm wide trenches and 50 nm period. The patterned area is 400×400 µm2. The reduced 8 nm trench width is due to the 5 nm Cr metallization needed for reduction of charging in the SEM.

fig. 3 SEM micrographs of silicon ridges after imprint into 100 nm mr-I 7030R resist, window opening and pattern transfer. The patterned area is 400×400 µm2. The trenches were broadened, resulting in 15 nm wide ridges in the silicon substrate.

Page 216: NNT 2010 Abstract Book

216

NNT 2010 Conference Program and Proceed-ingNNT 2010 Conference Program and Proceeding

Page 217: NNT 2010 Abstract Book

217

toolinGP69: Polymer Stamp Imprinting in A Desktop NIL Tool Using Flexible Stamps: Kristian Smis-trup, NIL Technology Aps; andrej Mironov, Technical University of Denmark; Brian Bilenberg, NIL Technology Aps; Theodor K Nielsen, NIL Technology Aps; anders Kristensen, Technical Uni-versity of Denmark

Page 218: NNT 2010 Abstract Book

218

NNT 2010 Conference Program and Proceed-ing

Topic No 6 – Oral presentation is preferred

POLYMER STAMP IMPRINTING IN A DESKTOP NIL TOOL USING FLEXIBLE STAMPS

Kristian Smistrup*, Andrej Mironov**, Brian Bilenberg*, Theodor K. Nielsen Anders Kristensen**

* NIL Technology ApS, DK-2800, Kongens Lyngby, Denmark ** DTU Nanotech, Department of Micro- and Nanotechnology, Technical University of Denmark, DK-2800,

Kgs. Lyngby, Denmark e-mail: [email protected]

Keywords: NIL2Go, NIL, Polymer Stamps, Desktop, Flexible stamps

Flexible stamps [1] have proven to be an effective way to increase residual layer uniformity and reduce demands for high pressures in imprint systems enabling the production of compact systems for nanoimprint lithography [2]. However, integration of active MEMS parts (stamp flexibility, imprint actuation mechanism and heating means) with E-beam written nanostructures into one entity (the smart stamp) increases risks related to stamp damage and stamp production yield. In this work, we investigate the possibility of using unstructured smart stamps in combination with cost-effective polymer stamps, in order to separate the two risk factors and reduce production cost.

At this stage our desktop thermal NIL system has been further developed compared to [2], such that an electrical heating system is integrated in the flexible stamp. The thermal NIL system is now a true desktop imprinter; we call it NIL2Go, see Fig. 1.

Key component in our NIL2Go system is the smart stamp. Heating element, imprint structures, and actuation mechanism for the imprint process are embedded in it. The stamp is fabricated in silicon and bonded to a pyrex wafer to create a pressure cavity. The pressure cavity will actuate a Silicon membrane that holds the imprint structures..

Here, we separated the imprint structures from the smart stamp and investigated how cost-effective polymer stamps, micro and nanostructured, can be used to create reproducible imprints in combination with unstructured silicon smart stamps (hereafter called Silicon Actuators).

Fig. 2 shows the stack of Silicon Actuator, polymer stamp, and imprint substrate. At the bottom is a pyrex wafer (0.5mm thick, blue), which is bonded to a silicon wafer (0.35mm thick, grey). The pyrex wafer also contains inlet holes for pressure and electrical power. On top of the silicon actuator is the polymer stamp (black, microstructured polymer stamp: thickness 0.150mm, structure height 1.3µm, nanostructured polymer stamp: thickness 0.04 mm, structure height 100 nm). At the top, the imprint substrate (silicon 0.5mm, grey) with imprint resist (mr-I7030E, 370nm). Polymer stamps was produced by a roll-to-roll setup imprinting into a thermoplastic polyurethane elastomer film by a nickel mold formed by nickel electroplating on a silicon master.

Fig. 3 shows micro structures imprinted with polymer stamps. The left (a, c, and e) shows the stamp structures, and the right (b, d, and f) shows the corresponding imprinted structures. Notice how the submicron small dot in the center of the stamp, Fig. 3e, is reproduced by the imprint process, Fig. 3f.

Fig. 4 shows nanostructures imprinted with a polymer stamp. Gold has been deposited on top of the imprinted structures to increase SEM contrast and eliminate charging effects. Good pattern reproduction is demonstrated over large areas for both micro and nanostructures.

The imprint process takes one hour per imprint, which needs further optimization. The feasibility of using polymer stamps in the NIL2Go desktop imprint system has been demonstrated proving that polymer stamps may be a viable way of reducing production cost in desktop imprint systems based on the flexible stamp.

Word count: 491

[1] T. Nielsen, R.H. Pedersen, O. Hansen, T. Haatainen, A. Tollki, J. Ahopelto, and A. Kristensen "Flexible Stamp for Nanoimprint Lithography", Technical Digest of the 18th IEEE Conference on Micro Electro Mechanical Systems, MEMS 2005, Miami, FL, USA,, January 30 - February 3, 2005, pp. 508 – 511

[2] R.H. Pedersen, O. Hansen, and A. Kristensen, “A compact system for large-area thermal nanoimprint lithography using smart stamps,” J. Micromech. Microeng., vol. 18, 2008, p. 055018 (9pp).

Page 219: NNT 2010 Abstract Book

219

Figure 1. NIL2Go system with the open chuck in front – A 4”structured stamp is placed in the chuck. The system consists of a laptop computer, a bench top power supply (35V, 3A), a control box, and an imprint chuck.

Figure 2. Sketch of the NIL2Go stack; Silicon Actuator (blue pyrex, grey silicon), Stamp

(black polymer), and imprint substrate (grey silicon, red imprint resist) - (not to scale).

Figure 3. µm scale imprints. a, c, and e shows the stamp structures. b, d, f shows the imprinted structures. Stamp structures are 1.3 µm high.

Figure 4. Nanometer scale imprints over large areas. The width of the pillars is ~350 nm and they are arranged in a triangular lattice with a lattice constant of 700 nm. The height of the pillars is 100 nm.

Page 220: NNT 2010 Abstract Book

220

NNT 2010 Conference Program and Proceed-ing

NNT 2010 Conference Committee MembersConference Chairman: Prof. Lars Montelius Øresund University & The Nanometer Consortium, Lund University, Lund, SwedenConference Co-Chairman: Prof. anders Kristensen Technical University of Denmark, Kongens Lyngby, Denmark

Program Chair: Prof. Clivia M Sotomayor Torres Catalan Institute of Nanotechnology Barcelona, Spain Program Co-Chairs: Prof. Jouni Ahopelto VTT Helsinki, Finland Dr. Michael Hornung SUSS MicroTec Lithography GmbH, Germany

NNT International Steering CommitteeChristie Marrian, IBMSteve Chou, PrincetonGeorge Whitesides, HarvardCindy Hanson, SPAWARDave Patterson, DARPADoug Resnick, MotorolaS.V. Sreenivasan, MII/UTAHeinrich Kurz, AachenLars Montelius, LundClivia Sotomayor Torres, BarcelonaPeter Crawley, MSLShinji Matsui, HimejiMasanori Komuro, AIST

Page 221: NNT 2010 Abstract Book

221

NNT 2010 International Program MembersEuropeProf. anders Kristensen Technical University of Denmark Denmark Prof. Jouni Ahopelto VTT Helsinki, Finland Prof. Yong Chen Ecole Normale Supérieure Paris, France Prof. Heinrich Kurz AMO GmbH Aachem Germany Prof. Lars Montelius Lund University Sweden Helmut Schift Paul Scherrer Institut Villigen, Switzerland Dr. Michael Hornung SUSS MicroTec Lithography GmbH Germany Prof. Lothar Frey Fraunhofer-Institute for Integrated Systems and device Technology (IISB) Erlangen,Germany Prof. Hella-Christine Scheer Bergische University Wuppertal, Germany Dr. Michael Mühlberger Profactor GmbH Steyr / Austria Prof. Clivia M Sotomayor Torres Catalan Institute of Nanotechnology Barcelona, Spain CTO Brian Bilenberg NIL Technology Aps Copenhagen, Denmark Dr Graham Cross, Trinity College Dublin, Ireland

USA & CanadaProf. William P. King University of Illinois Urbana-Champaign Illunois, USA Dr. Wei Wu HP labs, Hewlett-Packard Co. Palo Alto, CA, USA Cynthia Hanson SPAWAR Pacific San Diego, Ca, USA Dr. Xiaogan Liang The Molecular Foundry, Lawrence Berkeley National Laboratory Berkeley, CA, USA Prof. Roger Fabian Pease University of Stanford Stanford, USA Marrian, Christie Spansion. LLC Sunnyvale, CA USA Prof. Stephen Y Chou University of Princeton Princeton, USA Dr. Douglas JResnick Molecular Imprints Inc USA Prof. Jay Guo University of Wisconsin Wisconsin, USA Dr. James Alexander Liddle NIST Gaithersburg, MD, USA Litt Lloyd Sematech Dr. Chris Soles NIST Gaithersburg, MD, USA Dr. Christophe Peroz Abeam Technologies Berkeley, CA, USA Prof. Grant Wilson University of Texas at Austin Austin, TX, USA Dr. Alexei Bogdanov Canadian Photonics Fabrication Centre, National Research Council of Can-ada Montreal, Ottawa, Canada

AsiaProf. Fuh-Yu Chang National Taiwan University of Science and Technology Taipei/ Taiwan Prof. Haixiong Ge Department of Materials Science and Engineering, Nanjing University China

Japan Eung-Sug Lee National Research Foundation of KOREA Daejeon KOREA Prof. Shinji Matsui University of Hyogo Hyogo, Japan Akihiro Miyauchi Hitachi Japan Zhongfan Liu Peking University Peking, PR China Prof. Nan Lu Jilin University, Changchun Changchun, PR China PhD Dr. Kouji Asakawa Toshiba 1, Komukai Toshiba-cho, Saiwai-ku, Kawasaki PhD Dr. Masanori Komuro NEDO (New Energy & Industrial Technol. Develop. Organization) 19F Muza Kawasaki Buildg., Omiya-cho, Saiwai-ku, Kawasaki City, Kanagawa

Page 222: NNT 2010 Abstract Book

222

NNT 2010 Conference Program and Proceed-ing

Exhibitors & sponsorsGold SponsorsNIL TECHNOLOGYOBDUCAT ABBronze SponsorsMICRORESIST TECHNOLOGY SÜSS MICROTECExhibitorsEV GROUPHITACHI LTDNANO CONNECT SCANDINAVIANTT ADVANCED TECHNOLOGY CORPORATION

Page 223: NNT 2010 Abstract Book

223

Poster exhibition floorplan

P1-4

P5-8

P13-18

P19-22

P23-26

P27-30

P31-34

P35-38

P68-69

P60-63

P56-59

P52-55

P48-51

P44-47

P39-43

P64-69P9-12

The poster exhibition in the conference room

Page 224: NNT 2010 Abstract Book

Gold Sponsors

Bronze Sponsors