multiplexor 4 in

Upload: vale-conde-cuatzo

Post on 02-Mar-2016

212 views

Category:

Documents


0 download

DESCRIPTION

multi4

TRANSCRIPT

  • library ieee;use ieee.std_logic_1164.all;entity mux2_1 isport(I0: in std_logic_vector(3 downto 0);I1: in std_logic_vector(3 downto 0);S: in std_logic;Y: out std_logic_vector(3 downto 0));end mux2_1;architecture multiplexora of mux2_1 isbeginY