Download - Multiplexor 4 In

Transcript
  • library ieee;use ieee.std_logic_1164.all;entity mux2_1 isport(I0: in std_logic_vector(3 downto 0);I1: in std_logic_vector(3 downto 0);S: in std_logic;Y: out std_logic_vector(3 downto 0));end mux2_1;architecture multiplexora of mux2_1 isbeginY

Top Related