vivado design suite ユーザー ガイド - xilinx...vivado design suite ユーザー ガイド...

160
Vivado Design Suite ユーザー ガイド Vivado IDE の使用 UG893 (v2019.2) 2019 10 30 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に よっては英語版の更新に対応していないものがあります。日本語版は参考用としてご使用の上、最新情報につきまし ては、必ず最新英語版をご参照ください。

Upload: others

Post on 17-Jul-2020

21 views

Category:

Documents


0 download

TRANSCRIPT

Page 1: Vivado Design Suite ユーザー ガイド - Xilinx...Vivado Design Suite ユーザー ガイド Vivado IDE の使用 UG893 (v2019.2) 2019 年 10 月 30 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

Vivado Design Suite ユーザー ガイド

Vivado IDE の使用

UG893 (v2019.2) 2019 年 10 月 30 日

すべてのバージョンを表示

この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料によっては英語版の更新に対応していないものがあります。日本語版は参考用としてご使用の上、最新情報につきましては、必ず最新英語版をご参照ください。

Page 2: Vivado Design Suite ユーザー ガイド - Xilinx...Vivado Design Suite ユーザー ガイド Vivado IDE の使用 UG893 (v2019.2) 2019 年 10 月 30 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

Vivado IDE の使用 2UG893 (v2019.2) 2019 年 10 月 30 日 japan.xilinx.com

改訂履歴

次の表に、 この文書の改訂履歴を示します。

セクシ ョ ン 改訂内容

2019 年 10 月 30 日 バージョ ン 2019.2

「Getting Started ページの使用」 参照を Vitis™ ソフ ト ウェア開発プラ ッ ト フォームにアップ

デート し、 サンプル デザインのダウンロードに関する情報

を追加。

「[Options] ビュー」 [Write Incremental Synthesis] オプシ ョ ンを追加し、

[Incremental Synthesis/Implementation] オプシ ョ ンをアップ

デート。

「[Syntax Checking] 設定」 [Enable Sigasi for syntax checking] オプシ ョ ンを追加。

「[Design Runs] ウ ィンド ウのポップアップ メニュー コマン

ド」

[Set Incremental Synthesis/Implementation] オプシ ョ ンをアップ

デート、 [Include Incremental Synthesis Information in DCP] およ

び [Set QoR Suggestions] オプシ ョ ンを追加。

「プロジェク トのデフォルト設定の指定」 [Record Tcl commands for highlight and mark actions] オプシ ョ ン

を追加。

「ボード リ ポジ ト リの指定」 新しいセクシ ョ ンを追加。

「サンプル プロジェク ト リ ポジ ト リの指定」 新しいセクシ ョ ンを追加。

「run ス ト ラテジの作成」 Windows フォルダーに <version> を追加。

「レポート ス ト ラテジの作成」 Windows フォルダーに <version> を追加。

Page 3: Vivado Design Suite ユーザー ガイド - Xilinx...Vivado Design Suite ユーザー ガイド Vivado IDE の使用 UG893 (v2019.2) 2019 年 10 月 30 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

目次

改訂履歴 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2

第 1 章: 概要はじめに . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5

プロジェク ト モード と非プロジェク ト モード . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6

Vivado Design Suite の起動 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7

Getting Started ページの使用 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10

デザイン ツールまたはデバイスの追加 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12

第 2 章: 表示環境の使用概要 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13

Vivado IDE 表示環境 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14

プロジェク トの作成 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 21

プロジェク ト設定 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 23

言語テンプレートの使用 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 25

RTL 解析、 合成、 インプリ メンテーシ ョ ン、 ビッ ト ス ト リーム生成 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 26

デザインを開く . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 27

デザインおよびデザイン オブジェク トの検索 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 29

プロパティの編集 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 36

第 3 章: ウィンドウの使用概要 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 40

ウ ィンド ウの操作 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 41

データ表ウ ィンド ウの使用 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 44

プロジェク ト サマリの使用 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 48

[Sources] ウ ィンド ウ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 52

[Netlist] ウ ィンド ウ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 61

[Device Constraints] ウ ィンド ウ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 64

[Properties] ウ ィンド ウ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 65

[Run Properties] ウ ィンド ウ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 67

[Selection] ウ ィンド ウ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 70

ワークスペース . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 72

テキス ト エディ ター . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 77

[Device] ウ ィンド ウ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 84

[Package] ウ ィンド ウ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 91

[Schematic] ウ ィンド ウ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 96

[Hierarchy] ウ ィンド ウ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 104

[Timing Constraints] ウ ィンド ウ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 106

[Waveform] ウ ィンド ウ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 108

[Tcl Console] ウ ィンド ウ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 109

[Messages] ウ ィンド ウ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 113

Vivado IDE の使用 3UG893 (v2019.2) 2019 年 10 月 30 日 japan.xilinx.com

Page 4: Vivado Design Suite ユーザー ガイド - Xilinx...Vivado Design Suite ユーザー ガイド Vivado IDE の使用 UG893 (v2019.2) 2019 年 10 月 30 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

[Log] ウ ィンド ウ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 118

[Reports] ウ ィンド ウ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 120

[Design Runs] ウ ィンド ウ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 123

[Package Pins] ウ ィンド ウ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 126

[I/O Ports] ウ ィンド ウ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 128

第 4 章: 環境の設定概要 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 132

ツール設定の指定 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 132

プロジェク トのデフォルト設定の指定 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 133

IP デフォルトの指定 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 134

ボード リ ポジ ト リの指定 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 134

サンプル プロジェク ト リ ポジ ト リの指定 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 134

ソース ファ イル設定の指定 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 134

表示設定の指定 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 135

WebTalk 設定の指定. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 135

ヘルプ設定の指定 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 135

テキス ト エディ ター設定の指定 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 136

サードパーティ シ ミ ュレータの指定 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 136

色の指定 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 137

オブジェク トの選択規則 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 138

シ ョート カッ ト キーの設定 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 138

run ス ト ラテジの作成 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 139

レポート ス ト ラテジの作成 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 141

ウ ィンド ウ動作のカスタマイズ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 143

カスタム レイアウ トの設定 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 143

カスタム メニュー コマンドの追加 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 144

付録 A: Vivado IDE の使用ヒン ト表示環境の使用 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 146

ウ ィンド ウの使用 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 146

メ ッセージおよびレポートの使用 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 147

付録 B: 入力および出力ファイル入力ファイル . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 148

出力ファイル . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 150

環境設定の出力 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 153

プロジェク ト データの出力 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 155

プロジェク ト データ シ ミ ュレーシ ョ ンの出力 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 156

インプリ メンテーシ ョ ンの出力 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 157

付録 C: その他のリソースおよび法的通知ザイ リ ンクス リ ソース . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 158

ソ リ ューシ ョ ン センター . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 158

Documentation Navigator およびデザイン ハブ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 158

参考資料 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 159

ト レーニング リ ソース . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 159

お読みください: 重要な法的通知 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 160

Vivado IDE の使用 4UG893 (v2019.2) 2019 年 10 月 30 日 japan.xilinx.com

Page 5: Vivado Design Suite ユーザー ガイド - Xilinx...Vivado Design Suite ユーザー ガイド Vivado IDE の使用 UG893 (v2019.2) 2019 年 10 月 30 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

第 1 章

概要

はじめに

Vivado® Integrated Design Environment (IDE) は、 優れた機能を持つ、 使いやすいグラフ ィカル ユーザー インターフェ

イス (GUI) です。 ツールおよびツール オプシ ョ ンはすべて Tcl (ツール コマンド言語) 形式で記述されているので、

Vivado IDE でも Vivado Design Suite Tcl シェルでも使用できます。 解析および制約の割り当ては、 デザイン プロセス

のどの段階でも実行できます。 たとえば、 合成後、 配置後、 配線後にタイ ミ ングや消費電力の見積も り を実行でき

ます。 データベースは Tcl を使用してアクセスできるので、 制約、 デザイン コンフ ィギュレーシ ョ ン、 またはツー

ル設定は、 ほとんどの場合インプ リ メンテーシ ョ ンし直さなくても リ アルタイムに変更できます。

Vivado IDE に含まれる次の新しいアルゴ リズムによ り、 デザイン パフォーマンスを改善できます。

• VHDL、 Verilog、 SystemVerilog でのレジスタ ト ランスファー レベル (RTL) デザイン

• コア IP (Intellectual Property) の統合

• Vivado シ ミ ュレータを使用したビヘイビアー、 論理、 タイ ミ ング シ ミ ュレーシ ョ ン

• Vivado 合成

• Vivado インプリ メンテーシ ョ ンでの配置配線

• Vivado シ リ アル I/O およびロジッ ク解析でのデバッグ

• Vivado 消費電力解析

• SDC ベースのザイ リ ンクス デザイン制約 (XDC) を使用したタイ ミ ング制約入力

• スタティ ッ ク タイ ミ ング解析

• ハイレベルなフロアプラン

• 詳細な配置配線の変更

• ビッ ト ス ト リーム生成

Vivado IDE では、 デザインはメモ リ内で開きます。 デザインを開く と、 デザイン フローの特定段階のデザイン ネッ

ト リ ス トが読み込まれ、 制約が割り当てられ、 デザインがターゲッ ト デバイスに適用されます。 これによ り、 各段

階でデザインを視覚化して処理できます。

異なるインプ リ メンテーシ ョ ン オプシ ョ ンの試行、 タイ ミ ング制約の調整、 IP カタログでの IP の検索、 シ ミ ュレー

シ ョ ンの実行、 フロアプラン手法を使用した物理制約の適用を実行できます。 リ ソース使用率、 インターコネク ト

遅延、 消費電力、 配線接続性を早期に見積もる と、 適切なロジッ ク設計、 デバイスの選択、 フロアプランに役立ち

ます。 インプ リ メンテーシ ョ ン フローを進めていくにつれ、 デザインの完成度を高めていく こ とができます。

重要: Vivado IDE では、 7 シ リーズ以降のデバイスをターゲッ ト とするデザインのみがサポート されます。

Vivado IDE の使用 5UG893 (v2019.2) 2019 年 10 月 30 日 japan.xilinx.com

Page 6: Vivado Design Suite ユーザー ガイド - Xilinx...Vivado Design Suite ユーザー ガイド Vivado IDE の使用 UG893 (v2019.2) 2019 年 10 月 30 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

第 1 章: 概要

ト レーニング: このガイ ドに示されている概念は、 「Vivado Design Suite を使用した FPGA の設計 1」、 「Vivado Design

Suite を使用した FPGA の設計 2」、「Vivado Design Suite を使用した FPGA の設計 3」、「Vivado Design Suite を使用した

FPGA の設計 4」 などの ト レーニング コースで学ぶこ とができます。

プロジェク ト モード と非プロジェク ト モード

Vivado Design Suite には、 プロジェク ト モード と非プロジェク ト モードの 2 つのモードがあ り ます。 通常、 Vivado

IDE ではプロジェク ト モードを実行します。 このモードでは、 Vivado IDE でプロジェク ト を作成する と、 デザイン

のステートが自動的に保存され、 レポートおよびメ ッセージが作成され、 ソース ファ イルが管理されます。 非プロ

ジェク ト モードは、 通常 Tcl コマンドまたはスク リプ トから実行します。 このモードでは、 ユーザーがデザイン フ

ローを完全に制御し、 Vivado ツールで自動的にソース ファ イルが管理されたり、 デザイン ステートがレポート され

たりするこ とはあ り ません。 ただし、 非プロジェク ト モードの各段階のデザインを Vivado IDE で開いて、 デザイン

解析および制約の割り当てを実行するこ とはできます。 アクティブ デザインはメモ リ内にあ り、 加えた変更は自動

的にその後のフローに渡されます。 変更は、 新しい制約ファイルまたはデザイン チェッ クポイン ト と して保存でき

ます。 詳細は、 『Vivado Design Suite ユーザー ガイ ド : デザイン フローの概要』 (UG892) [参照 1] を参照してください。

プロジェク ト モードでは、 Vivado IDE では非プロジェク ト モードにはない次の機能が使用できます。

• ソース ファイルの管理およびステータス

• Flow Navigator およびプロジェク ト サマリ

• 統合されたメ ッセージおよび標準レポートの自動生成

• RTL へのクロスプローブ

• ツール設定およびデザイン コンフ ィギュレーシ ョ ンの保存

• 複数の合成およびインプ リ メンテーシ ョ ン run の試行

• 制約セッ トの使用および管理

• run 結果の管理およびステータス

• IP カタログを使用した IP の設定および統合

プロジェク ト モードにはこれらの機能があるので、 使いやすさの面で利点があ り ます。 たとえば、 Vivado IDE で以

前に作成したプロジェク ト を開く と、 現在のデザイン ステート、 run 結果、 前に生成したレポートおよびメ ッセージ

が表示できます。 Flow Navigator を使用する と、 [Generate Bitstream] をク リ ッ クするだけで、 デザインの合成および

インプ リ メンテーシ ョ ンが実行され、 ビッ ト ス ト リーム ファ イルが生成されます。 また、 エラー メ ッセージをク

リ ッ クする と、 ソース ファ イルのエラー箇所が直接ハイライ ト されます。

Vivado IDE の使用 6UG893 (v2019.2) 2019 年 10 月 30 日 japan.xilinx.com

Page 7: Vivado Design Suite ユーザー ガイド - Xilinx...Vivado Design Suite ユーザー ガイド Vivado IDE の使用 UG893 (v2019.2) 2019 年 10 月 30 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

第 1 章: 概要

Vivado Design Suite の起動

Vivado Design Suite は、 さまざまな方法で起動できます。 たとえば、 非プロジェク ト モード と呼ばれる Tcl スク リプ

ト ベースのコンパイル スタイル方法を使用して、 ソースおよびデザイン プロセスをユーザーが自分で管理できま

す。 または、 プロジェク ト モード と呼ばれるプロジェク ト ベースの方法を使用して、 プロジェク トおよびプロジェ

ク ト ステータスによ りデザイン プロセスおよびデザイン データが自動的に管理されるよ うにするこ と もできます。

どちらの方法でも Tcl スク リプ トのバッチ モードで実行できるほか、 Vivado IDE でインタラ クティブに実行できま

す。 さまざまなデザイン フロー モードの詳細は、 『Vivado Design Suite ユーザー ガイ ド : デザイン フローの概要』

(UG892) [参照 1] のこのセクシ ョ ンを参照してください。

注記: 詳細なインス トール、 ライセンスおよびリ リース情報については、 『Vivado Design Suite ユーザー ガイ ド : リ

リース ノート 、 インス トール、 およびライセンス』 (UG973) [参照 2] を参照してください。

Vivado IDE の使用

Vivado IDE は Windows または Linux から起動できます。

推奨: Vivado IDE はどのディ レク ト リからでも開く こ とができますが、 ログ ファ イルおよびジャーナル ファ イルは

起動ディ レク ト リに保存されるので、 プロジェク ト ディレク ト リから実行するこ とをお勧めします。 コマンド プロ

ンプ トから実行する場合、 プロジェク ト ディレク ト リから Vivado を起動するか、 vivado -log および -journal

オプシ ョ ンを使用して、 ディ レク ト リ を指定します。 Windows シ ョート カッ ト を使用する場合は、 シ ョート カッ ト

を右ク リ ッ ク して [プロパティ ] をク リ ッ ク し、 [作業フォルダー ] を変更する必要があ り ます。 または、 プロジェク

ト ファ イル (.xpr) をダブルク リ ッ ク して Vivado IDE を起動する と、 そのプロジェク ト ディ レク ト リにログ ファ イ

ルおよびジャーナル ファ イルが書き込まれるよ うにできます。

Windows での Vivado IDE の起動

[スタート ] → [すべてのプログラム] → [Xilinx Design Tools] → [Vivado <version>] → [Vivado <version>] をク リ ッ ク し

ます。

注記: または、 デスク ト ップの Vivado IDE のシ ョート カッ ト をダブルク リ ッ ク します。

ヒン ト : Windows 7 の場合、Vivado IDE のシ ョート カッ ト アイコンを右ク リ ッ ク して [スタート メニューに表示する ]

または [タスク バーに表示する ] をク リ ッ クする と、 Vivado IDE にすばやくアクセスできます。

X-Ref Target - Figure 1-1

図 1-1: Vivado デスク ト ップ アイコン

Vivado IDE の使用 7UG893 (v2019.2) 2019 年 10 月 30 日 japan.xilinx.com

Page 8: Vivado Design Suite ユーザー ガイド - Xilinx...Vivado Design Suite ユーザー ガイド Vivado IDE の使用 UG893 (v2019.2) 2019 年 10 月 30 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

第 1 章: 概要

Windows または Linux のコマンド ラインからの Vivado IDE の起動

コマンド プロンプ トに次のコマンドを入力します。

<install_path>/Vivado/<version>/bin/vivado

注記: このコマンドを入力する と、 自動的に vivado -mode gui が実行され、 Vivado IDE が起動します。 ヘルプが

必要な場合は、 「vivado -help」 と入力します。

ヒン ト : Vivado ツールのパスを現在のシェル/コマンド プロンプトに追加するには、

<install_path>/Vivado/<version> ディレク ト リから settings64.bat または settings64.sh を実行します。

Vivado Design Suite の Tcl シェルからの Vivado IDE の起動

コマンド プロンプ トに次の Tcl コマンドを入力します。

start_gui

Tcl の使用

Tcl を直接使用する場合は、 Tcl コマンドで次のいずれかの方法を使用してデザインを処理します。

• Vivado IDE 外で Vivado Design Suite Tcl シェルに個々の Tcl コマンドを入力する。

• Vivado Design Suite Tcl シェルから Tcl スク リプ ト を実行する。

• Vivado IDE の下部にある [Tcl Console] ウ ィンド ウに個々の Tcl コマンドを入力する。

• Vivado IDE から Tcl スク リプ ト を実行する。

Tcl および Tcl スク リプ トに関する情報は、『Vivado Design Suite ユーザー ガイ ド : Tcl スク リプ ト機能の使用』 (UG894)

[参照 3] および 『Vivado Design Suite Tcl コマンド リ ファレンス ガイ ド』 (UG835) [参照 4] を参照してください。

Vivado ツールでの Tcl の使用方法の詳細は、 『Vivado Design Suite チュート リ アル: デザイン フローの概要』 (UG888)

[参照 5] を参照して ください。

注記: または、 Tcl コンソールまたは Vivado Design Suite の Tcl シェルに 「<command_name> -help」 と入力する と、

指定したコマンドの詳細が表示されます。

Vivado Design Suite Tcl シェルの起動

Vivado Design Suite Tcl シェルを起動するには、 Linux コマンド プロンプ ト または Windows コマンド プロンプ トに次

のよ うに入力します。

vivado -mode tcl

注記: Windows の場合、 [スタート ] → [すべてのプログラム] → [Xilinx Design Tools] → [Vivado <version>] → [Vivado

<version> Tcl Shell] をク リ ッ ク しても起動できます。

Vivado IDE の使用 8UG893 (v2019.2) 2019 年 10 月 30 日 japan.xilinx.com

Page 9: Vivado Design Suite ユーザー ガイド - Xilinx...Vivado Design Suite ユーザー ガイド Vivado IDE の使用 UG893 (v2019.2) 2019 年 10 月 30 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

第 1 章: 概要

バッチ Tcl スクリプ ト を使用した Vivado ツールの起動

Vivado ツールを起動する と きに Tcl スク リプ ト を指定する と、 Vivado ツールをバッチ モードで使用できます。 Linux

コマンド プロンプ ト または Windows コマンド プロンプ トに次のよ うに入力します。

vivado -mode batch -source <your_Tcl_script>

注記: バッチ モードの場合、 指定したスク リプ トが実行された後 Vivado ツールが終了します。

Vivado IDE での Tcl コンソールの使用

Vivado IDE には、 Tcl サポートが統合されています。 Vivado IDE では、 第 3 章の 「[Tcl Console] ウ ィンド ウ」 に説明

するよ うに Tcl コンソールに Tcl コマンドを入力します。

Vivado IDE からの Tcl スクリプ トの実行

スク リプ ト を実行するには、 [Tools] → [Run Tcl Script] をク リ ッ ク します。

ヒン ト : スク リプ ト を作成するには、 vivado.jou ファ イルまたは [Tcl Console] ウ ィンド ウ Vivado IDE の Tcl コマン

ドをコピーできます。

Vivado IDE の使用 9UG893 (v2019.2) 2019 年 10 月 30 日 japan.xilinx.com

Page 10: Vivado Design Suite ユーザー ガイド - Xilinx...Vivado Design Suite ユーザー ガイド Vivado IDE の使用 UG893 (v2019.2) 2019 年 10 月 30 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

第 1 章: 概要

Getting Started ページの使用

Vivado IDE を起動する と、 Getting Started ページが表示されます (図 1-2)。

注記: Getting Started ページを開くには、 すべてのプロジェク ト を閉じる必要があ り ます。

X-Ref Target - Figure 1-2

図 1-2: Vivado IDE の Getting Started ページ

Vivado IDE の使用 10UG893 (v2019.2) 2019 年 10 月 30 日 japan.xilinx.com

Page 11: Vivado Design Suite ユーザー ガイド - Xilinx...Vivado Design Suite ユーザー ガイド Vivado IDE の使用 UG893 (v2019.2) 2019 年 10 月 30 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

第 1 章: 概要

Vivado IDE の Getting Started ページからは、 プロジェク ト を作成したり開いたりできるほか、 Vivado IDE コマンドを

実行したり、 マニュアルを表示できます。

• [Quick Start] セクシ ョ ン

° [Create Project]: New Project ウ ィザードが開き、 サポート されるさまざまなタイプのプロジェク ト を作成で

きます。 ウ ィザードを使用して、 PlanAhead™ ツール (.ppr ファ イル) または ISE® Design Suite ツール

(.xise ファ イル) から前に作成したプロジェク ト をインポートするこ と もできます。

° [Open Project]: Vivado IDE プロジェク ト ファイル (.xpr) を開くためのダイアログ ボッ クスが表示されます。

° [Open Example Project]: Open Example Project ウ ィザードが開き、 次のサンプル プロジェク トのいずれかを、

プロジェク ト名とディ レク ト リ を指定し、 有効なパーツを リ ス トから選択して作成できます。

- [Base MicroBlaze]: ユーザー指定のボードをターゲッ トにした Vivado IP インテグレーターの小型の

MicroBlaze™ プロセッサ デザインで、 Vivado Design Suite でビッ ト ス ト リーム生成してから、 Vitis™ ソ

フ ト ウェア開発プラ ッ ト フォームでアプリ ケーシ ョ ン コードを開発し、 Vitis ソフ ト ウェア プラ ッ ト

フォームで生成した ELF ファ イルを Vivado Design Suite に読み込んでシ ミ ュレーシ ョ ンします。

- [Base Zynq]: Zynq®-7000 SoC 評価ボードをターゲッ トにする小型の Vivado IP インテグレーターの

Zynq-7000 SoC デザインで、 Vivado Design Suite でビッ ト ス ト リーム生成してから、 Vitis ソフ ト ウェア

プラ ッ ト フォームで Zynq-7000 SoC デバイスのアプリ ケーシ ョ ン コードを開発します。

- [BFT]: 小型の RTL プロジェク ト 。

- [Configurable MicroBlaze]: さまざまなザイ リ ンクス評価ボードをターゲッ ト とする Vivado IP インテグ

レーターの MicroBlaze プロセッサ デザインで、 ローカル メモ リ サイズを指定したり、 DDR4、 UART、

または GPIO IP をインスタンシエートするかど うかを指定したりできます。 UART IP をカスタマイズ

してボー レート を指定、 GPIO IP をカスタマイズして DIP スイ ッチ、 LED、 プッシュボタン、 または

ロータ リー スイ ッチに接続できます。 デザインは Vivado Design Suite でインプリ メン ト して、 ハード

ウェアをプ リ ケーシ ョ ン コード開発用に Vitis ソフ ト ウェア プラ ッ ト フォームにエクスポートでき、

指定したテス トベンチおよび Vitis ソフ ト ウェア プラ ッ ト フォームで生成された ELF ファ イルを使用

して Vivado Design Suite でシ ミ ュレーシ ョ ンできます。

- [Configurable Zynq UltraScale+ MPSoC Design]: さまざまなザイ リ ンクス評価ボードをターゲッ ト とする

Zynq UltraScale+™ MPSoC デザインで、 ローカル メモリ サイズを指定したり、 DDR4、 UART、 または

GPIO IP をインスタンシエートするかど うかを指定したりできます。 UART IP をカスタマイズしてボー

レート を指定、 GPIO IP をカスタマイズして DIP スイ ッチ、 LED、 プッシュボタン、 またはロータ リー

スイッチに接続できます。 デザインは Vivado Design Suite でインプリ メン ト して、 ハードウェアをプリ

ケーシ ョ ン コード開発用に Vitis ソフ ト ウェア プラ ッ ト フォームにエクスポートでき、 指定したテス ト

ベンチおよび Vitis ソフ ト ウェア プラ ッ ト フォームで生成された ELF ファイルを使用して Vivado Design

Suite でシ ミ ュレーシ ョ ンできます。

- [CPU (HDL)]: 大型の混合言語の RTL プロジェク ト 。

- [CPU (Synthesized)]: 大型の合成済みネッ ト リ ス ト プロジェク ト 。

- [Wavegen (HDL)]: 3 つのエンベデッ ド IP コアを含む小型のプロジェク ト。 このデザインは、 IP コアが

統合された Vivado IDE プロジェク トの使用方法を学ぶのに適しています。

ヒン ト : 追加のサンプル デザインをダウンロードするには、 Open Example Project ウ ィザードで [Update Example

Project Repositories] ボタンをク リ ッ ク します。

Vivado IDE の使用 11UG893 (v2019.2) 2019 年 10 月 30 日 japan.xilinx.com

Page 12: Vivado Design Suite ユーザー ガイド - Xilinx...Vivado Design Suite ユーザー ガイド Vivado IDE の使用 UG893 (v2019.2) 2019 年 10 月 30 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

第 1 章: 概要

• [Tasks] セクシ ョ ン

° [Manage IP]: IP の作成および管理のための IP プロジェク ト を開いたり作成したり します。 Vivado IP カタロ

グにはザイ リ ンクス、 サードパーティ、 またはユーザーの作成した IP が表示され、 指定したデバイス用に

IP コアをカスタマイズして生成できます。 既存の IP コアを確認したり、 カスタマイズし直して、 IP スタン

ドアロンのネッ ト リ ス ト も含めた出力ファイルを生成するこ と もできます。

° [Open Hardware Manager]: ターゲッ ト JTAG ケーブルまたはボードを接続するための Vivado Design Suite ハー

ドウェア マネージャーが開き、 デザインをデバイスにプログラムできます。 Vivado ロジッ ク解析機能およ

び Vivado シ リアル I/O 解析機能を使用する と、 デザインをデバッグできます。

° [Xilinx Tcl Store]: ザイ リ ンクス Tcl Store が開きます。 これは、 Tcl コードのオープンソース リ ポジ ト リで、

主に Vivado Design Suite で使用するよ う設計されています。 Tcl Store を使用する と、 さまざまな問題を解決

して生産性を改善する、 異なるソースから提供された複数のスク リプ トおよびユーティ リ ティにアクセス

できます。 Tcl スク リプ トは、 提供されているものをインス トールできるほか、 ほかのユーザーと共有する

ためにリ リースするこ と もできます。 詳細は、 『Vivado Design Suite ユーザー ガイ ド : Tcl スク リプ ト機能の

使用』 (UG894) [参照 3] のこのセクシ ョ ンを参照してください。

ヒン ト : ザイ リ ンクス Tcl Store のキーワード検索を使用して、 インス トールするアプリ ケーシ ョ ンを見つけるこ とが

できます。

ビデオ: ザイ リ ンクス Tcl Store のインス トール方法、 使用方法、 Tcl スク リプ トの記述方法などの概要は、 Vivado

Design Suite QuickTake ビデオ: ザイ リ ンクス Tcl Store の紹介を参照してください。

• ラーニング センター

° [Documentation and Tutorials]: ザイ リ ンクス Documentation Navigator またはデフォルトのウェブ ブラウザー

を使用して Vivado Design Suite の資料を開くか、 ダウンロードできます。

° [QuickTake Videos]: ザイ リ ンクスのビデオ チュート リ アルを開きます。

° [Release Notes Guide]: 『Vivado Design Suite ユーザー ガイ ド : リ リース ノート、 インス トール、 およびライ

センス』 (UG973) [参照 2] を開きます。

注記: ザイ リ ンクス Documentation Navigator の詳細は、 『Vivado Design Suite ユーザー ガイ ド : 入門』 (UG910) [参

照 6] を参照してください。

• [Recent Project]、 [Recent Checkpoints]、 および [Recent IP Locations]: 前に開いていたプロジェク ト 、 チェッ クポイ

ン ト、 または IP を 1 ク リ ッ クで開きます。 これらのリ ス トは、 プロジェク ト 、 チェッ クポイン ト、 IP を開いた

後にのみ表示されます。

注記: デフォルトでは、 近開いたプロジェク ト 、 チェッ クポイン ト、 IP が 10 個リ ス ト されます。 この数は、

[Tools] → [Settings] の [Tool Settings] の下のプロジェク ト オプシ ョ ンで変更できます。 プロジェク トが表示され

る前に、 プロジェク ト データが存在するかど うかが確認されます。

デザイン ツールまたはデバイスの追加

初にインス トールしなかったデザイン ツールまたはデバイスを後から追加する場合は、[Help] → [Add Design Tools

or Devices] をク リ ッ ク します。 このコマンドをク リ ッ クする と、 ザイ リ ンクス インス トーラーが起動し、 インス

トール オプシ ョ ンを編集できるよ うにな り ます。 詳細は、 『Vivado Design Suite ユーザー ガイ ド : リ リース ノート 、

インス トール、 およびライセンス』 (UG973) [参照 2] を参照してください。

Vivado IDE の使用 12UG893 (v2019.2) 2019 年 10 月 30 日 japan.xilinx.com

Page 13: Vivado Design Suite ユーザー ガイド - Xilinx...Vivado Design Suite ユーザー ガイド Vivado IDE の使用 UG893 (v2019.2) 2019 年 10 月 30 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

第 2 章

表示環境の使用

概要

この章では、 Vivado® IDE の用語、 レイアウ ト 、 およびプロジェク ト機能に関する一般情報を示します。 Vivado IDE

のデザイン フローに関する情報は含まれません。 デザイン フローの詳細は、 次の資料を参照してください。

• 『Vivado Design Suite ユーザー ガイ ド : デザイン フローの概要』 (UG892) [参照 1]

• 『Vivado Design Suite ユーザー ガイ ド : IP を使用した設計』 (UG896) [参照 7]

• 『Vivado Design Suite ユーザー ガイ ド : IP インテグレーターを使用した IP サブシステムの設計』 (UG994) [参照 8]

• 『Vivado Design Suite ユーザー ガイ ド : ロジッ ク シ ミ ュレーシ ョ ン』 (UG900) [参照 9]

• 『Vivado Design Suite ユーザー ガイ ド : 合成』 (UG901) [参照 10]

• 『Vivado Design Suite ユーザー ガイ ド : 制約の使用』 (UG903) [参照 11]

• 『Vivado Design Suite ユーザー ガイ ド : インプリ メンテーシ ョ ン』 (UG904) [参照 12]

• 『Vivado Design Suite ユーザー ガイ ド : デザイン解析およびクロージャ テクニッ ク』 (UG906) [参照 13]

• 『Vivado Design Suite ユーザー ガイ ド : プログラムおよびデバッグ』 (UG908) [参照 14]

ビデオ: ツールの使用方法および機能の詳細は、 Vivado Design Suite QuickTake ビデオ チュート リ アルを参照して く

ださい。 これらのビデオ チュート リ アルには、 特定の ト ピッ クに関する簡単なビデオ プレゼンテーシ ョ ンが含ま

れます。

Vivado IDE の使用 13UG893 (v2019.2) 2019 年 10 月 30 日 japan.xilinx.com

Page 14: Vivado Design Suite ユーザー ガイド - Xilinx...Vivado Design Suite ユーザー ガイド Vivado IDE の使用 UG893 (v2019.2) 2019 年 10 月 30 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

第 2 章: 表示環境の使用

Vivado IDE 表示環境

図 2-1 に、 Vivado IDE の表示環境を示します。 Vivado IDE は、 マウス、 キーボード、 Tcl 入力で操作できます。

ヒン ト : Vivado IDE の異なる部分の詳細にすばやくアクセスするには、 そのウ ィンド ウやダイアログ ボッ クスでク

イ ッ ク ヘルプ ボタン をク リ ッ クするか、 F1 キーを押します。

表示環境の主なコンポーネン トは、 次のとおりです。

1. 「メニュー バー」

2. 「メ イン ツールバー」

3. 「Flow Navigator」

4. 「データ ウ ィンド ウ エリア」

5. 「メニュー コマンドの Quick Access 検索フ ィールド」

6. 「ワークスペース」

7. 「プロジェク ト ステータス バー」

8. 「レイアウ ト セレクター」

9. 「ステータ スバー」

10. 「結果ウ ィンド ウ エリ ア」

Vivado IDE の使用 14UG893 (v2019.2) 2019 年 10 月 30 日 japan.xilinx.com

Page 15: Vivado Design Suite ユーザー ガイド - Xilinx...Vivado Design Suite ユーザー ガイド Vivado IDE の使用 UG893 (v2019.2) 2019 年 10 月 30 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

第 2 章: 表示環境の使用

メニュー バー

メ イン メニュー バーには、 Vivado IDE のコマンドが含まれます。 一般的に使用されるコマンド ([File] → [Project] →

[Open] など) は常に表示されますが、それ以外のコマンド ([Reports] → [Report DRC] など) はデザインがアクティブな

場合にのみ表示されます。 一部のメニュー コマンドにはキーボード シ ョート カッ トが割り当てられており、 メ

ニュー コマンドの横に表示されます。 キーボード シ ョート カッ トの定義に関する詳細は、 第 4 章の 「シ ョート カッ

ト キーの設定」 を参照してください。

X-Ref Target - Figure 2-1

図 2-1: Vivado IDE 表示環境

X10967

1 2 3 54 6 7 8

9 10

Vivado IDE の使用 15UG893 (v2019.2) 2019 年 10 月 30 日 japan.xilinx.com

Page 16: Vivado Design Suite ユーザー ガイド - Xilinx...Vivado Design Suite ユーザー ガイド Vivado IDE の使用 UG893 (v2019.2) 2019 年 10 月 30 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

第 2 章: 表示環境の使用

メニュー コマンドの Quick Access 検索フ ィールド

メニュー コマンドの右側の Quick Access 検索フ ィールドを使用する と、 メニュー バーからコマンドを探して実行で

きます。 検索フ ィールドにコマンド名の何文字かを入力します。 図 2-2 に示すよ うに、 検索条件に一致するコマン

ドが リ ス ト されます。 リ ス トからコマンドを選択する と、 そのコマンドが実行されます。

表示されるコマンドは、 プロジェク トの現在のデザインの状態に基づきます。 たとえば、 エラボレート済みデザイ

ンを開いている場合と インプ リ メン ト済みデザインを開いている場合では、 表示されるコマンドは異なり ます。

注記: コマンド検索フ ィールドを使用する と、 メニュー コマンドに加え、 [File] メニューの [Open Recent Project] およ

び [Open Example Project] でリ ス ト されるプロジェク ト名およびファイルも表示されます。

メイン ツールバー

Vivado IDE で もよ く使用されるコマンドに 1 ク リ ッ クでアクセスできます。 ボタンの上にカーソルを置く と、 そ

のコマンドの詳細を示すツール ヒ ン トが表示されます。

ヒン ト : ツール ヒ ン トが表示されるまでの時間および消えるまでの時間を設定できます。 また、 メニュー コマンド

に対してツール ヒ ン ト を表示するかど うかも指定できます。 [Tools] → [Settings] をク リ ッ ク します。 [Settings] ダイア

ログ ボッ クスの [Tool Settings] セクシ ョ ンの [Help] カテゴ リ をク リ ッ ク して、[Tooltips and Quick Help] を設定します。

Flow NavigatorFlow Navigator には、 デザイン入力からビッ ト ス ト リーム作成までのコマンドおよびツールへのアクセスが提供され

ます。 デザイン データ、 グラフ ィカル ウ ィンド ウ、 結果ウ ィンド ウは、 ツールおよびコマンドを実行する とアップ

デート されます。 Flow Navigator のそれぞれのセクシ ョ ンからは、 次を実行できます。

• [Project Manager]: 設定を変更したり、 ソースを追加または作成したり、 言語テンプレート を表示したり、 Vivado

IP カタログを開いたりできます。 ソースの追加に関する詳細は、『Vivado Design Suite ユーザー ガイ ド : システム

レベル デザイン入力』 (UG895) [参照 15] のこのセクシ ョ ンを参照してください。 言語テンプレートの詳細は、

「言語テンプレートの使用」 を参照してください。 IP カタログの詳細は、 『Vivado Design Suite ユーザー ガイ ド :

IP を使用した設計』 (UG896) [参照 7] のこのセクシ ョ ンを参照してください。

• [IP Integrator]: ブロッ ク デザインを作成したり、 開いたり、 生成したりできます。 Vivado IP インテグレーターの

詳細は、『Vivado Design Suite ユーザー ガイ ド : IP インテグレーターを使用した IP サブシステムの設計』 (UG994)

[参照 8] を参照して ください。

• [Simulation]: シ ミ ュレーシ ョ ン設定を変更したり、 アクティブ デザインをシ ミ ュレーシ ョ ンした りできます。 シ

ミ ュレーシ ョ ンの詳細は、 『Vivado Design Suite ユーザー ガイ ド : ロジッ ク シ ミ ュレーシ ョ ン』 (UG900) [参照 9]

を参照してください。

X-Ref Target - Figure 2-2

図 2-2: メニュー コマンドの Quick Access 検索フ ィールド

Vivado IDE の使用 16UG893 (v2019.2) 2019 年 10 月 30 日 japan.xilinx.com

Page 17: Vivado Design Suite ユーザー ガイド - Xilinx...Vivado Design Suite ユーザー ガイド Vivado IDE の使用 UG893 (v2019.2) 2019 年 10 月 30 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

第 2 章: 表示環境の使用

• [RTL Analysis]: エラボレート済みデザインを開いたり、 デザイン ルール チェッ ク (DRC) を実行したり、 RTL 回

路図を生成したりできます。 [Sources] ウ ィンド ウの詳細は、 第 3 章の 「[Schematic] ウ ィンド ウ」 を参照してく

ださい。 RTL デザインのエラボレートの詳細は、 『Vivado Design Suite ユーザー ガイ ド : システム レベル デザイ

ン入力』 (UG895) [参照 15] のこのセクシ ョ ンを参照してください。

• [Synthesis]: 合成設定を変更したり、 アクティブ デザインを合成したり、 合成済みデザインを開いたりできます。

[Open Synthesized Design] を右ク リ ッ ク して [New Synthesized Design] をク リ ッ クする と、 別のデザインを読み込

むこ とができます。 右ク リ ッ ク して [Open Netlist in New Window] をク リ ッ クする と、 デザインを並べて比較す

るこ と もできます。 合成の詳細は、 『Vivado Design Suite ユーザー ガイ ド : 合成』 (UG901) [参照 10] を参照して く

ださい。

• [Implementation]: インプリ メンテーシ ョ ン設定を変更したり、 アクティブ デザインをインプリ メンテーシ ョ ンし

た り、 インプ リ メン ト済みデザインを開いたりできます。 インプリ メンテーシ ョ ンの詳細は、 『Vivado Design

Suite ユーザー ガイ ド : インプ リ メンテーシ ョ ン』 (UG904) [参照 12] を参照してください。

• [Program and Debug]: ビッ ト ス ト リームの設定を変更したり、 ビッ ト ス ト リーム ファ イルを生成したり、 ハード

ウェア セッシ ョ ンを Vivado IDE で開いたり、 Vivado ロジッ ク解析機能を起動したりできます。 プログラムおよ

びデバッグの詳細は、 『Vivado Design Suite ユーザー ガイ ド : プログラムおよびデバッグ』 (UG908) [参照 14] を参

照してください。

ヒン ト : Run コマンドを右ク リ ッ クする と、 使用可能なコマンドが表示されます。 詳細は、 第 3 章の 「[Design Runs]

ウ ィンド ウのコマンド」 を参照してください。

デザインを開く と、 そのセクシ ョ ンのが太字で表示され、 デザインがメモ リに読み込まれたこ とを示します。

[Open] コマンド も変更され、 たとえば [Open Synthesized Design] は [Synthesized Design] に変わり ます。 異なる段階の

デザインを複数読み込んでいる場合は、 Flow Navigator のセクシ ョ ン ([RTL Analysis] または [Synthesis] など) をク

リ ッ クする と、 デザインの段階を切り替えるこ とができます。

Flow Navigator を非表示にしてデザイン解析中にその他のウ ィンド ウの表示領域を広げるには、 次のいずれかの方法

を使用します。

• [View] → [Hide Flow Navigator] をク リ ッ ク します。

• キーボード シ ョート カッ トの Ctrl + Q を使用します。

• Flow Navigator の右上のナビゲーシ ョ ンを非表示にするボタンをク リ ッ ク します ( )。

注記: Flow Navigator を表示するには、 [View] → [Show Flow Navigator] をク リ ッ クするか、 Ctrl + Q キーを押すか、

Vivado IDE の左端の [Show Flow Navigator] ボタン (>>) をク リ ッ ク します。

Vivado IDE の使用 17UG893 (v2019.2) 2019 年 10 月 30 日 japan.xilinx.com

Page 18: Vivado Design Suite ユーザー ガイド - Xilinx...Vivado Design Suite ユーザー ガイド Vivado IDE の使用 UG893 (v2019.2) 2019 年 10 月 30 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

第 2 章: 表示環境の使用

レイアウト セレクター

Vivado IDE では、 デザイン プロセスのさまざまなタスクを効率的に実行できるよ うにウ ィンド ウ レイアウ トが定義

されています。 レイアウ ト セレクター (図 2-3) を使用する と、 これらのウ ィンド ウ レイアウ ト を簡単に変更できま

す。 レイアウ トは、 メニュー バーの [Layout] メニューを使用して変更するこ と もできます。

定義済みのレイアウ トは、 次のとおりです。

• [Default Layout]: デザインを 小限のウ ィンド ウで解析します。

• [I/O Planning]: I//O 配置制約を定義し、 ポート を配置します。

注記: I/O プランニング プロジェク トでは、 [I/O Planning] レイアウ トがデフォルトのレイアウ ト と して使用され

ます。

• [Floorplanning]: Pblock の定義、 パーティシ ョ ンの管理、 階層フロアプランを実行します。

• [Debug]: デバッグ ネッ ト を定義し、 デバッグ コアをコンフ ィギュレーシ ョ ンします。

• [Timing Analysis]: タイ ミ ング レポート を実行してタイ ミ ングを解析します。

• [ECO]: ECO Navigator を開き、 合成後のネッ ト リ ス トにエンジニア リ ング チェンジ オーダー (ECO) の変更を加

えます。

注記: [ECO] レイアウ トは、 デザイン チェッ クポイン ト (DCP) を開いている場合にのみ選択可能です。 ECO フ

ローの詳細は、 『Vivado Design Suite ユーザー ガイ ド : インプリ メンテーシ ョ ン』 (UG904) [参照 12] のこのセク

シ ョ ンおよび 『Vivado Design Suite ユーザー ガイ ド : プログラムおよびデバッグ』 (UG908) [参照 14] のこのセク

シ ョ ンを参照してください。

ヒン ト : 独自の要件に合ったカスタム レイアウ ト も作成できます。 第 4 章の 「カスタム レイアウ トの設定」 を参照

してください。

X-Ref Target - Figure 2-3

図 2-3: レイアウトの選択

Vivado IDE の使用 18UG893 (v2019.2) 2019 年 10 月 30 日 japan.xilinx.com

Page 19: Vivado Design Suite ユーザー ガイド - Xilinx...Vivado Design Suite ユーザー ガイド Vivado IDE の使用 UG893 (v2019.2) 2019 年 10 月 30 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

第 2 章: 表示環境の使用

プロジェク ト ステータス バー

プロジェク ト ステータス バーには、 次の情報が表示されます。

• アクティブ デザインの現在のステータス

• マウス カーソルを置いたメニュー コマンドおよびツールバーの説明

• 行番号やモードなど、 編集中の選択したテキス ト ファ イルに関する情報

• [Device] および [Package] ウ ィンド ウでマウス カーソルを置いたエレ メン トの位置情報

ヒン ト : デザインが無効になる と、 プロジェク ト ステータス バーに [More Info] リ ンクが表示されます。 リ ンクをク

リ ッ クする と、 デザインが無効になった原因の変更に関する情報が表示されます。

ヒン ト : 合計メモ リ ヒープ サイズと Vivado IDE で使用される量を表示するには、 ステータス バーのド ラ ッグ ハンド

ルをダブルク リ ッ ク します。 デフォルトではメモ リは自動的にク リーンアップされますが、 ゴ ミ箱ボタン をク

リ ッ クする と強制的にメモ リ をク リーンアップできます。

データ ウィンドウ エリア

デフォルトでは、 Vivado IDE のこのエリアには次のよ うなデザイン ソースおよびデータに関する情報が表示されます。

• [Sources] ウ ィンド ウ : [Hierarchy]、 [IP Sources]、 [Libraries]、 [Compile Order] ビューなどが表示されます。

• [Netlist] ウ ィンド ウ : エラボレート済みまたは合成済みのロジッ ク デザインの階層ビューです。

• [Properties] ウ ィンド ウ : 選択したロジッ ク オブジェク ト またはデバイス リ ソースに関する情報が表示されます。

詳細は、 第 3 章 「ウ ィ ンド ウの使用」 を参照してください。

ワークスペース

ワークスペースには、 次のよ うなグラフ ィカル インターフェイスを使用したウ ィンド ウが表示されます。

• テキス ト ベース ファ イルおよびレポート を表示および編集するためのテキス ト エディ ター

• [Schematic] ウ ィンド ウ

• [Device] ウ ィンド ウ

• [Package] ウ ィンド ウ

詳細は、 第 3 章 「ウ ィ ンド ウの使用」 を参照してください。

Vivado IDE の使用 19UG893 (v2019.2) 2019 年 10 月 30 日 japan.xilinx.com

Page 20: Vivado Design Suite ユーザー ガイド - Xilinx...Vivado Design Suite ユーザー ガイド Vivado IDE の使用 UG893 (v2019.2) 2019 年 10 月 30 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

第 2 章: 表示環境の使用

結果ウィンドウ エリア

Vivado IDE で実行されたコマンドのステータスおよび結果は、 表示環境の下部の結果ウ ィンド ウ エリ アに表示され

ます。 コマンドが実行される と、 メ ッセージが生成され、 ログ ファ イルおよびレポート ファ イルが作成され、 関連

する情報がこのエリ アに表示されます。 デフォルトでは、 次のウ ィンド ウが表示されます。

• [Tcl Console]: Tcl コマンドを入力でき、 前に実行したコマンドおよび出力の履歴が表示されます。

• [Messages]: 現在のデザインのメ ッセージがすべて、 プロセスおよび重要度別に表示されます。

• [Log]: 合成、 インプリ メンテーシ ョ ン、 シ ミ ュレーシ ョ ン run で作成されたログ ファ イルが表示されます。

• [Reports]: デザイン フローで生成されたアクティブな run のレポートにすばやくアクセスできます。

• [Designs Runs]: 現在のプロジェク トの run を管理できます。

このエリアには [Find Results]、 [Package Pins]、 [I/O Ports] などのウ ィンド ウも必要に応じて表示されます。 詳細は、

第 3 章 「ウ ィ ンド ウの使用」 を参照してください。

ステータ スバー

ステータス バーには、 次のよ うな情報が表示されます。

• 左下にはカーソルを置いたメニューおよびツールバー コマンドの詳細が表示されます。

• [Device] および [Package] ウ ィンド ウで配置および制約の作成を実行している場合は、 左側に制約タイプおよび

有効性が表示され、 右側にサイ ト座標およびタイプが表示されます。

• 回路図ウ ィンド ウでオブジェク ト上にカーソルを置く と、 ステータス バーにそのオブジェク トの詳細が表示さ

れます。

• 実行中タスクの [Background] ボタンをク リ ッ ク した場合は、 ステータス バー右側にタスク プロセス バーが表示

されます。

重要: タスクがバッ クグランドで実行中は Tcl を使用する操作はブロ ッ ク されます。 レポート を表示したり、 デザイ

ンを開いたりはできますが、 変更は加えるこ とはできません。

Vivado IDE の使用 20UG893 (v2019.2) 2019 年 10 月 30 日 japan.xilinx.com

Page 21: Vivado Design Suite ユーザー ガイド - Xilinx...Vivado Design Suite ユーザー ガイド Vivado IDE の使用 UG893 (v2019.2) 2019 年 10 月 30 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

第 2 章: 表示環境の使用

プロジェク トの作成

New Project ウ ィザードを使用する と、 Vivado IDE でさまざまなプロジェク ト を簡単に作成できます。 New Project ウ ィ

ザードを開くには、 [File] → [Project] → [New] をク リ ッ ク します。 このウ ィザードを使用する と、 プロジェク トのディ

レク ト リ と名前を指定し、 プロジェク ト タイプを選択できます (図 2-4)。 オプシ ョ ンでソース、 IP、 制約ファイルを

指定し、 ザイ リ ンクス ボードまたはパーツを指定してプロジェク トの作成を完了します。 詳細は、 『Vivado Design

Suite ユーザー ガイ ド : システム レベル デザイン入力』 (UG895) [参照 15] のこのセクシ ョ ンを参照してください。

X-Ref Target - Figure 2-4

図 2-4: New Project ウィザード : [Project Type] ページ

Vivado IDE の使用 21UG893 (v2019.2) 2019 年 10 月 30 日 japan.xilinx.com

Page 22: Vivado Design Suite ユーザー ガイド - Xilinx...Vivado Design Suite ユーザー ガイド Vivado IDE の使用 UG893 (v2019.2) 2019 年 10 月 30 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

第 2 章: 表示環境の使用

Tcl コマンドを使用したプロジェク トの作成

プロジェク トは、 Tcl コマンドを使用しても作成できます。 Vivado IDE の [Tcl Console] ウ ィンド ウにコマンドを入力

するか、 source コマンドを使用して Tcl ファ イルから読み込みます。

create_project project_Name ./exampleDesigns/project_8 -part xc7vx485tffg1157-1

デフォルトのプロジェク ト タ イプは RTL です。 ネッ ト リ ス ト プロジェク ト を作成する場合は、 次のよ うに指定し

ます。

set_property design_mode GateLvl [current_fileset]

これでプロジェク トにファ イルを追加できるよ うになり ました。

add_files -norecurse -scan_for_includes ./designs/oneFlop.v

これらのファイルはローカルのプロジェク トに保存できます。

import_files -norecurse ./designs/oneFlop.v

注記: このコマンドは、 Add Sources ウ ィザードの [Copy Sources into Project] オプシ ョ ンと同じです。

ヒン ト : PATH_MODE プロパティは、 add_files Tcl コマンド と一緒に使用して、 絶対パスまたは相対パスのどちら

を使用するかを指定できます。 デフォルトでは、 相対パスが使用されます。 詳細は、 『Vivado Design Suite プロパ

ティ リ ファレンス ガイ ド』 (UG912) [参照 16] のこのセクシ ョ ンを参照してください。

Tcl を使用したプロジェク トの作成方法については、 次の資料を参照して ください。

• 『Vivado Design Suite ユーザー ガイ ド : デザイン フローの概要』 (UG892) [参照 1]

• 『Vivado Design Suite ユーザー ガイ ド : Tcl スク リプ ト機能の使用』 (UG894) [参照 3]

Vivado IDE の使用 22UG893 (v2019.2) 2019 年 10 月 30 日 japan.xilinx.com

Page 23: Vivado Design Suite ユーザー ガイド - Xilinx...Vivado Design Suite ユーザー ガイド Vivado IDE の使用 UG893 (v2019.2) 2019 年 10 月 30 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

第 2 章: 表示環境の使用

プロジェク ト設定

[Settings] ダイアログ ボッ クス (図 2-5) を使用する と、 デザインのニーズに合ったプロジェク ト設定を指定できます。

プロジェク ト設定には、 一般設定、 上位モジュールの定義および言語オプシ ョ ンに関する設定、 シ ミ ュレーシ ョ

ン設定、 エラボレーシ ョ ン設定、 合成設定、 インプリ メンテーシ ョ ン設定、 ビッ ト ス ト リーム設定、 IP 設定などが

含まれます。

注記: [Settings] ダイアログ ボッ クスからはツール設定を指定するこ と もできます。 詳細は、 第 4 章の 「ツール設定の

指定」 を参照してください。

ヒン ト : テキス ト ボッ クスにデータを入力したり、 データを変更する際、 値が使用されて編集可能であれば、 テキス

トが黒、 背景色が白になり ます。 値が使用されていても編集可能ではない場合、 テキス トが黒、 背景色が灰色にな

り ます。 値が使用されていないか、 適用可能ではない場合、 その前後のラベルも含めてテキス トは灰色になり ます。

X-Ref Target - Figure 2-5

図 2-5: [Settings] ダイアログ ボックス — [Project Settings] の [General] カテゴリ

Vivado IDE の使用 23UG893 (v2019.2) 2019 年 10 月 30 日 japan.xilinx.com

Page 24: Vivado Design Suite ユーザー ガイド - Xilinx...Vivado Design Suite ユーザー ガイド Vivado IDE の使用 UG893 (v2019.2) 2019 年 10 月 30 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

第 2 章: 表示環境の使用

[Settings] ダイアログ ボッ クスを表示するには、 次のいずれかを実行します。

• Flow Navigator の [Project Manager] セクシ ョ ンで [Settings] をク リ ッ ク します。

• [Tools] → [Settings] をク リ ッ ク します。

• メ イン ツールバーの [Settings] ツールバー ボタン をク リ ッ ク します。

• [Project Summary] サマリで [Settings] の横の [Edit] リ ンクをク リ ッ ク します。

[Settings] ダイアログ ボッ クスの左側の [Project Settings] の下には、 次のカテゴ リが表示されます。

• [General]: プロジェク ト名を表示したり、 パーツを変更したり、 上位モジュールの名前を指定したり、 言語オ

プシ ョ ンを設定したりできます。詳細は、 『Vivado Design Suite ユーザー ガイ ド : システム レベル デザイン入力』

(UG895) [参照 15] のこのセクシ ョ ンを参照してください。

• [Simulation]: Vivado シ ミ ュレータまたはサポート されるサードパーティ シ ミ ュレータからターゲッ ト シ ミ ュ

レータを指定できます。 シ ミ ュレーシ ョ ン セッ ト、 シ ミ ュレーシ ョ ンの 上位モジュール名、 上位モジュー

ル (Design Under Test)、 およびタブに分類されたコンパイル、 エラボレーシ ョ ン、 シ ミ ュレーシ ョ ン、 ネッ ト リ

ス ト 、 アドバンス オプシ ョ ンを表示します。 詳細は、 『Vivado Design Suite ユーザー ガイ ド : ロジッ ク シ ミ ュ

レーシ ョ ン』 (UG900) [参照 9] のこのセクシ ョ ンを参照してください。

• [Elaboration]: エラボレート済みデザインで IP に [Netlist model] を読み込むか [Black box model (stub file)] を読み込

むかを指定できます。 ネッ ト リ ス ト モデルを選択する と、 IP に関する詳細がよ り多く表示されるよ うにな り、

IP の I/O プランニングを実行できるよ うになり ます。 ブラ ッ ク ボッ クスを選択した方が読み込み時間が短くな

り ます。 どちらのオプシ ョ ンでも、 まず IP を生成する必要があ り ますが、 ブラ ッ ク ボッ クスの場合は IP

チェッ クポイン トが読み込まれないので、 時間が短縮されます。 [Load constraints] をオンにする と、 デザインに

制約が含まれます。 Vivado IDE では、 IP に対して [Netlist model] を選択した場合、 これらの制約のみが表示され

ます。 詳細は、 『Vivado Design Suite ユーザー ガイ ド : システム レベル デザイン入力』 (UG895) [参照 15] のこの

セクシ ョ ンを参照してください。

• [Synthesis]: デフォルトの制約セッ トが表示されます。 また、 合成ス ト ラテジを選択したり、 合成コマンド ライ

ン オプシ ョ ンを設定するこ と もできます。 コマンド ライン オプシ ョ ンは選択した合成ス ト ラテジで定義されま

すが、 これは変更できます。 選択したコマンド ラ イン オプシ ョ ンの説明が、 ダイアログ ボッ クスの下部に表示

されます。 詳細は、 『Vivado Design Suite ユーザー ガイ ド : 合成』 (UG901) [参照 10] のこのセクシ ョ ンを参照して

ください。

• [Implementation]: デフォルトの制約セッ トが表示されます。 次回のインプリ メンテーシ ョ ンの基準と して配置配

線チェッ クポイン ト を指定するこ と もできます。 インプリ メンテーシ ョ ン ス ト ラテジを選択したり、

opt_design、 power_opt_design、 place_design、 phys_opt_design、 route_design などのコマン

ド ライン オプシ ョ ンを設定するこ と もできます。 コマンド ラ イン オプシ ョ ンは選択したインプ リ メンテー

シ ョ ン ス ト ラテジで定義されますが、 変更するこ と もできます。 選択したコマンド ライン オプシ ョ ンの説明

が、 ダイアログ ボッ クスの下部に表示されます。 詳細は、 『Vivado Design Suite ユーザー ガイ ド : インプ リ メン

テーシ ョ ン』 (UG904) [参照 12] のこのセクシ ョ ンを参照してください。

• [Bitstream]: 使用するビッ ト ス ト リーム オプシ ョ ンを指定します。 選択したコマンド ラ イン オプシ ョ ンの説明

が、 ダイアログ ボッ クスの下部に表示されます。 詳細は、 『Vivado Design Suite ユーザー ガイ ド : プログラムお

よびデバッグ』 (UG908) [参照 14] のこのセクシ ョ ンを参照してください。

注記: デザインが読み込まれたら、 [Tools] → [Edit Device Properties] をク リ ッ クする と、 その他のビッ ト ス ト リー

ム設定が表示されます。 詳細は、 「デバイス プロパティの編集」 を参照して ください。

• [IP]: すべてのユーザー指定リポジ ト リが表示され、 その他のディ レク ト リ を指定できます。 Vivado IP パッケー

ジャーに対してデフォルトの値を指定するこ と もできます。 詳細は、 『Vivado Design Suite ユーザー ガイ ド : IP を

使用した設計』 (UG896) [参照 7] のこのセクシ ョ ンを参照してください。

Vivado IDE の使用 24UG893 (v2019.2) 2019 年 10 月 30 日 japan.xilinx.com

Page 25: Vivado Design Suite ユーザー ガイド - Xilinx...Vivado Design Suite ユーザー ガイド Vivado IDE の使用 UG893 (v2019.2) 2019 年 10 月 30 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

第 2 章: 表示環境の使用

言語テンプレートの使用

言語テンプレート (図 2-6) は、 合成、 制約、 デバッグで使用するためのさまざまな構文を提供します。 使用可能な

ファイルを参照して、 それを選択してプレビューできます。 ファ イルを選択する際には、 Vivado IDE のテキス ト エ

ディ ターの [Insert Template] ポップアップ メニュー コマンドを使用できます (第 3 章の 「テキス ト エディ ター」 を参

照)。 言語テンプレート を表示するには、 メ イン メニューの [Tools] → [Language Templates] をク リ ッ クするか、 Flow

Navigator の [Project Manager] セクシ ョ ンから [Language Templates] をク リ ッ クするか、 テキス ト エディ ターのツール

バーの [Language Templates] ボタン をク リ ッ ク します。

X-Ref Target - Figure 2-6

図 2-6: 言語テンプレート

Vivado IDE の使用 25UG893 (v2019.2) 2019 年 10 月 30 日 japan.xilinx.com

Page 26: Vivado Design Suite ユーザー ガイド - Xilinx...Vivado Design Suite ユーザー ガイド Vivado IDE の使用 UG893 (v2019.2) 2019 年 10 月 30 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

第 2 章: 表示環境の使用

言語テンプレートのツールバー コマンド

ローカル ツールバーには、 次のコマンドが含まれます。

• [Search]: [Search] フ ィールドを表示し、 言語テンプレートのオブジェク ト を検索できるよ うにします。

注記: このコマンドは、 Alt + / キーボード シ ョート カッ トでも実行できます。

• [Collapse All]: ウ ィンド ウの階層ツ リーをすべて閉じ、 上位オブジェク トのみを表示します。

• [Expand All]: 階層ツ リーをすべて展開表示し、 すべてのエレ メン ト を表示します。

• [Sort Alphabetically]: ファ イル ツ リーをアルファベッ ト順に並べ替えます。

RTL 解析、 合成、 インプリ メンテーシ ョ ン、 ビッ トスト リーム生成

run コマンドは、 Vivado IDE の複数のエリ アから実行できます。

• Flow Navigator

• [Flow] メニュー

• メ イン ツールバー

• [Design Runs] ウ ィンド ウ

Vivado IDE では、 デザインのどのプロセスも 1 ク リ ッ クで実行できるよ うになっています。 たとえば、 RTL 解析の

エラボレート済みデザインを確認するには、 Flow Navigator または [Flow] メニューから [Open Elaborated Design] をク

リ ッ ク します。 デザインがデフォルトのレイアウ トで表示されます。

デザイン全体のフローを実行してビッ ト ス ト リーム ファ イルを生成するには、Flow Navigator または [Flow] メニュー

から [Generate Bitstream] をク リ ッ ク します。 合成およびインプリ メンテーシ ョ ンが必要であれば実行され、 ビッ ト ス

ト リーム ファ イルが作成されます。 デザインのステートは Vivado IDE で管理されるので、 必要なインプリ メンテー

シ ョ ン段階のみが実行されます。 たとえば、 インプリ メンテーシ ョ ン用の制約を変更しても合成は無効にはなり ま

せん。

詳細は、 次の資料を参照してください。

• 『Vivado Design Suite ユーザー ガイ ド : デザイン フローの概要』 (UG892) [参照 1]

• 『Vivado Design Suite ユーザー ガイ ド : システム レベル デザイン入力』 (UG895) [参照 15]

• 『Vivado Design Suite ユーザー ガイ ド : 合成』 (UG901) [参照 10]

• 『Vivado Design Suite ユーザー ガイ ド : インプリ メンテーシ ョ ン』 (UG904) [参照 12]

Vivado IDE の使用 26UG893 (v2019.2) 2019 年 10 月 30 日 japan.xilinx.com

Page 27: Vivado Design Suite ユーザー ガイド - Xilinx...Vivado Design Suite ユーザー ガイド Vivado IDE の使用 UG893 (v2019.2) 2019 年 10 月 30 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

第 2 章: 表示環境の使用

デザインを開く

Flow Navigator または [Flow] メニューから次のコマンドをク リ ッ ク します。

• [Open Elaborated Design]

• [Open Synthesized Design]

• [Open Implemented Design]

ヒン ト : [Design Runs] ウ ィンド ウで、 デザイン run を右ク リ ッ ク して [Open Run] をク リ ッ ク してもデザインを開く こ

とができます。

[Flow] → [Open Implemented Design] をク リ ッ クする と、 Vivado IDE の表示は図 2-7 のよ うにな り ます。

注記: インプ リ メン ト済みデザインを開く と、 インプリ メンテーシ ョ ン run で作成されたタイ ミ ング サマリおよび消

費電力レポートが表示されます。 これらのレポートは変更できません。 デザインを変更または制約をアップデート

した場合や、 レポート設定を変更する場合は、 [Reports] → [Timing] → [Report Timing Summary] または [Reports] →

[Report Power] をク リ ッ ク して新しいレポート を作成します。 既存のレポート を開くには、 [Reports] → [Open

Interactive Report] をク リ ッ クするか、 Tcl コマンド open_report を使用します。

X-Ref Target - Figure 2-7

図 2-7: インプリ メン ト済みデザイン

Vivado IDE の使用 27UG893 (v2019.2) 2019 年 10 月 30 日 japan.xilinx.com

Page 28: Vivado Design Suite ユーザー ガイド - Xilinx...Vivado Design Suite ユーザー ガイド Vivado IDE の使用 UG893 (v2019.2) 2019 年 10 月 30 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

第 2 章: 表示環境の使用

プロジェク ト を読み込んだと き、 デザインを開いたと き、 run を作成または開始したと きになどにク リ ティカル警告

およびエラーがある場合はダイアログ ボッ クス (図 2-8) に表示され、 問題が発生したこ とに確実に気づく こ とがで

きます。 これらのメ ッセージは、 [Messages] ウ ィンド ウにも表示されます。

詳細は、 次の資料を参照してください。

• 『Vivado Design Suite ユーザー ガイ ド : デザイン フローの概要』 (UG892) [参照 1]

• 『Vivado Design Suite ユーザー ガイ ド : デザイン解析およびクロージャ テクニッ ク』 (UG906) [参照 13]

X-Ref Target - Figure 2-8

図 2-8: クリテ ィ カル メ ッセージ

Vivado IDE の使用 28UG893 (v2019.2) 2019 年 10 月 30 日 japan.xilinx.com

Page 29: Vivado Design Suite ユーザー ガイド - Xilinx...Vivado Design Suite ユーザー ガイド Vivado IDE の使用 UG893 (v2019.2) 2019 年 10 月 30 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

第 2 章: 表示環境の使用

デザインおよびデザイン オブジェク トの検索

デザインを読み込むと、 [Edit] → [Find] または Ctrl + F キーボード シ ョート カッ ト を使用してデザイン オブジェク ト

やデバイス オブジェク ト を検索できます。 [Find] ダイアログ ボッ クス (図 2-9) では、次のオプシ ョ ンを使用してデー

タをフ ィルターする Tcl プロパティを指定できます。 [OK] をク リ ッ クする と、 Tcl コマンドが実行され、 [Find

Results] ウ ィンド ウに結果が表示されます。

• [Results name]: 検索されたオブジェク ト を表示する [Find Results] ウ ィンド ウに付ける名前を指定します。

• [Find]: 検索するオブジェク トのタイプを選択します。

• [Properties]: デザインまたはデバイス オブジェク トの検索に使用される Tcl プロパティを指定します。 ボタ

ンをク リ ッ ク してプロパティを追加し、 ボタンをク リ ッ ク してプロパティを削除します。

• [Regular expression]: 指定した検索文字列を正規表現構文と して一致する文字列パターンを検索します。

• [Ignore case]: 文字列の大文字/小文字を区別せずに指定した正規表現文字列を検索します。

• [Search hierarchically]: デザイン階層全体が検索されます。

• [Of Objects]: 検索の対象となるオブジェク ト を指定します。 [Of objects] フ ィールドの右側にあるボタンをク リ ッ

クする と、 新しいダイアログ ボッ クスが開き、 検索の対象となるオブジェク ト を指定できます。

• [Command]: 検索の実行に使用される Tcl コマンドを表示します。

• [Open in a new tab]: [Find Results] ウ ィンド ウに表示されている前の結果を置き換えるのではなく、 新しいビュー

に結果を表示します。

X-Ref Target - Figure 2-9

図 2-9: [Find] ダイアログ ボックス

Vivado IDE の使用 29UG893 (v2019.2) 2019 年 10 月 30 日 japan.xilinx.com

Page 30: Vivado Design Suite ユーザー ガイド - Xilinx...Vivado Design Suite ユーザー ガイド Vivado IDE の使用 UG893 (v2019.2) 2019 年 10 月 30 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

第 2 章: 表示環境の使用

未配置 BUFG の検索

未配置の BUFG を検索するには、 図 2-10 のよ うに [Find] ダイアログ ボッ クスを設定します。

未配置 BUFG を検索する Tcl コマンド例

show_objects -name unplaced_BUFGs [get_cells -hierarchical -filter { PRIMITIVE_TYPE == CLK.gclk.BUFG && STATUS == "UNPLACED" }]

注記: get_* Tcl コマンドから返される結果は、 デフォルトでは [Tcl Console] ウ ィンド ウ と ログ ファ イルで 初の

500 個以降は切り捨てられます。 デフォルト設定を変更する方法も含めた詳細は、 『Vivado Design Suite Tcl コマンド

リ ファレンス ガイ ド』 (UG835) [参照 4] を参照してください。

X-Ref Target - Figure 2-10

図 2-10: 未配置 BUFG の検索

Vivado IDE の使用 30UG893 (v2019.2) 2019 年 10 月 30 日 japan.xilinx.com

Page 31: Vivado Design Suite ユーザー ガイド - Xilinx...Vivado Design Suite ユーザー ガイド Vivado IDE の使用 UG893 (v2019.2) 2019 年 10 月 30 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

第 2 章: 表示環境の使用

ロードのないリージ ョナル クロックの検索

ロードのないリージ ョナル ク ロ ッ クを検索するには、 図 2-11 のよ うに [Find] ダイアログ ボッ クスを設定します。

ロードのないリージ ョナル クロックを検索する Tcl コマンドの例

show_objects -name regionalClocks_noLoads [get_nets -hierarchical -filter { TYPE == "REGIONAL_CLOCK" && ROUTE_STATUS =~ "NOLOADS" }]

X-Ref Target - Figure 2-11

図 2-11: ロードのないリージ ョナル クロックの検索

Vivado IDE の使用 31UG893 (v2019.2) 2019 年 10 月 30 日 japan.xilinx.com

Page 32: Vivado Design Suite ユーザー ガイド - Xilinx...Vivado Design Suite ユーザー ガイド Vivado IDE の使用 UG893 (v2019.2) 2019 年 10 月 30 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

第 2 章: 表示環境の使用

配置済み RAMB36 セルの検索

ブロ ッ ク RAM セルを含む RAMB36 サイ ト を検索するには、 図 2-12 に示すよ うに [Find] ダイアログ ボッ クスを設定

します。

配置済み RAMB36 セルを検索する Tcl コマンドの例

show_objects -name placedBlockRAMB36 [get_sites -filter { SITE_TYPE =~ "RAMB36*" && IS_USED == "TRUE" }]

X-Ref Target - Figure 2-12

図 2-12: 配置済み RAMB36 セルの検索

Vivado IDE の使用 32UG893 (v2019.2) 2019 年 10 月 30 日 japan.xilinx.com

Page 33: Vivado Design Suite ユーザー ガイド - Xilinx...Vivado Design Suite ユーザー ガイド Vivado IDE の使用 UG893 (v2019.2) 2019 年 10 月 30 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

第 2 章: 表示環境の使用

オブジェク トの検索

[Find] ダイアログ ボッ クスで [Of Objects] ボタンをク リ ッ クする と、 [Of Objects] ダイアログ ボッ クスが開き、検索の

対象となるオブジェク ト を指定できます。 たとえば図 2-13 では、 [Of Objects] ダイアログ ボッ クスで検索の対象とな

るスライスを指定しています。

X-Ref Target - Figure 2-13

図 2-13: オブジェク トの検索

Vivado IDE の使用 33UG893 (v2019.2) 2019 年 10 月 30 日 japan.xilinx.com

Page 34: Vivado Design Suite ユーザー ガイド - Xilinx...Vivado Design Suite ユーザー ガイド Vivado IDE の使用 UG893 (v2019.2) 2019 年 10 月 30 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

第 2 章: 表示環境の使用

スライスを指定した後、 図 2-14 に示すよ うに、 そのスライスに含まれる使用されている BEL を検索できます。

オブジェク ト を検索する Tcl コマンド

show_objects -name BELs_Slice_X7Y120 [get_bels -filter { IS_USED == "TRUE" } -of_objects [get_sites -filter { NAME =~ "SLICE_X7Y120" } ]]

X-Ref Target - Figure 2-14

図 2-14: 特定スライス内に使用される BEL の検索

Vivado IDE の使用 34UG893 (v2019.2) 2019 年 10 月 30 日 japan.xilinx.com

Page 35: Vivado Design Suite ユーザー ガイド - Xilinx...Vivado Design Suite ユーザー ガイド Vivado IDE の使用 UG893 (v2019.2) 2019 年 10 月 30 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

第 2 章: 表示環境の使用

ブラック ボックス セルの検索

ブラ ッ ク ボッ クス セルを検索するには、 図 2-15 のよ うに [Find] ダイアログ ボッ クスを設定します。

ブラック ボックス セルを検索する Tcl コマンド

show_objects -name find_1 [get_cells -hierarchical -filter { IS_BLACKBOX == "TRUE" }]

X-Ref Target - Figure 2-15

図 2-15: ブラック ボックス セルの検索

Vivado IDE の使用 35UG893 (v2019.2) 2019 年 10 月 30 日 japan.xilinx.com

Page 36: Vivado Design Suite ユーザー ガイド - Xilinx...Vivado Design Suite ユーザー ガイド Vivado IDE の使用 UG893 (v2019.2) 2019 年 10 月 30 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

第 2 章: 表示環境の使用

プロパティの編集

ファ イル、 セル、 デザイン、 I/O などのオブジェク ト プロパティは編集できます。 プログラムおよびコンフ ィギュ

レーシ ョ ン プロパティなどのデバイス プロパティを編集するには、 [Edit Device Properties] ダイアログ ボッ クスを使

用します。 複数オブジェク トのプロパティをアップデートするには、 [Property Editor] ウ ィンド ウを使用します。

ヒン ト : 1 つのオブジェク トのプロパティを編集するには、 第 3 章の 「[Properties] ウ ィンド ウ」 に示すよ うに

[Properties] ウ ィンド ウを使用して ください。

デバイス プロパティの編集

デザインを読み込むと、 [Tools] → [Edit Device Properties] をク リ ッ ク して、 プログラムおよびコンフ ィギュレーシ ョ

ン プロパティを編集できます。 [Edit Device Properties] ダイアログ ボッ クス (図 2-16) でプロパティ値の上にカーソル

を置く と、 関連する制約のプロパティ名が表示されます。 たとえば、 [Enable Bitstream Compression] プロパティに関

連付けられている制約は BITSTREAM.GENERAL.COMPRESS です。 各プロパティの詳細は、 『Vivado Design Suite

ユーザー ガイ ド : プログラムおよびデバッグ』 (UG908) [参照 14] のこのセクシ ョ ンを参照してください。 デバイス コ

ンフ ィギュレーシ ョ ン モードの設定については、 『Vivado Design Suite ユーザー ガイ ド : I/O およびクロ ッ クのプラン

ニング』 (UG899) [参照 17] のこのセクシ ョ ンを参照してください。

重要: プロパティを編集する と、 制約はメモ リ内に含まれます。 プロパティをターゲッ ト制約ファイルに記述するに

は、 [File] → [Constraints] → [Save] をク リ ッ ク します。

X-Ref Target - Figure 2-16

図 2-16: [Edit Device Properties] ダイアログ ボックス

Vivado IDE の使用 36UG893 (v2019.2) 2019 年 10 月 30 日 japan.xilinx.com

Page 37: Vivado Design Suite ユーザー ガイド - Xilinx...Vivado Design Suite ユーザー ガイド Vivado IDE の使用 UG893 (v2019.2) 2019 年 10 月 30 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

第 2 章: 表示環境の使用

ビッ トス ト リーム圧縮をイネーブルにする Tcl コマンド

set_property BITSTREAM.GENERAL.COMPRESS TRUE [get_designs netlist_1]

複数オブジェク トのプロパティの編集

ワークスペースで複数オブジェク ト を選択し、 [Tools] → [Property Editor] をク リ ッ クする と [Property Editor] ウ ィンド

ウ (図 2-17) が開いて、 選択したオブジェク トのプロパティを編集できます。

[Property Editor] ウ ィンド ウでは、 次を実行できます。

• [Property Editor] ウ ィンド ウの表示を調整するには、 [Settings] ツールバー ボタン をク リ ッ ク します。 ヘッ

ダー、 オブジェク ト タイプ、 プロパティの表示を制御するオプシ ョ ンを編集します (図 2-18)。

• 表示されたデータをフ ィルターするには、 [Property Options] パネルで項目を右ク リ ッ ク してフ ィルター コマン

ドをク リ ッ ク します。 たとえば [Show Columns with Differences] をク リ ッ クする と、 すべてのデータが同じ列は

表示されなくな り ます。

• 複数オブジェク トのプロパティ値を変更するには、 リ ス トの 1 番上または 1 番下のセルの値を変更し、 Ctrl また

は Shift キーを押しながら変更したセルと変更するセルを選択して、 [Fill up] または [Fill down] ツール

バー ボタンをク リ ッ ク します。

• [Property Editor] ウ ィンド ウにさ らにオブジェク ト を追加するには、 ワークスペースからオブジェク ト を ド ラ ッ

グし、 [Property Editor] ウ ィンド ウにド ロ ップします。 または、 オブジェク ト を選択し、 ツールバーの [Add

Selected Objects] ボタン をク リ ッ ク します。

ヒン ト : 編集可能なテキス ト文字列は、 鉛筆アイコン で表示されます。

X-Ref Target - Figure 2-17

図 2-17: [Property Editor] ウィンドウ

Vivado IDE の使用 37UG893 (v2019.2) 2019 年 10 月 30 日 japan.xilinx.com

Page 39: Vivado Design Suite ユーザー ガイド - Xilinx...Vivado Design Suite ユーザー ガイド Vivado IDE の使用 UG893 (v2019.2) 2019 年 10 月 30 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

第 2 章: 表示環境の使用

[Property Editor] ウィンドウのツールバー コマンド

ローカル ツールバーには、 次のコマンドが含まれます。

• [Fill Up]: 変更した値を選択したセルの上にあるすべてのセルに適用します。

• [Fill Down]: 変更した値を選択したセルの下にあるすべてのセルに適用します。

• [Add Selected Objects]: 選択したオブジェク ト を リ ス トに追加します。

• [Remove Selected Objects]: リ ス トから選択したオブジェク ト を削除します。

• [Settings]: 情報の表示にかかわる次の設定を制御制御します。

° [Options]:

- [Group Header]: MEM プロパティなどの関連プロパティのヘッダーを分類します (図 2-19)。

- [Autoscroll to Selected]: [Property Editor] ウ ィンド ウのオブジェク ト リ ス ト をスク ロールして、 [Sources]

ウ ィンド ウや [Netlist] ウ ィンド ウなどのほかのウ ィンド ウで選択されたオブジェク トが表示されるよ う

にします。

° [Types]: プロパティ タイプ別に表示または非表示を指定できます。

° [Properties]: プロパティ列別の表示または非表示を指定できます。

X-Ref Target - Figure 2-19

図 2-19: [Group Header] オプシ ョ ンをオンにした [Property Editor] ウィンドウ

Vivado IDE の使用 39UG893 (v2019.2) 2019 年 10 月 30 日 japan.xilinx.com

Page 40: Vivado Design Suite ユーザー ガイド - Xilinx...Vivado Design Suite ユーザー ガイド Vivado IDE の使用 UG893 (v2019.2) 2019 年 10 月 30 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

第 3 章

ウィンドウの使用

概要

この章では、 Vivado® IDE のすべてのウ ィンド ウに関する一般的な情報を示します。 ウ ィンド ウのサイズや位置を制

御する方法や、 特定のウ ィンド ウにのみ含まれる次のよ うな機能について説明します。

• 大きなデータ セッ トの分類およびフ ィルター

• プロジェク トへのファイルのインポート またはアップデート

• ファ イル プロパティの表示

• デザイン階層の視覚化

• ポート インターフェイスの作成

• 選択されているオブジェク トの特定

注記: これらの機能の詳細は、『Vivado Design Suite ユーザー ガイ ド : デザイン フローの概要』 (UG892) [参照 1] および

『Vivado Design Suite ユーザー ガイ ド : デザイン解析およびクロージャ テクニッ ク』 (UG906) [参照 13] を参照してくだ

さい。

Vivado IDE の使用 40UG893 (v2019.2) 2019 年 10 月 30 日 japan.xilinx.com

Page 41: Vivado Design Suite ユーザー ガイド - Xilinx...Vivado Design Suite ユーザー ガイド Vivado IDE の使用 UG893 (v2019.2) 2019 年 10 月 30 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

第 3 章: ウィンドウの使用

ウィンドウの操作

図 3-1 に、 ウ ィンド ウの構成要素を示します。

1. タイ トル バー

2. ウ ィンド ウ タブ

3. ローカル ツールバー

4. ウ ィンド ウ ビュー

ウィンドウ タブ

各ウ ィンド ウにはタブがあり、 タブをク リ ッ クする とそのウ ィンドウがアクティブになり ます。 [Log] ウ ィンド ウ、

[Tcl Console] ウ ィンドウ、 および [Messages] ウ ィンド ウなどのほとんどウ ィンド ウでは、 タブは上部に表示されます。

ヒン ト : ワークスペース内で次のタブを選択するには、 Ctrl + Tab キーを押します。 ワークスペース内で前のタブを選

択するには、 Ctrl + Shift + Tab キーを押します。 ウ ィンド ウを 大化または 小化するには、 ウ ィンド ウのタブをダ

ブルク リ ッ クするか、 Alt + - キーを押します。

X-Ref Target - Figure 3-1

図 3-1: ウィンドウの部分

X13266-041017

1

4

2

3

Vivado IDE の使用 41UG893 (v2019.2) 2019 年 10 月 30 日 japan.xilinx.com

Page 42: Vivado Design Suite ユーザー ガイド - Xilinx...Vivado Design Suite ユーザー ガイド Vivado IDE の使用 UG893 (v2019.2) 2019 年 10 月 30 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

第 3 章: ウィンドウの使用

ウィンドウ ビュー

ウ ィンド ウの中には、 同じデータを別のビューで表示するものもあ り ます。 たとえば、 [Log] ウ ィンド ウには

[Synthesis]、 [Implementation]、 [Simulation] などのビューが含まれます (図 3-2)。

ヒン ト : ビューの数が使用できるスペースよ り も多い場合は、 ウ ィンド ウの右側にある左矢印と右矢印を使用してタ

ブをスクロールできます。 タブの上にカーソルを置いて、 マウスのスクロール ホイールを使用してもタブをスク

ロールできます。

ウィンドウの操作ボタン

各ウ ィンド ウには、 ウ ィンド ウを操作するボタンが含まれます (図 3-3)。

1. ク イ ッ ク ヘルプ

2. 小化

3. 大化

4. フロート /固定

5. 閉じる

ウ ィンド ウは、 次のセクシ ョ ンで説明される手順で移動、 サイズ変更、 フロート、 閉じるこ とができます。

X-Ref Target - Figure 3-2

図 3-2: 複数ビューを含む [Log] ウィンドウ

X-Ref Target - Figure 3-3

図 3-3: ウィンドウの操作ボタン

X13265

1

2

3

4

5

Vivado IDE の使用 42UG893 (v2019.2) 2019 年 10 月 30 日 japan.xilinx.com

Page 43: Vivado Design Suite ユーザー ガイド - Xilinx...Vivado Design Suite ユーザー ガイド Vivado IDE の使用 UG893 (v2019.2) 2019 年 10 月 30 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

第 3 章: ウィンドウの使用

ヒン ト : ウ ィンド ウを操作しやすいよ うに調整した後で、 今後も使用できるよ うにレイアウ ト を保存できます。 詳細

は、 第 4 章の 「カスタム レイアウ トの設定」 を参照して ください。

ウィンドウの移動

1. ウ ィンド ウのタブまたはタイ トル バーを選択し、 ド ラ ッグします。

グレーのアウ ト ラインでウ ィンド ウがどこに移動されるかが示されます。

2. マウス ボタンを放してウ ィンド ウを配置します。

注記: 既存のウ ィンド ウの上に別のウ ィンド ウをド ロ ップする と、 同じ場所に 2 つのウ ィンド ウ タブが表示され

ます。

重要: ウ ィンド ウをワークスペース外に移動するこ とはできませんが、 ワークスペース内でサイズを変更したり、 移

動したりするこ とはできます。 詳細は、 「ワークスペース」 を参照してください。

ウィンドウのサイズ変更

ウ ィンド ウのサイズを変更するには、 次を実行します。

• ウ ィンド ウの境界をク リ ッ ク してド ラ ッグします。

注記: ウ ィンド ウの枠にカーソル置く と、 カーソルが矢印から ド ラ ッグ用マークに変わるので、 ド ラ ッグしてサ

イズを変更します。

• ウ ィンド ウを 大化するには、 右上の [Maximize] ボタンをク リ ッ ク します。

注記: Flow Navigator 以外のウ ィンド ウが 小化され、 選択したウ ィンド ウが使用可能なエリ ア全体に拡大され

ます。

• ウ ィンド ウを元の大きさに戻すには、 右上の [Restore] ボタンをク リ ッ ク します。

ヒン ト : ウ ィンド ウを 大化または元に戻すには、 ウ ィンド ウのタブかタイ トルバーをダブルク リ ッ クするか、 Alt +

- キーを押します。

ウィンドウのフロート

ウ ィンド ウは、 ワークスペース内のウ ィンド ウも含め、 メ イン ウ ィンド ウから切り離してフロート させるこ とがで

きます。 フロート させたウ ィンド ウは、 個別に移動したり、 サイズを変更したりできます。

ウ ィンド ウをフロート表示するには、 次のいずれかを実行します。

• ウ ィンド ウの右上の [Float] ボタンをク リ ッ ク します。

• ウ ィンド ウのタブまたはタイ トル バーを右ク リ ッ ク し、 [Float] をク リ ッ ク します。

注記: ウ ィンド ウが重なっている場合、 フロート ウ ィンド ウのタイ トル バーをド ラ ッグして移動できます。 フロー

ト ウ ィンド ウを移動して別のモニターに表示させるこ と もできます。

Vivado IDE の使用 43UG893 (v2019.2) 2019 年 10 月 30 日 japan.xilinx.com

Page 44: Vivado Design Suite ユーザー ガイド - Xilinx...Vivado Design Suite ユーザー ガイド Vivado IDE の使用 UG893 (v2019.2) 2019 年 10 月 30 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

第 3 章: ウィンドウの使用

ウィンドウを閉じる

ウ ィンド ウを閉じるには、 次のいずれかを実行します。

• ウ ィンド ウの右上の [Close] ボタンをク リ ッ ク します。

注記: このボタンがウ ィンド ウ タブに表示されるこ と もあ り ます。

• ウ ィンド ウのタブまたはタイ トル バーを右ク リ ッ ク し、 [Close] をク リ ッ ク します。

ヒン ト : Esc キーを押してもウ ィンド ウを閉じるこ とができます。

データ表ウィンドウの使用

Vivado IDE には、 データが表形式で表示されるウ ィンド ウがあ り ます (図 3-4)。 これらのウ ィンド ウには、 次のセク

シ ョ ンで説明する共通の特徴および機能があ り ます。

表の展開/非展開

表を展開/非展開するには、 次の手順に従います。

• 展開 および非展開 ボタンをク リ ッ ク して、 ツ リーの該当する部分を展開または非展開にします。

• ツールバーの [Expand All] および [Collapse All] ボタンをク リ ッ ク して、 すべてのツ リーを展開または

非展開にします。

X-Ref Target - Figure 3-4

図 3-4: データ表ウィンドウ

Vivado IDE の使用 44UG893 (v2019.2) 2019 年 10 月 30 日 japan.xilinx.com

Page 45: Vivado Design Suite ユーザー ガイド - Xilinx...Vivado Design Suite ユーザー ガイド Vivado IDE の使用 UG893 (v2019.2) 2019 年 10 月 30 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

第 3 章: ウィンドウの使用

表データのフ ィルター

指定した値のみを表示するには、 次の方法で表データをフ ィルターします。

1. 列見出しを右ク リ ッ ク し、 [Enable Column Filtering] をク リ ッ ク します。

2. フ ィルターする列にマウスを置く と、 図 3-5 に示すよ うに列フ ィルターのアイコンが表示されるので、 それを

ク リ ッ ク します。

3. 図 3-6 に示すよ うに、 表示する値を選択します。

フ ィルターした各列の見出しにフ ィルターされているこ とを示すアイコンが表示され、 表には選択した値を含む

データ行のみが表示されます。

ヒン ト : フ ィルターを解除するには、 列フ ィルターのアイコンを右ク リ ッ ク し、 [(All)] をク リ ッ ク します。 フ ィル

ター機能をオンにしたまますべてのフ ィルターを解除するには、 [Clear All Column Filters] をク リ ッ ク します。

X-Ref Target - Figure 3-5

図 3-5: 列フ ィルターのアイコン

X-Ref Target - Figure 3-6

図 3-6: 列の値を表示するドロップダウン

Vivado IDE の使用 45UG893 (v2019.2) 2019 年 10 月 30 日 japan.xilinx.com

Page 46: Vivado Design Suite ユーザー ガイド - Xilinx...Vivado Design Suite ユーザー ガイド Vivado IDE の使用 UG893 (v2019.2) 2019 年 10 月 30 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

第 3 章: ウィンドウの使用

エン ト リのグループ化またはフラッ ト リス ト表示

ローカル ツールバーで [Group by Type] ボタンをク リ ッ クする と、 エン ト リ をタイプごとに表示するか、 フラ ッ ト な

1 つのリ ス トで表示するかを切り替えるこ とができます。 たとえば [I/O Ports] ウ ィンド ウでは、 インターフェイスお

よびバスごとの表示とフラ ッ ト リ ス ト表示を切り替えるこ とができます (図 3-7)。

検索機能を使用したリストのフ ィルター

推奨: 前のセクシ ョ ンで説明したよ うに リ ス ト をフラ ッ ト表示にしてから、 検索およびフ ィルター処理を実行して く

ださい。

1. ローカル ツールバーで [Search] ボタン をク リ ッ ク し、 ウ ィンド ウ バナーに検索フ ィールドを表示します。

注記: このコマンドは、 Alt + / キーでも実行できます。

2. オプシ ョ ンで、 検索フ ィールドの左側のド ロ ップダウン リ ス トから、 検索する列などの検索条件を指定します

(図 3-8)。

3. 文字列を入力し、 表に表示される リ ス ト をフ ィルターします。

文字列を入力する と、 検索条件に一致するエン ト リのみが表示されます。 [Search] ボタンをも う一度ク リ ッ クす

る と、 [Search] フ ィールドが表示されなくな り、 リ ス トにすべてのエン ト リが表示されます。

X-Ref Target - Figure 3-7

図 3-7: [Group by Type] ボタン

X-Ref Target - Figure 3-8

図 3-8: 検索フ ィールドのドロップダウン リス ト

Vivado IDE の使用 46UG893 (v2019.2) 2019 年 10 月 30 日 japan.xilinx.com

Page 47: Vivado Design Suite ユーザー ガイド - Xilinx...Vivado Design Suite ユーザー ガイド Vivado IDE の使用 UG893 (v2019.2) 2019 年 10 月 30 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

第 3 章: ウィンドウの使用

列の並べ替え

列ヘッダーをク リ ッ クする と、 データをその列の昇順または降順に並べ替えるこ とができます。 列ヘッダーには、

図 3-9 に示すよ うに、 どの列を基準に並べ替えられているか、 昇順か降順かが示されます。

列を基準に並べ替えるには、 次を実行します。

• 列ヘッダーをク リ ッ クする と、 データがその列の昇順に並べ替えられます。

• 列ヘッダーをも う一度ク リ ッ クする と、 降順にデータが並べ替えられます。

• 1 つの列で並べ替えた後、 2 番目の列でさらに並べ替えるには、 Ctrl キーを押しながら 2 番目の列ヘッダーをク

リ ッ ク します。

注記: 図 3-9 では、 [Direction] 列で並べ替えた後 [Name] 列で並べ替えています。

• 列の並べ替え条件を解除するには、 Ctrl キーを押しながらその列ヘッダーをク リ ッ ク します。

列の整列

列は、 次のよ うに整列できます。

• 列を移動するには、 列をク リ ッ ク し、 新しい場所にド ラ ッグします。

• 列を非表示にするには、 列ヘッダーを右ク リ ッ ク して [Hide This Column] をク リ ッ ク します。

• 表示されたデータに合わせて列の幅を調整するには、 列ヘッダーを右ク リ ッ ク し、 [Auto Resize Column] をク

リ ッ ク します。

• 表をデフォルト設定に戻すには、 列ヘッダーを右ク リ ッ ク し、 [Reset to Default] をク リ ッ ク します。

X-Ref Target - Figure 3-9

図 3-9: 並べ替え順を示す矢印

• • • • • • •• • • • • •

Vivado IDE の使用 47UG893 (v2019.2) 2019 年 10 月 30 日 japan.xilinx.com

Page 48: Vivado Design Suite ユーザー ガイド - Xilinx...Vivado Design Suite ユーザー ガイド Vivado IDE の使用 UG893 (v2019.2) 2019 年 10 月 30 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

第 3 章: ウィンドウの使用

ウィンドウ別ツールバー コマンド

ほとんどのウ ィンド ウには、 ウ ィンド ウ特有のよ く使用されるコマンドを実行するためのローカル ツールバーが含

まれます (図 3-10)。 ツールバーのボタンには、 ファ イル、 サイ ト、 ポート、 インスタンス、 セルなどの特定のオブ

ジェク ト を選択した場合にのみ使用できるよ うになるものもあ り ます。 ツールバー コマンドの詳細は、 次の各ウ ィ

ンド ウのセクシ ョ ンで説明します。

プロジェク ト サマリの使用

Vivado IDE には、対話型のプロジェク ト サマリ (図 3-11) が含まれ、デザイン コマンドが実行され、デザイン プロセ

スが実行される と随時アップデート されます。 プロジェク ト サマリには、 [Overview] タブとユーザーがカスタマイ

ズ可能な [Dashboard] タブがあ り ます。 これらのタブについては、 次のセクシ ョ ンで説明します。

プロジェク ト サマリ を開くには、 次のいずれかを実行します。

• [Window] → [Project Summary] をク リ ッ ク します。

• ツールバーの [Project Summary] ボタン をク リ ッ ク します。

注記: プロジェク ト サマリの [Overview] タブはデフォルトで表示されます。

プロジェク ト サマリの [Overview] タブ

[Overview] タブ (図 3-11) には、 プロジェク ト パーツ、 ボード、 合成およびインプリ メンテーシ ョ ンの状態などのプ

ロジェク トおよびデザイン情報が含まれます。 また、 メ ッセージ、 レポート などを示すウ ィンド ウや [Settings] ダイ

アログ ボッ クスへのリ ンクなど、 詳細な情報へのリ ンク も含まれます。 合成およびインプリ メンテーシ ョ ンが終了

する と、 DRC 違反、 タイ ミ ング値、 使用率、 消費電力見積も り も表示されます。

X-Ref Target - Figure 3-10

図 3-10: ローカル ツールバー

• • • • • • •• • • • • •

Vivado IDE の使用 48UG893 (v2019.2) 2019 年 10 月 30 日 japan.xilinx.com

Page 50: Vivado Design Suite ユーザー ガイド - Xilinx...Vivado Design Suite ユーザー ガイド Vivado IDE の使用 UG893 (v2019.2) 2019 年 10 月 30 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

第 3 章: ウィンドウの使用

プロジェク ト サマリの [Dashboard] タブ

プロジェク ト サマリの [Dashboard] タブ (図 3-12) は、 データを表示および解析するために次のよ うに設定できます。

• さまざまなデータを表形式またはグラフで表示

• 複数の run 間で値を比較

• 1 つまたは複数の run のさまざまなデータ ポイン ト を表示するガジェッ ト を作成

注記: ガジェッ トのデータは、 run に関連するレポートから収集されます。 ガジェッ ト を作成するには、 まず run

のレポート を設定する必要があ り ます。 レポートの詳細は、 「[Reports] ウ ィンド ウ」 を参照してください。

ヒン ト : リセッ ト されているレポート または run の一部でないレポートからのデータを要求する と、 ガジェッ トに情

報がないという メ ッセージが表示されます。 この問題を解決するには、 レポートがレポート ス ト ラテジに含まれて

いるこ とを確認し、 必要に応じてレポート タイプを追加、 ガジェッ トでレポート タイプを変更、 または run を実行

してレポート を生成します。

X-Ref Target - Figure 3-12

図 3-12: プロジェク ト サマリの [Dashboard] タブ

Vivado IDE の使用 50UG893 (v2019.2) 2019 年 10 月 30 日 japan.xilinx.com

Page 51: Vivado Design Suite ユーザー ガイド - Xilinx...Vivado Design Suite ユーザー ガイド Vivado IDE の使用 UG893 (v2019.2) 2019 年 10 月 30 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

第 3 章: ウィンドウの使用

ガジェ ッ トの使用

run のカスタマイズ データを表示するガジェッ ト を作成するには、 [Add Gadget] ボタン をク リ ッ ク して

[Configure Gadget] ダイアログ ボッ クス (図 3-13) を開きます。 [Configure Gadget] ダイアログ ボッ クスで次のオプシ ョ

ンを設定して [OK] をク リ ッ ク し、 [Dashboard] タブにガジェッ ト を追加します。

注記: [Configure Gadget] ダイアログ ボッ クスは、 ガジェッ ト ヘッダーの [Edit] ボタン をク リ ッ クしても開きます。

• [Name]: Tcl コマンドを実行する と きにガジェッ ト を指定するための名前を入力します。

• [Type]: ガジェッ ト データを生成するのに使用するレポートのタイプ ([Timing] など) を選択します。

• [Run Type]: 合成 run ([Synthesis]) またはインプリ メンテーシ ョ ン run ([Implementation]) を選択します。

• [Stages]: インプリ メンテーシ ョ ン run を解析する場合に、 インプ リ メンテーシ ョ ンの段階 ([Place] など) または

[All Stages] (すべての段階) を選択します。 合成 run を解析する場合は、 1 つの段階しかあ り ません。

• [View Type]: ガジェッ ト をグラフで表示するか表形式で表示するかを指定します。 ガジェッ ト を追加した後に表

示を変更するには、 ガジェッ ト ヘッダーの [Graph] ボタン および [Table] ボタン をク リ ッ ク します。

• [Orientation]: グラフの向きを縦 ([Vertical]) または横 ([Horizontal]) に設定します。

注記: 表形式を選択している場合はこのオプシ ョ ンは設定できません。

• [Reports]: ガジェッ トに関連データを表示する 1 つまたは複数の run からのレポート を選択します。

• [Statistics]: ガジェッ トに表示する統計を選択します。 選択可能な統計は、 選択したレポートによって異なり ます。

• [Hide Unused Data]: データを含まない統計エン ト リ を非表示にします。 すべての統計を表示するには、 このオプ

シ ョ ンをオフにします。

ガジェッ トのレイアウ ト をカスタマイズするには、 次の手順を実行します。

• ガジェッ ト を移動するには、 ガジェッ ト をク リ ッ ク して [Dashboard] タブの任意の位置にド ラ ッグします。

• 選択した列のすべてのガジェッ トの幅を変更するには、 ガジェッ トの端をク リ ッ ク してド ラ ッグします。

• [Dashboard] タブにデータを表示するにはガジェッ ト ヘッダーの [Maximize] ボタン 、 非表示にするには

[Collapse] ボタン をク リ ッ ク します。

Vivado IDE の使用 51UG893 (v2019.2) 2019 年 10 月 30 日 japan.xilinx.com

Page 52: Vivado Design Suite ユーザー ガイド - Xilinx...Vivado Design Suite ユーザー ガイド Vivado IDE の使用 UG893 (v2019.2) 2019 年 10 月 30 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

第 3 章: ウィンドウの使用

ガジェ ッ ト を追加する Tcl コマンド例

create_dashboard_gadget -name {gadget_1} -type timing

set_property view.type graph [get_dashboard_gadgets [list {gadget_1}]]

set_property reports {impl_1#impl_1_route_report_timing_summary_0} [get_dashboard_gadgets [list {gadget_1}]]

set_property active_reports {impl_1#impl_1_route_report_timing_summary_0} [get_dashboard_gadgets [list {gadget_1}]]

set_property run.step all_stages [get_dashboard_gadgets [list {gadget_1}]]

X-Ref Target - Figure 3-13

図 3-13: [Configure Gadget] ダイアログ ボックス

Vivado IDE の使用 52UG893 (v2019.2) 2019 年 10 月 30 日 japan.xilinx.com

Page 53: Vivado Design Suite ユーザー ガイド - Xilinx...Vivado Design Suite ユーザー ガイド Vivado IDE の使用 UG893 (v2019.2) 2019 年 10 月 30 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

第 3 章: ウィンドウの使用

[Sources] ウィンドウ

[Sources] ウ ィ ンド ウ (図 3-14) を使用する と、 プロジェク ト ソース ファ イルの追加、 削除、 並べ替えなど、 デザイ

ン要件に合わせてプロジェク ト ソース ファ イルを管理できます。 [Sources] ウ ィ ンド ウには、 次のソースが表示さ

れます。

• デザイン ソース

• 制約ファイル

• シ ミ ュレーシ ョ ン ソース

• IP コア

通常、 Vivado IDE でプロジェク ト を開く と [Sources] ウ ィンド ウが開きます。 [Sources] ウ ィンド ウを開くには、

[Window] → [Sources] をク リ ッ ク します。 [Sources] ウ ィンド ウには、 次のフォルダーが含まれます。

• [Design Sources]: Verilog、 VHDL、 NGC/NGO、 EDIF、 IP コア、 DSP (デジタル信号処理) モジュール、 XDC およ

び SDC 制約ファイルなどのソース ファ イル タイプが表示されます。

° [Syntax Error Files]: デザイン階層に影響する構文エラーを含むファイルが表示されます。

° [Non-Module Files]: 解析中に問題のあったファイルが表示されます。

° [Disabled Sources]: ディ スエーブルにになっているファ イルを表示します。

° [Text]: プロジェク トに含まれるテキス ト ファ イルが表示されます。

注記: Vivado Design Suite では、UltraScale™ デバイスに対して NGC フォーマッ トのファ イルはサポート されてい

ません。 Vivado Design Suite で IP を再生成し、 ネイティブ出力ファイルを使用するこ とをお勧めします。 NGC

ファ イルは 『ISE から Vivado Design Suite への移行ガイ ド』 (UG911) [参照 18] のこのセクシ ョ ンに示すよ うに

NGC2EDIF コマンドで EDIF に変換してインポートするこ と もできますが、 今後は XST で生成された NGC

フォーマッ トではなくネイティブ Vivado IP を使用するこ とをお勧めします。

• [Constraints]: 制約セッ トに割り当てられた制約ファイルが表示されます。 デザイン制約の詳細は、 『Vivado

Design Suite ユーザー ガイ ド : システム レベル デザイン入力』 (UG895) [参照 15] および 『Vivado Design Suite

ユーザー ガイ ド : 制約の使用』 (UG903) [参照 11] を参照してください。

• [Simulation Sources]: シ ミ ュレーシ ョ ンに使用されるソース ファ イルが表示されます。 シ ミ ュレーシ ョ ン ファ イ

ルの定義および使用については、『Vivado Design Suite ユーザー ガイ ド : ロジッ ク シ ミ ュレーシ ョ ン』 (UG900) [参

照 9] を参照してください。

重要: 階層の構築中に発生したク リ ティカル警告などのメ ッセージは、 [Sources] ウ ィンド ウの階層ツ リーの一番上に

表示されます。

Vivado IDE の使用 53UG893 (v2019.2) 2019 年 10 月 30 日 japan.xilinx.com

Page 54: Vivado Design Suite ユーザー ガイド - Xilinx...Vivado Design Suite ユーザー ガイド Vivado IDE の使用 UG893 (v2019.2) 2019 年 10 月 30 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

第 3 章: ウィンドウの使用

[Sources] ウィンドウのビュー

[Sources] ウ ィンド ウには、 ソース ファ イルをさまざまな方法で表示する次のビューがあ り ます。

• 「[Hierarchy] ビュー」

• 「[IP Sources] ビュー」

• 「[Libraries] ビュー」

• 「[Compile Order] ビュー」

[Hierarchy] ビュー

[Hierarchy] ビューには、 デザイン モジュールおよびインスタンスの階層、 およびそれらを含むソース ファ イルが表

示されます。 上位モジュールでは、 コンパイル、 合成、 インプリ メンテーシ ョ ン用のデザイン階層が定義されま

す。 Vivado IDE では 上位モジュールが自動的に識別されますが、 [Set as Top] コマンドを使用して 上位モジュー

ルを手動で定義するこ と もできます。 詳細は、 「[Sources] ウ ィンド ウのポップアップ メニュー コマンド」 を参照し

てください。

[Hierarchy] ビューのアイコン

[Hierarchy] ビューでは、 次のアイコンが使用されます。

• 上位モジュール

• 存在しないファイル/モジュール/インスタンス

• 独立階層 (アウ ト オブ コンテキス ト ) モジュール

• グローバル インクルード ファ イル

• Verilog ヘッダー ファ イル

X-Ref Target - Figure 3-14

図 3-14: [Sources] ウィンドウ

Vivado IDE の使用 54UG893 (v2019.2) 2019 年 10 月 30 日 japan.xilinx.com

Page 55: Vivado Design Suite ユーザー ガイド - Xilinx...Vivado Design Suite ユーザー ガイド Vivado IDE の使用 UG893 (v2019.2) 2019 年 10 月 30 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

第 3 章: ウィンドウの使用

• Verilog ファ イル

• SystemVerilog ファ イル

• VHDL ファ イル

• 制約ファイル

• Tcl ファ イル

• IP

• ロ ッ ク された IP

• ブロ ッ ク デザイン

• デザイン チェッ クポイン ト

• ネッ ト リ ス ト

• 非表示のインスタンシエーシ ョ ン

• レポート

ヒン ト : デザイン階層でファイル、 モジュール定義、 またはモジュールのインスタンシエーシ ョ ンが不足している場

合、 [Sources] ウ ィンド ウのローカル ツールバーで [Show only missing sources] ボタン が有効になり ます。

[IP Sources] ビュー

[IP Sources] ビューには、 IP コアで定義されたファイルすべてが表示されます。 詳細は、 『Vivado Design Suite ユー

ザー ガイ ド : IP を使用した設計』 (UG896) [参照 7] のこのセクシ ョ ンを参照して ください。

[Libraries] ビュー

[Libraries] ビューには、 ソースがライブラ リ別に表示されます。 このビューでは、 新しいライブラ リ を作成したり、

ファ イルを管理したりできます。

[Compile Order] ビュー

[Compile Order] ビューには、 ソース ファ イルがコンパイル順に表示され、 制約も処理順に表示されます。 [Compile

Order] ビューの上部のド ロ ップダウン リ ス トから [Synthesis]、 [Implementation]、 または [Simulation] を選択する と

(図 3-15)、 選択したデザイン フロー段階のソース ファ イルが表示されます。

X-Ref Target - Figure 3-15

図 3-15: [Sources] ウィンドウのドロップダウン リス ト

Vivado IDE の使用 55UG893 (v2019.2) 2019 年 10 月 30 日 japan.xilinx.com

Page 56: Vivado Design Suite ユーザー ガイド - Xilinx...Vivado Design Suite ユーザー ガイド Vivado IDE の使用 UG893 (v2019.2) 2019 年 10 月 30 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

第 3 章: ウィンドウの使用

通常は 上位モジュールが 後にコンパイルされます。 コンパイル順は、 定義した 上位モジュールとエラボレー

ト されたデザインに基づいて、 Vivado IDE で自動的に判断されるよ うにできます。 または、 [Hierarchy Update] ポッ

プアップ メニューを使用してソース ファ イルを並べ替え、 コンパイル順を手動で変更するこ と もできます。 詳細

は、 「[Sources] ウ ィンド ウのポップアップ メニュー コマンド」 を参照してください。

制約の処理順は、 制約ファイルの PROCESSING_ORDER プロパティを EARLY、 NORMAL、 または LATE に設定する と

制御できます。 次に例を示します。

set_property PROCESSING_ORDER {EARLY} [get_files myConstraintFile.xdc]

コンパイル順は Tcl コマンドを使用して制御するこ と もできます。 次に例を示します。

report_compile_order

report_compile_order -fileset sources_1

report_compile_order_-constraints

report_compile_order -constraints -fileset constrs_1

[Sources] ウィンドウのコマンド

[Sources] ウ ィンド ウのローカル ツールバーおよびポップアップ メニューを使用して、 ソース ファ イルを追加、 表

示、 変更できます。

ヒン ト : ポップアップ メニューを表示するには、 ウ ィンド ウを右ク リ ッ ク します。

[Sources] ウィンドウのツールバー コマンド

ローカル ツールバーには、 次のコマンドが含まれます。

• [Search]: [Search] フ ィールドを表示し、 [Sources] ウ ィンド ウでオブジェク ト を検索できるよ うにします。

注記: このコマンドは、 Alt + / キーでも実行できます。

• [Collapse All]: ウ ィンド ウの階層ツ リーをすべて閉じ、 上位オブジェク トのみを表示します。

• [Expand All]: [Sources] ウ ィンド ウの階層ツ リーをすべて展開し、 すべてのエレ メン ト を表示します。

• [Add Sources]: 制約ファイル、 シ ミ ュレーシ ョ ン ソース ファ イル、 およびデザイン ソースを追加または作成し

ます。 デザイン ソースには、 HDL、 ネッ ト リ ス ト ファ イル、 既存 IP およびブロ ッ ク デザインが含まれます。

• [Show only missing sources]: 不足しているファ イルまたはインスタンスを表示します。 このコマンドは、 デザイ

ン階層にファイル、 モジュール、 またはインスタンシエーシ ョ ンが不足している場合に有効になり ます。 コマ

ンドを選択する と、 [Sources] ウ ィンド ウがフ ィルターされ、 存在しないファイルまたはモジュールが表示され

ます。

ヒン ト : このツールバー アイコンがグレー (無効) になっている場合、 デザイン階層に問題はあ り ません。

• [Messages]: デザイン run 中に生成されたメ ッセージのサマリが表示されます。 詳細は、 「[Messages] ウ ィンド ウ」

を参照してください。 ソース ファ イルに関連する メ ッセージがない場合は、 アイコンがディ スエーブルになり

ます。

Vivado IDE の使用 56UG893 (v2019.2) 2019 年 10 月 30 日 japan.xilinx.com

Page 57: Vivado Design Suite ユーザー ガイド - Xilinx...Vivado Design Suite ユーザー ガイド Vivado IDE の使用 UG893 (v2019.2) 2019 年 10 月 30 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

第 3 章: ウィンドウの使用

• [Settings]: ウ ィンド ウに表示される情報を制御します。

° [Scroll to Selected Objects]: 現在選択されているオブジェク トが表示されるよ うに [Sources] ウ ィンド ウが自動

的にスクロールされます。 この機能は、 多数のソース ファ イルを含む大型デザインで便利です。 デフォル

トでオンになっています。

[Sources] ウィンドウのポップアップ メニュー コマンド

ポップアップ メニューには、 次のコマンドが含まれます。

• [Source File Properties]: [Source File Properties] ウ ィンド ウを開きます。 詳細は、 「[Source File Properties] ウ ィンド

ウ」 を参照してください。

注記: [Hierarchy] ビューでこのコマンドを実行する と、 [Source Node Properties] ダイアログ ボッ クスが表示され

ます。

• [Open File]: ソース ファ イルを次のよ うに開きます。

° RTL ソース ファ イルまたは制約ファイルはテキス ト エディ ターで開きます。

° IP コアは [Customize IP] ダイアログ ボッ クスで開きます。

° BD ファ イルは IP インテグレーターで開きます。

• [Replace File]: 指定したソース ファ イルを別のファイルと置き換えます。

• [Copy File Into Project]: 選択したソース ファ イルおよびディ レク ト リ をプロジェク ト ディ レク ト リにコピーしま

す。 このコマンドは、 選択したソース ファ イルがプロジェク トのローカルにない場合にのみ使用できます。

• [Copy All Files Into Project]: リモートから参照されているソース ファ イルをすべてプロジェク ト ディ レク ト リに

コピーします。 このコマンドは、 選択したソース ファ イルがプロジェク トのローカルにない場合にのみ使用で

きます。

• [Remove File From Project]: プロジェク トから選択したソース ファ イルを削除します。 オプシ ョ ンでローカルの

プロジェク ト ディ スク ディ レク ト リから もファ イルを削除できます。

• [Enable File]: ソース ファ イルのステータスをアクティブに設定します。 ソース ファ イルをイネーブルまたは

ディ スエーブルにして異なるデザイン コンフ ィギュレーシ ョ ンを定義できます。

注記: [Enabled] プロパティは、 [Source File Properties] ウ ィンド ウからも設定できます。 詳細は、 「[Source File

Properties] ウ ィンド ウ」 を参照して ください。

• [Disable File]: ソース ファ イルのステータスを非アクティブに設定します。 ソース ファ イルをイネーブルまたは

ディ スエーブルにして異なるデザイン コンフ ィギュレーシ ョ ンを定義できます。 ディ スエーブルのソース ファ

イルは [Sources] ウ ィンド ウでグレーで表示されます。

注記: ファ イルをディ スエーブルにする と、 そのファイルはコンパイル リ ス トおよび階層から削除されますが、

プロジェク トからは削除されません。

• [Move to Simulation Sources]: 現在選択されているデザイン ソース ファ イルをシ ミ ュレーシ ョ ン セッ トに移動し

ます。 複数のシ ミ ュレーシ ョ ン セッ トが存在する場合は、 シ ミ ュレーシ ョ ン セッ ト を選択するダイアログ ボッ

クスが表示されます。

• [Move to Design Sources]: 現在選択されているシ ミ ュレーシ ョ ン ソース ファイルをデザイン ソースに移動します。

Vivado IDE の使用 57UG893 (v2019.2) 2019 年 10 月 30 日 japan.xilinx.com

Page 58: Vivado Design Suite ユーザー ガイド - Xilinx...Vivado Design Suite ユーザー ガイド Vivado IDE の使用 UG893 (v2019.2) 2019 年 10 月 30 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

第 3 章: ウィンドウの使用

• [Move to Top]: [Compile Order] ビューで選択したソース ファ イルを リ ス トの 1 番上に移動します。 ソース ファ イ

ルのコンパイルおよび合成は、 [Compile Order] ビューのリ ス トの上から順に処理されるので、 ファ イル順はエ

ラボレーシ ョ ン、 合成、 シ ミ ュレーシ ョ ンの結果に影響します。 [Compile Order] ビューのファイル順は、

[Hierarchy Update] コマンドの選択によって、 自動的にアップデート されるか、 手動で定義できます。

重要: [Move to Top]、 [Move Up]、 [Move Down]、および [Move to Bottom] コマンドは、 [Compile Order] ビューからのみ

使用できます。 または、 [Compile Order] ビューでファイルをド ラ ッグ アンド ド ロ ップしてコンパイル順を変更する

こ と もできます。

• [Move Up]: 選択したソース ファ イルを リ ス トの 1 つ上に移動します。

• [Move Down]: 選択したソース ファ イルを リ ス トの 1 つ下に移動します。

• [Move to Bottom]: 選択したソース ファ イルを リ ス トの 1 番下に移動します。

• [Hierarchy Update]: 上位モジュールの再定義、 ファ イルの追加または削除、 ファ イル順の変更などのソース

ファ イルの変更を、 Vivado IDE でどのよ うに処理するか指定します。 次のいずれかを選択します。

° [Automatic Update and Compile Order]: ソース ファ イルを変更する と、 [Hierarchy] ビューの階層表示と コンパ

イル順が自動的にアップデート されます。 Vivado IDE では、 上位モジュールと して 適なモジュールが

自動的に認識されて設定されます。 上位モジュール ファ イルおよびアクティブな階層の下のすべての

ソース ファ イルが正しい順序で合成およびシ ミ ュレーシ ョ ンに使用されるよ うに、 コンパイル順序も自動

的に管理されます。 上位モジュールで定義されている階層の外部にあるファ イルは使用されません。

注記: デフォルトではこの設定がオンになっています。

° [Automatic Update, Manual Compile Order]: ソース ファ イルを変更する と、 [Hierarchy] ビューの階層表示は自

動的にアップデート されますが、 コンパイル順は手動で指定します。 プロジェク トのすべてのファイルが

合成およびシ ミ ュレーシ ョ ンで使用されます。 コンパイル順は、 [Compile Order] ビューで [Move to Top]、

[Move Up]、 [Move Down]、 [Move to Bottom] を使用して手動で定義できます。

注記: ISE® Design Suite プロジェク ト をインポート した場合、 コンパイル順を保持するため、 デフォルトで

この設定が選択されます。 コンパイル順を保持する必要がない場合は、 この設定を [Automatic Update and

Compile Order] に変更できます。

° [No Update, Manual Compile Order]: [Hierarchy] ビューの階層表示は自動的にはアップデート されません。 コ

ンパイル順は手動で指定します。 このモードでデザイン階層をアップデートするには、 [Refresh Hierarchy]

コマンドを使用します。

• [Refresh Hierarchy]: 後のソース ファ イルの変更と 上位モジュールの定義が反映されるよ うにデザイン階層を

アップデート します。 このコマンドは、 階層を手動で更新する場合に必要に応じて使用してください。

• [IP Hierarchy]: [Hierarchy] ビューに表示される IP の展開を指定します。 デフォルトでは、 すべての IP 階層が非

展開になっています。

° [Show All IP Hierarchy]: [Hierarchy] ビューですべての IP の階層が展開されて表示されます。

注記: オンにする と、 デザインに含まれる IP の数によって、 [Hierarchy] ビューの自動アップデートに時間が

かかるこ とがあ り ます。

° [Hide All IP Hierarchy]: [Hierarchy] ビューですべての IP の階層を非展開にします。

° [Show IP Hierarchy]: 選択した IP の階層が表示されます。

° [Hide IP Hierarchy]: 選択した IP の階層を閉じます。

• [Set as Top]: 合成およびシ ミ ュレーシ ョ ン プロセスにおける、 デザイン階層のエラボレーシ ョ ンの開始点となる

上位モジュールを指定します。

Vivado IDE の使用 58UG893 (v2019.2) 2019 年 10 月 30 日 japan.xilinx.com

Page 59: Vivado Design Suite ユーザー ガイド - Xilinx...Vivado Design Suite ユーザー ガイド Vivado IDE の使用 UG893 (v2019.2) 2019 年 10 月 30 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

第 3 章: ウィンドウの使用

重要: 指定された 上位モジュールがデザイン ソース ファ イルにない場合は、 上位に 適なモジュールが自動的

に設定され、 階層アップデート モードは自動に設定されます。 [Sources] ウ ィンド ウでは、 上位モジュールは 上

位モジュール アイコン で示されます。

• [Set Global Include]: 指定したファイルをグローバル インクルード ファ イルと して設定します。 このコマンドは、

Verilog ソース ファ イルに対してのみ使用できます。

注記: [Global include] プロパティは、 [Source File Properties] ウ ィンド ウから も設定できます。 詳細は、 「[Source

File Properties] ウ ィンド ウ」 を参照してください。

• [Clear Global Include]: 選択した Verilog ソース ファ イルの [Global include] プロパティをオフにします。

• [Make Active]: 選択した制約セッ ト を、 合成またはインプリ メンテーシ ョ ンに使用するよ うアクティブにします。

• [Set as Target Constraint File]: 新し く作成された制約を書き込む制約ファイルを指定します。 デザイン制約の詳細

は、 『Vivado Design Suite ユーザー ガイ ド : システム レベル デザイン入力』 (UG895) [参照 15] のこのセクシ ョ ン

および 『Vivado Design Suite ユーザー ガイ ド : 制約の使用』 (UG903) [参照 11] を参照してください。

• [Set as Out-of-Context for Synthesis]: 新しいファイル セッ ト と合成 run を作成し、 選択した階層レベルが残りのデ

ザインから独立させて合成されるよ うにします。 詳細は、 『Vivado Design Suite ユーザー ガイ ド : 合成』 (UG901)

[参照 10] のこのセクシ ョ ンを参照して ください。

注記: このオプシ ョ ンは、 [Sources] ウ ィンド ウに表示される RTL 階層のレベルでのみ使用できます。

• [Set Library]: 選択した RTL ソース ファ イルのライブラ リ を設定します。 現在プロジェク トで定義されているラ

イブラ リの リ ス トから選択するか、 テキス ト フ ィールドに新しいライブラ リ を入力します。 新しいライブラ リ

を入力する と、 それが現在定義されているライブラ リの リ ス トに追加されます。

注記: [Library] プロパティは、 [Source File Properties] ウ ィンド ウから も設定できます。 詳細は、 「[Source File

Properties] ウ ィンド ウ」 を参照して ください。

• [Set File Type]: 選択しているファ イルのタイプを設定します。 Vivado IDE では、 ファ イル タイプが自動的に認識

され、 適切なファイル拡張子に基づいてプロジェク トに追加されますが、 ファ イル タイプが認識されない場合

は [Set FileType] コマンドでそのファイル タイプを定義できます。

注記: [Type] プロパティは、 [Source File Properties] ウ ィンド ウから も設定できます。 詳細は、 「[Source File

Properties] ウ ィンド ウ」 を参照して ください。

• [Set Used In]: ファ イルがどのツールで使用されるかを指定します。 合成、 シ ミ ュレーシ ョ ン、 インプ リ メンテー

シ ョ ンで使用する (または使用しない) ソース ファ イルを指定できます。 ソース ファ イルを特定のツールに対し

てオフにする と、 そのツールでは使用されません。

たとえば、 ソース ファ イルを合成で使用されないよ うに指定してから、 エラボレート済みデザインを開く と、

そのソース ファ イルはブラ ッ ク ボッ クス と して表示されます。 EDIF または NGC ソース ファ イルをインプ リ メ

ンテーシ ョ ンに対してオフにする と、 それらのファイルはインプリ メンテーシ ョ ンでは使用されなくな り ます。

注記: [Used In] プロパティは、 [Source File Properties] ウ ィンド ウからも設定できます。 詳細は、 「[Source File

Properties] ウ ィンド ウ」 を参照して ください。

• [Edit Constraint Sets]: 制約セッ ト を作成および変更します。

• [Edit Simulation Sets]: シ ミ ュレーシ ョ ン セッ ト を作成および変更します。

• [Add Sources]: 制約ファイル、 シ ミ ュレーシ ョ ン ソース ファ イル、 およびデザイン ソースを追加または作成し

ます。 デザイン ソースには、 HDL、 ネッ ト リ ス ト ファ イル、 既存 IP およびブロ ッ ク デザインが含まれます。

• [Go to Source]:モジュールまたはインスタンスが定義されているソース ファ イルを開きます。

Vivado IDE の使用 59UG893 (v2019.2) 2019 年 10 月 30 日 japan.xilinx.com

Page 60: Vivado Design Suite ユーザー ガイド - Xilinx...Vivado Design Suite ユーザー ガイド Vivado IDE の使用 UG893 (v2019.2) 2019 年 10 月 30 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

第 3 章: ウィンドウの使用

[Sources] ウィンドウのポップアップ メニュー コマンド (IP ソース)

[Sources] ウ ィンド ウで IP コアを右ク リ ッ クする と、 次のコマンドを使用できます。

注記: 詳細は、 『Vivado Design Suite ユーザー ガイ ド : IP を使用した設計』 (UG896) [参照 7] を参照してください。

• [Enable/Disable Core Container]: IP を 1 つのファイルと してディ スクに格納するかど うかを指定します。

• [Customize IP]: IP コアを開いてプロパティを変更できるよ うにします。

• [Generate Output Products]: 必要に応じて IP コアのターゲッ ト データを生成します。

• [Reset Output Products]: 現在のターゲッ ト データを削除して、 IP コアを必要に応じて再生成できるよ うにします。

• [Upgrade IP]: IP コアを古いバージ ョ ンから 新のバージ ョ ンにアップグレード します。

• [Copy IP]: 選択した IP のコピーを作成し、 新しい名前とディ レク ト リ を指定します。

• [Open IP Example Design]: IP コアのサンプル プロジェク ト を開きます。 この機能はすべての IP で使用できるわ

けではあ り ません。

• [IP Documentation]

° [View Product Guide]: 選択した IP の製品ガイ ドを開きます。

° [View Change Log]: 選択した IP コアの変更ログを開きます。

° [View Product Web Page]: 選択した IP コアのウェブ ページが存在する場合はそれを表示します。

° [View Answer Records]: サポート データベースで IP コアに関連するアンサー レコードを検索します。

• [Copy Shared Logic into Project]: 共有ロジッ ク ファ イルのデスティネーシ ョ ン ディ レク ト リ を指定します。

• [Report IP Status]: デザインに含まれる各 IP のステータス、 バージ ョ ン、 変更ログ、 パーツ、 およびその他の情

報を表示する IP ステータス レポート を開きます。

[Sources] ウィンドウのポップアップ メニュー コマンド (ブロック デザイン ソース)

[Sources] ウ ィンド ウでブロ ッ ク デザイン (BD) モジュールを右ク リ ッ クする と、 次のコマンドを使用できます。

注記: 詳細は、『Vivado Design Suite ユーザー ガイ ド : IP インテグレーターを使用した IP サブシステムの設計』 (UG994)

[参照 8] を参照して ください。

• [Create HDL Wrapper]: 選択したブロ ッ ク デザインを含む 上位 Verilog または VHDL モジュールを作成します。

• [View Instantiation Template]: ブロ ッ ク デザインのインスタンシエーシ ョ ン テンプレート を開き、 別の RTL ファ

イルにインスタンシエート します。

• [Generate Output Products]: 必要に応じてブロ ッ ク デザインのターゲッ ト データを生成します。

• [Reset Output Products]: 生成されたターゲッ ト データを削除します。

Vivado IDE の使用 60UG893 (v2019.2) 2019 年 10 月 30 日 japan.xilinx.com

Page 61: Vivado Design Suite ユーザー ガイド - Xilinx...Vivado Design Suite ユーザー ガイド Vivado IDE の使用 UG893 (v2019.2) 2019 年 10 月 30 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

第 3 章: ウィンドウの使用

[Source File Properties] ウィンドウ

[Sources] ウ ィンド ウで RTL ソース ファ イルを選択する と、 [Source File Properties] ウ ィンド ウにファイルの情報が表

示されます (図 3-16)。

ソース ファ イル プロパティを表示および変更するには、 次の手順に従います。

1. [Sources] ウ ィンド ウでソース ファ イルを選択します。

デフォルトでは [Sources] ウ ィンド ウの下に [Source File Properties] ウ ィンド ウが表示され、 ファ イル ディ レク ト

リ、 タイプ、 ライブラ リ、 サイズ、 変更された日付、 コピー元のディ レク ト リ、 コピーされた日付、 親モ

ジュールなどの情報が表示されます。

注記: [Source File Properties] ウ ィンド ウが表示されない場合は、 [Sources] ウ ィンド ウでソース ファ イルを右ク

リ ッ ク し、 ポップアップ メニューから [Source File Properties] をク リ ッ ク します。

X-Ref Target - Figure 3-16

図 3-16: [Source File Properties] ウィンドウ

Vivado IDE の使用 61UG893 (v2019.2) 2019 年 10 月 30 日 japan.xilinx.com

Page 62: Vivado Design Suite ユーザー ガイド - Xilinx...Vivado Design Suite ユーザー ガイド Vivado IDE の使用 UG893 (v2019.2) 2019 年 10 月 30 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

第 3 章: ウィンドウの使用

2. [Source File Properties] ウ ィンド ウでは、 次の設定を変更できます。

° [Type]: ファ イル タイプを変更します。 これは、 ファ イルの拡張子が一般的でない場合やファイルが正し く

検出されなかった場合に便利なオプシ ョ ンです。

° [Library]: ソース ファ イルの新しいターゲッ ト ライブラ リ を指定します。 定義されたライブラ リ リ ス トか

ら選択するか、 ライブラ リ名を入力します。

° [Global Include]: Verilog ソース ファ イルをグローバル インクルード ファ イルと して設定します。 オンにす

る と、 エラボレーシ ョ ンおよび合成で選択したファイルがコンパイル順の 初にリ ス ト されます。

° [Enabled]: デザインでソース ファ イルをイネーブルにします。 ディ スエーブルに設定したソース ファ イル

はグレーで表示され、 デザインのエラボレーシ ョ ンおよびコンパイルには含まれません。

° [Used In]: ソース ファ イルを合成、 シ ミ ュレーシ ョ ン、 またはインプリ メンテーシ ョ ンで使用するこ とを指

定します。 ソース ファ イルを特定のツールに対してオフにする と、 そのツールでは使用されません。 たと

えば、 ソース ファ イルを合成で使用されないよ うに指定してエラボレート済みデザインを開く と、 その

ソース ファ イルはブラ ッ ク ボッ クス と して表示されます。 EDIF または NGC ソース ファ イルをインプ リ メ

ンテーシ ョ ンに対してオフにする と、 それらのファイルはインプリ メンテーシ ョ ンでは使用されなくな り

ます。

[Netlist] ウィンドウ

[Netlist] ウ ィンド ウには、 デザインのネッ ト 、 ロジッ ク プリ ミ ティブ、 階層モジュールを含むエラボレート済みまた

は合成済みロジッ ク デザインが、 現在定義されている 上位モジュールから順に階層表示されます (図 3-17)。

[Netlist] ウ ィンド ウを開くには、 [Window] → [Netlist] をク リ ッ ク します。

X-Ref Target - Figure 3-17

図 3-17: [Netlist] ウィンドウ

Vivado IDE の使用 62UG893 (v2019.2) 2019 年 10 月 30 日 japan.xilinx.com

Page 63: Vivado Design Suite ユーザー ガイド - Xilinx...Vivado Design Suite ユーザー ガイド Vivado IDE の使用 UG893 (v2019.2) 2019 年 10 月 30 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

第 3 章: ウィンドウの使用

[Netlist] ウ ィンド ウには、 次のフォルダーが含まれます。

• [Leaf Cells]: 階層の各レベルのプリ ミ ティブ ロジッ クを表示します。 [Netlist] ウ ィンド ウのロジッ クや階層モ

ジュールの表示は簡略化されたものになり ます (図 3-18)。

• [Nets]: 階層の各レベルのネッ ト またはワイヤを表示します。 デフォルトではバスのビッ トはすべてバスの下に

ま とめられて非展開になっていますが、 バスを展開して各ビッ ト を表示するこ と もできます (図 3-19)。

X-Ref Target - Figure 3-18

図 3-18: [Netlist] ウィンドウの [Leaf Cells] フォルダー

X-Ref Target - Figure 3-19

図 3-19: [Netlist] ウィンドウの [Nets] フォルダー

Vivado IDE の使用 63UG893 (v2019.2) 2019 年 10 月 30 日 japan.xilinx.com

Page 64: Vivado Design Suite ユーザー ガイド - Xilinx...Vivado Design Suite ユーザー ガイド Vivado IDE の使用 UG893 (v2019.2) 2019 年 10 月 30 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

第 3 章: ウィンドウの使用

ロジック ツリーの展開/非展開

ロジッ ク ツ リーを展開/非展開するには、 次の手順に従います。

• 展開 および非展開 ボタンをク リ ッ ク して、 ツ リーの該当する部分を展開または非展開にします。

• [Collapse All] ボタン をク リ ッ ク してツ リー全体を非展開にします。

非展開にする と、 上位ロジッ ク モジュールのみが表示されます。

注記: 別のウ ィンド ウでロジッ ク オブジェク ト を選択する と、 [Netlist] ウ ィンド ウが自動的に展開され、 そのロジッ

ク オブジェク トが表示されます。 この機能を無効にするには、 [Settings] ツールバー ボタン をク リ ッ ク し、

[Scroll to selected objects] をオフにします。

エレメン トの選択

[Netlist] ウ ィンド ウでは、 次のよ うにエレ メン ト を選択できます。

• [Netlist] ウ ィンド ウで複数のエレ メン ト を選択するには、 Shift キーまたは Ctrl キーを押しながら ク リ ッ ク しま

す。 選択されたロジッ クは、 [Netlist] ウ ィンド ウでハイライ ト されます。

• ロジッ クを [Schematic] または [Device] ウ ィンド ウなどの別のウ ィンド ウで選択する と、 [Netlist] ウ ィンド ウでも

選択されます。 選択したロジッ クすべてが表示されるよ う、 ネッ ト リ ス ト ツ リーが自動的に展開されます。 選

択したロジッ クをすべて表示するには、 ツ リーをスク ロールする必要がある場合があ り ます。

• ネッ ト を選択する と、 [Device] ウ ィンド ウでそのネッ トがハイライ ト され、 バスを選択する と、 そのバスに含ま

れるすべてのネッ トがハイライ ト されます。 ネッ トは、 [Schematic] ウ ィンド ウでも表示できます。

• ネッ ト をデバッグ テス ト用にマークするには、 ネッ ト を右ク リ ッ ク して [Mark Debug] をク リ ッ ク します。 詳細

は、 『Vivado Design Suite ユーザー ガイ ド : プログラムおよびデバッグ』 (UG908) [参照 14] のこのセクシ ョ ンを参

照してください。

注記: ネッ ト リ ス ト ツ リーを非展開にしても、 ロジッ クの選択は解除されません。

[Netlist] ウィンドウのアイコン

[Netlist] ウ ィンド ウでは、 ネッ ト リ ス ト ロジッ クのステートが次のアイコンを使用して示されます。

• バス

• I/O バス

• ネッ ト

• I/O ネッ ト

• 階層セル (ロジッ ク )

• 階層セル (ブラ ッ ク ボッ クス)

注記: ネッ ト リ ス ト またはロジッ クの中身がない階層セルは、 Vivado IDE ではブラ ッ ク ボッ クス と して表示され

ます。 階層セルは、 デザインで意図的にブラ ッ ク ボッ クス となっているか、 コード エラーがあったか、 ファ イ

ルがないためにブラ ッ ク ボッ クス と して表示されます。

• 階層セル (Pblock に割り当て済み)

• 階層セル (Pblock に割り当て済みのブラ ッ ク ボッ クス)

• プリ ミ ティブ セル (Pblock に割り当て済み)

Vivado IDE の使用 64UG893 (v2019.2) 2019 年 10 月 30 日 japan.xilinx.com

Page 65: Vivado Design Suite ユーザー ガイド - Xilinx...Vivado Design Suite ユーザー ガイド Vivado IDE の使用 UG893 (v2019.2) 2019 年 10 月 30 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

第 3 章: ウィンドウの使用

• プリ ミ ティブ セル (Pblock に配置および割り当て済み)

• プリ ミ ティブ セル (未配置)

• プリ ミ ティブ セル (配置済み)

[Device Constraints] ウィンドウ

[Device Constraints] ウ ィンド ウ (図 3-20) では、 内部 VREF および DCI_CASCADE 制約を作成、 編集、 表示できます。

[Device Constraints] ウ ィンド ウ上部のド ロ ップダウン リ ス トから、[Internal VREF] または [DCI Cascade] を選択できま

す (図 3-20)。 詳細は、 『Vivado Design Suite ユーザー ガイ ド : I/O およびクロ ッ ク プランニング』 (UG899) [参照 17] の

このセクシ ョ ンを参照してください。 [Device Constraints] ウ ィンド ウを表示するには、 [Window] → [Device

Constraints] をク リ ッ ク します。

[Device Constraints] ウィンドウのツールバー コマンド

ローカル ツールバーには、 次のコマンドが含まれます。

• [Search]: [Search] フ ィールドを表示し、 [Device Constraints] ウ ィンド ウでオブジェク ト を検索できるよ うにしま

す。

• [Expand All]: [Device Constraints] ウ ィンド ウの階層ツ リーをすべて展開し、 すべてのエレ メン ト を表示します。

• [Collapse All]: ウ ィンド ウの階層ツ リーをすべて閉じ、 上位オブジェク トのみを表示します。

• [Add Constraint]: 2 つ以上のバンクが選択されている場合に、 新しい DCI_CASCADE 制約を追加します。 マス

ター バンクを指定するには、 [Add DCI Cascade] を使用します。

• [Remove Constraint]: 選択した制約を削除します。

X-Ref Target - Figure 3-20

図 3-20: [Device Constraints] ウィンドウ

Vivado IDE の使用 65UG893 (v2019.2) 2019 年 10 月 30 日 japan.xilinx.com

Page 66: Vivado Design Suite ユーザー ガイド - Xilinx...Vivado Design Suite ユーザー ガイド Vivado IDE の使用 UG893 (v2019.2) 2019 年 10 月 30 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

第 3 章: ウィンドウの使用

• [Settings]: ウ ィンド ウに表示される情報を制御します。

° [Scroll to selected object]: [Device Constraints] ウ ィンド ウをスクロールし、 [Package Pins] または [Device] ウ ィ

ンド ウなどの別のウ ィンド ウで選択したオブジェク ト を表示します。

[Properties] ウィンドウ

[Properties] ウ ィンド ウには、 選択したロジッ ク オブジェク ト またはデバイス リ ソースに関する情報が表示されま

す。 オブジェク ト を選択する と、 そのプロパティが [Properties] ウ ィンド ウに表示されます。 [Properties] ウ ィンド ウ

を開くには、 [Window] → [Properties] をク リ ッ ク します。 または、 オブジェク ト を右ク リ ッ ク し、 ポップアップ メ

ニューから [<ObjectType> Properties] を選択します。

[Properties] ウ ィンド ウの名前は、 選択したオブジェク トによって異なり ます。 たとえば、 BEL を選択する と ウ ィン

ド ウの名前は [BEL Properties] とな り、 ク ロ ッ ク領域を選択する と [Clock Region Properties] とな り ます。

[Properties] ウ ィンド ウには、 情報がカテゴ リに分類されて複数のビューに表示されます。 含まれるビューおよび表

示される情報は、 選択したオブジェク トによって異なり ます。 図 3-21 に、 選択したセルの [Cell Properties] ウ ィンド

ウの [Properties] ビューを示します。

重要: 複数のオブジェク ト を選択した場合、 [Properties] ウ ィンド ウには 後に選択したオブジェク トのプロパティが

表示されます。 複数のオブジェク トのプロパティを確認および編集するには、 第 2 章の 「複数オブジェク トのプロ

パティの編集」 に説明するよ うに、 [Property Editor] ウ ィンド ウを使用してください。

X-Ref Target - Figure 3-21

図 3-21: [Properties] ウィンドウ

Vivado IDE の使用 66UG893 (v2019.2) 2019 年 10 月 30 日 japan.xilinx.com

Page 67: Vivado Design Suite ユーザー ガイド - Xilinx...Vivado Design Suite ユーザー ガイド Vivado IDE の使用 UG893 (v2019.2) 2019 年 10 月 30 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

第 3 章: ウィンドウの使用

[Properties] ウィンドウのツールバー コマンド

[Properties] ウ ィンド ウのツールバーには、 選択したオブジェク トや表示されているビューによってさまざまなコマ

ンドが含まれます。 共通のコマンドは次のとおりです。

• [Search]: [Search] フ ィールドを表示し、 [Properties] ウ ィンド ウでオブジェク ト を検索できるよ うにします。

• [Collapse All]: ウ ィンド ウの階層ツ リーを閉じ、 上位オブジェク トのみを表示します。

• [Expand All]: 階層ツ リー オブジェク ト をすべて展開します。

• [Show or flatten all property hierarchies]: プロパティをタイプ別にまとめて表示するか、 フラ ッ トに表示するかを切

り替えます。

• [Add Properties]: 選択したオブジェク トに新しいプロパティを追加します。 [Properties] ビューの一部のオブジェ

ク ト タイプにのみ使用できます。

• [Remove Properties]: 現在のオブジェク トから選択したプロパティを削除します。

• [Show Description]: [Properties] ウ ィンド下部の詳細情報の表示/非表示を切り替えます。

• [Sort Properties]: プロパティを名前のアルファベッ ト順に並べ替えます。

• [Previous object]: 前に選択したオブジェク トのプロパティを表示します。 前に選択していたオブジェク トに順に

戻る場合に使用できます。

• [Next object]: 次に選択したオブジェク トのプロパティを表示します。 このツールバー ボタンは、 [Previous

object] ボタンを使用した後にのみ使用可能です。

• [Settings]: ウ ィンド ウに表示される情報を制御します。

° [Automatically Update]: このコマンドを使用する と、 新しいオブジェク ト を選択するたびに [Properties] ウ ィ

ンド ウを自動的に更新するか、 現在選択されているオブジェク トのプロパティを表示したままにするかを

指定できます。 デフォルトでは、 新しいオブジェク ト を選択する と、 [Properties] ウ ィンド ウがアップデー

ト されて新し く選択したオブジェク ト プロパティが表示されます。

[Properties] ウィンドウのポップアップ メニュー コマンド

ポップアップ メニューには、 次のコマンドが含まれます。

• [Add Properties]: 選択したオブジェク トに新しいプロパティを追加します。 このコマンドは、 特定のオブジェク

ト タイプにのみ使用できます。

• [Remove Properties]: 現在のオブジェク トから選択したプロパティを削除します。

• [Reset Properties]: [Properties] ウ ィンド ウのビューのプロパティ またはオブジェク ト を リセッ ト します。 このコマ

ンドは、 特定のウ ィンド ウのオブジェク ト タイプに使用できます。

• [Copy Properties]: プロパティをク リ ップボードにコピーします。

• [Export to Spreadsheet]: [Properties] ウ ィンド ウの情報をスプレッ ドシート ファ イルにエクスポート します。

Vivado IDE の使用 67UG893 (v2019.2) 2019 年 10 月 30 日 japan.xilinx.com

Page 68: Vivado Design Suite ユーザー ガイド - Xilinx...Vivado Design Suite ユーザー ガイド Vivado IDE の使用 UG893 (v2019.2) 2019 年 10 月 30 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

第 3 章: ウィンドウの使用

[Run Properties] ウィンドウ

[Properties] ウ ィンドウの 1 つである [Run Properties] ウ ィンドウには、選択した合成またはインプリ メンテーシ ョ ン run

に関する情報が表示されます。 タイ トル バーは、 [Synthesis Run Properties] または [Implementation Run Properties] のいず

れかになり ます。 図 3-22 に、 選択した run の [Implementation Run Properties] ウ ィンドウを示します。 [Run Properties]

ウ ィンドウを開くには、 [Design Runs] ウ ィンド ウで run を選択し、 [Window] → [Properties] をク リ ッ ク します。

[Design Runs] ウ ィンド ウで run を選択する と、 [Run Properties] ウ ィンド ウにその run のプロパティが表示されます。

[Run Properties] ウ ィンド ウにレポート されるほとんどのプロパティは直接編集できますが、 合成またはインプ リ メ

ン ト された後に run のプロパティを変更する と、 run は 新の状態ではなくな り ます。 この場合、 run を実行し直し

て結果をアップデートする必要があ り ます。 run を リセッ トするには、 [Design Runs] ウ ィンド ウのポップアップ メ

ニューから [Reset Runs] をク リ ッ ク します。

[Run Properties] ウィンドウのビュー

[Run Properties] ウ ィンド ウには、 デザイン run の情報を表示し、 オプシ ョ ンを設定するための次のビューがあり ます。

• 「[General] ビュー」

• 「[Properties] ビュー」

• 「[Options] ビュー」

• 「[Log] ビュー」

• 「[Reports] ビュー」

• 「[Messages] ビュー」

X-Ref Target - Figure 3-22

図 3-22: [Implementation Run Properties] ウィンドウ

Vivado IDE の使用 68UG893 (v2019.2) 2019 年 10 月 30 日 japan.xilinx.com

Page 69: Vivado Design Suite ユーザー ガイド - Xilinx...Vivado Design Suite ユーザー ガイド Vivado IDE の使用 UG893 (v2019.2) 2019 年 10 月 30 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

第 3 章: ウィンドウの使用

[General] ビュー

[General] ビューには、 run の設定がレポート され、 次のフ ィールドが含まれます。

• [Name]: run の名前を定義します。

• [Part]: 現在の run に対するターゲッ ト パーツが表示され、 run のプロジェク トのパーツを変更できます。 ター

ゲッ ト パーツは [Project Settings] で定義されますが、 [Run Properties] からも変更できます。 プロジェク ト全体の

ターゲッ ト パーツ設定については、 「プロジェク ト設定」 を参照して ください。

• [Description]: 現在の run ス ト ラテジの簡単な説明を表示します。

• [Status]: run のステータスを表示します。

• [Synthesis Run]: 選択したインプリ メンテーシ ョ ン run の親レベルの合成 run を表示します。

注記: これはインプ リ メンテーシ ョ ン run のプロパティであ り、 合成 run には表示されません。

• [Constraints]: run の制約セッ ト をそのまま使用するか、 変更します。

• [Run Directory]: run データのディ レク ト リ を表示します。

[Properties] ビュー

[Properties] ビューには、 選択した run のプロパティが表形式で表示されます。

注記: この情報を Tcl で入手するには、 report_property -all [get_runs impl_1] コマンドを使用します。

[Options] ビュー

[Options] ビューには、 インク リ メンタル デザイン チェッ クポイン ト、 run に使用するス ト ラテジ、 詳細なコマンド

ライン オプシ ョ ン、 およびス ト ラテジの値が表示されます。 含まれるフ ィールドは次のとおりです。

• [Write Incremental Synthesis]: 合成チェッ クポイン トの情報を含め、 次のインク リ メンタル合成実行で比較できる

よ うにします。

• [Incremental Synthesis/Implementation]: 次の合成またはインプリ メンテーシ ョ ン run の基準と して使用するデザイ

ン チェッ クポイン ト を指定します。 詳細は、 『Vivado Design Suite ユーザー ガイ ド : 合成』 (UG901) [参照 10] のこ

のセクシ ョ ンおよび 『Vivado Design Suite ユーザー ガイ ド : インプリ メンテーシ ョ ン』 (UG904) [参照 12] のこの

セクシ ョ ンを参照してください。

• [Strategy]: 使用するス ト ラテジを指定します。 選択したス ト ラテジに関連するコマンド オプシ ョ ンの値を変更で

きます。 値をデフォルト値から変更する と、 オプシ ョ ンの横にアスタ リ スクが表示されます。

• [Description]: 選択したス ト ラテジの説明を表示します。

次のポップアップ メニュー コマンドを使用できます。

• [Save Strategy As]: 新しいオプシ ョ ン設定を後でその他の run で使用できるよ う ス ト ラテジと して保存します。

• [Refresh]: コマンド オプシ ョ ンのウ ィンド ウ レイアウ ト をデフォルトに戻します。

推奨: run を実行後に run ス ト ラテジを変更した場合、 run は 新の状態ではなくな り ます。 この場合、 run をキャン

セルして リセッ トするこ とをお勧めします。 詳細は、 『Vivado Design Suite ユーザー ガイ ド : インプリ メンテーシ ョ

ン』 (UG904) [参照 12] のこのセクシ ョ ンを参照してください。

Vivado IDE の使用 69UG893 (v2019.2) 2019 年 10 月 30 日 japan.xilinx.com

Page 70: Vivado Design Suite ユーザー ガイド - Xilinx...Vivado Design Suite ユーザー ガイド Vivado IDE の使用 UG893 (v2019.2) 2019 年 10 月 30 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

第 3 章: ウィンドウの使用

[Log] ビュー

[Log] ウ ィンド ウに表示されるのと同じ STDOUT コマンド ステータス ログが表示されます。 [Log] ビューは、 コマン

ドの実行に応じてアップデート されます。 スク ロール バーを使用する と、 コマンド ログのレポート を参照できま

す。 レポート出力を停止するには、 [Pause output] をク リ ッ ク します。 これによ り、 コマンドの実行中でも結果をス

クロールして読みやすくなり ます。

ヒン ト : 特定のテキス ト を検索するには、 [Find] ボタンをク リ ッ クまたは Ctrl + F キーを押して、 検索フ ィールドを使

用します。

[Reports] ビュー

[Reports] ビューには、 Vivado デザイン ツールで生成されたレポート ファ イルが表示されます。 [Implementation Run

Properties] ウ ィンド ウで run を選択し、 [Reports] ビューをク リ ッ クする と、 表示可能なレポート ファ イルのリ ス トが

表示されます。 レポートは、 ダブルク リ ッ クする と開きます。

[Reports] ビューでは、 既存のレポート ス ト ラテジを指定するこ と もできます。 ユーザー定義のス ト ラテジを選択し

たら、 レポート を追加または削除したり、 既存レポートのオプシ ョ ンを編集したり、 レポート をオンまたはオフに

できます。 詳細は、 第 4 章の 「レポート ス ト ラテジの作成」 を参照して ください。

インプ リ メンテーシ ョ ン レポートの詳細は、 『Vivado Design Suite ユーザー ガイ ド : デザイン解析およびクロージャ

テクニッ ク』 (UG906) [参照 13] のこのセクシ ョ ンを参照してください。

[Messages] ビュー

[Messages] ビューには、 アクティブ run で生成されたメ ッセージのみが表示されます。

[Selection] ウィンドウ

Vivado IDE では、 オブジェク ト を次のよ うに選択できます。

• [Single object]: 現在のウ ィンド ウでオブジェク ト をク リ ッ ク して選択します。

• [Secondary object]: デフォルトでは、 オブジェク ト を選択する と、 指定されている関連オブジェク ト も選択され

ます。 詳細は、 第 4 章の 「オブジェク トの選択規則」 を参照してください。

• [Multiple objects]: 初のオブジェク ト を選択し、 Ctrl キーを押しながら別のオブジェク ト を選択します。

• [Range of objects]: 初のオブジェク ト を選択し、 Shift キーを押してツ リーや表から範囲の 後のオブジェク ト

をク リ ッ ク します。

• [Timing path]: タイ ミ ング パスをク リ ッ クする と、 含まれるオブジェク トが選択されます。

• [All objects]: [Select Area] カーソルを使用する と、 グラフ ィカル ビューのエリ ア内のオブジェク トすべてを選択

できます。 または、 ほとんどのウ ィンド ウで Ctrl + A キーボード シ ョート カッ トがサポート されます。

[Selection] ウ ィンド ウには、 図 3-23 に示すよ うに、 現在選択されているオブジェク トの リ ス トが表示されます。 オ

ブジェク トは、 並べ替えたり、 選択を解除したり、 マークを付けたりできます。 この リ ス トは、 オブジェク トの操

作に応じて随時更新されます。 [Selection] ウ ィンド ウを表示するには、 [Window] → [Selection] をク リ ッ ク します。

Vivado IDE の使用 70UG893 (v2019.2) 2019 年 10 月 30 日 japan.xilinx.com

Page 71: Vivado Design Suite ユーザー ガイド - Xilinx...Vivado Design Suite ユーザー ガイド Vivado IDE の使用 UG893 (v2019.2) 2019 年 10 月 30 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

第 3 章: ウィンドウの使用

[Selection] ウ ィンド ウでは、 次を実行できます。

• 列ヘッダーをク リ ッ クする と、 オブジェク ト を名前、 ID、 またはタイプで並べ替えるこ とができます。

• リ ス トから選択したアイテムを削除するには、 右ク リ ッ ク して [Unselect]、 [Unselect All]、 [Unselect All Except]

をク リ ッ ク します。

• 複数のオブジェク ト を選択するには、 Ctrl または Shift キーを使用するか、 [Select Area] コマンドを使用します。

注記: 選択したオブジェク トの合計数は、 ウ ィンド ウ バナーに表示されます。

オブジェク トのマークおよびハイライ ト

オブジェク トにマークを付ける と、 [Device] ウ ィンド ウで小さなオブジェク ト を表示する場合に便利です。 オブジェ

ク ト をハイライ ト をする と、 選択を変更した後にオブジェク ト を確認できます。 マークまたはハイライ トするオブ

ジェク トがすべて選択されているかは、 [Selection] ウ ィンド ウで確認できます。

オブジェク ト をマークおよびハイライ トするには、 次を実行します。

• 選択したオブジェク ト をマークするには、 オブジェク ト を選択し、 [View] → [Mark] をク リ ッ ク します。

注記: または、 右ク リ ッ ク メニューから [Mark] コマンドをク リ ッ クするか、 Ctrl + M キーを押すか、

mark_objects Tcl コマンドを使用する と、 デフォルトの色でオブジェク ト をマークできます。

• 選択したオブジェク ト をハイライ トするには、 オブジェク ト を選択し、 [View] → [Highlight] をク リ ッ ク してハ

イライ ト色を指定します。 オブジェク トが開いているウ ィンド ウでハイライ ト されます。

注記: または、 右ク リ ッ ク メニューから [Highlight] コマンドをク リ ッ クするか、 Ctrl + H キーを押すか、

highlight_objects Tcl コマンドを使用する と、 デフォルトの色でオブジェク ト をハイライ トできます。

ヒン ト : 色を変更するには、 [Tools] → [Settings] をク リ ッ ク し、 [Settings] ダイアログ ボッ クスで [Colors] カテゴ リ を

ク リ ッ ク して、 [Highlight] および [Mark] サブカテゴ リで色を選択します。 詳細は、 第 4 章の 「色の指定」 を参照し

てください。

ヒン ト : Tcl コンソールにマークおよびハイライ トのコマンドを表示するには、 [Settings] ダイアログ ボッ クスで

[Record Tcl commands for highlight and mark actions] をオンにします。 詳細は、 第 4 章の 「プロジェク トのデフォルト

設定の指定」 を参照してください。

X-Ref Target - Figure 3-23

図 3-23: [Selection] ウィンドウ

Vivado IDE の使用 71UG893 (v2019.2) 2019 年 10 月 30 日 japan.xilinx.com

Page 72: Vivado Design Suite ユーザー ガイド - Xilinx...Vivado Design Suite ユーザー ガイド Vivado IDE の使用 UG893 (v2019.2) 2019 年 10 月 30 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

第 3 章: ウィンドウの使用

重要: ハイライ トはデザイン特定であ り、 デザインを読み込み直したり変更したりする と、 削除されます。

[Mark] コマンドは、 [Netlist]、 [Hierarchy]、 [Timing Report] など、 ほかのウ ィンド ウでも使用できます。 図 3-24 は、

[Timing Report] ウ ィンド ウでマーク されたタイ ミ ング パスを示しています。 タイ ミ ング パスのスタート ポイン トは

緑色、 エンド ポイン トは赤色、 スルー ポイン トは黄色でマーク されています。

オブジェク トのマークおよびハイライ トの解除

選択したオブジェク ト またはすべてのオブジェク トのマークまたはハイライ ト を削除するには、 [View] メニューま

たはポップアップ メニューから次のいずれかを選択します。

• [Unmark]: 選択したセルのマークを削除します。

• [Unmark All]: すべてのセルのマークを削除します。

• [Unhighlight]: 選択したオブジェク トからハイライ ト を削除します。

• [Unhighlight Color]: 指定した色のハイライ ト をすべて削除します。

• [Unhighlight All]: すべてのハイライ ト を削除します。

X-Ref Target - Figure 3-24

図 3-24: [Device] ウィンドウでマークされたタイ ミング パス シンボル

Vivado IDE の使用 72UG893 (v2019.2) 2019 年 10 月 30 日 japan.xilinx.com

Page 73: Vivado Design Suite ユーザー ガイド - Xilinx...Vivado Design Suite ユーザー ガイド Vivado IDE の使用 UG893 (v2019.2) 2019 年 10 月 30 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

第 3 章: ウィンドウの使用

ワークスペース

テキス ト エディ ターや [Package] ウ ィンド ウなど、 グラフ ィカル インターフェイスを持つウ ィンド ウおよび広い表

示領域が必要なウ ィンド ウは、 ワークスペースに表示されます。 これらのウ ィンド ウは、 同時に複数開いて情報を

比較できる点が、 その他のウ ィンド ウ とは異なり ます。 これらのウ ィンド ウは、 Vivado IDE の標準的なウ ィンド ウ

と同様、 大化、 小化、 フロートできますが、 ウ ィンド ウ タブを右ク リ ッ ク してウ ィンド ウを分割するこ と もで

きます。

ワークスペースには、 次のウ ィンド ウが表示されます。

• プロジェク ト サマリ

• テキス ト エディ ター

• [Device] ウ ィンド ウ

• [Package] ウ ィンド ウ

• [Clock Resources]

• [Schematic] ウ ィンド ウ

• [Hierarchy] ウ ィンド ウ

• [Timing Constraints] ウ ィンド ウ

• [Waveform] ウ ィンド ウ

• [Diagram] ウ ィンド ウ (ブロ ッ ク デザイン用)

• [Property Editor] ウ ィンド ウ

• IP カタログ

ワークスペースには、 同じタイプのウ ィンド ウを複数開く こ とができます。 たとえば、 [Device] ウ ィンド ウを 1 つ開

いた状態で、 [Window] → [Device] をク リ ッ ク して別の [Device] ウ ィンド ウを表示し、 2 つの [Device] ウ ィンド ウでそ

れぞれ別のエリ アを表示させるこ と もできます。

注記: ほとんどのウ ィンド ウは [Window] メニューから開く こ とができますが、 [Schematic] ウ ィンド ウおよび

[Hierarchy] ウ ィンド ウを開くには、 まず別のウ ィンド ウでロジッ ク エレ メン ト を選択する必要があ り ます。 詳細は、

「[Schematic] ウ ィンド ウ」 および 「[Hierarchy] ウ ィンド ウ」 を参照してください。

文脈依存のカーソル

カーソルのシンボルは、 コンテキス トおよびウ ィンド ウで使用可能なコマンド モードによって変わり ます。

• 両側に矢印が付いた縦、 横、 または斜めのバー : Pblock の端やウ ィンド ウの境目をド ラ ッグしてサイズを変更で

きます。

• 手のマーク : Pblock やセルやビューを移動できます。

• 十字のマーク : 拡大範囲、 ピン割り当てエリ アの定義、 または Pblock エリ アの指定のために矩形を描画できま

す。

• 斜線が入った円: オブジェク ト を移動できない場所にド ラ ッグしているこ とを示します。

Vivado IDE の使用 73UG893 (v2019.2) 2019 年 10 月 30 日 japan.xilinx.com

Page 74: Vivado Design Suite ユーザー ガイド - Xilinx...Vivado Design Suite ユーザー ガイド Vivado IDE の使用 UG893 (v2019.2) 2019 年 10 月 30 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

第 3 章: ウィンドウの使用

マウスを使用した拡大/縮小

この機能は、 [Device]、 [Package]、 [Schematic]、 [Waveform]、 [Histogram]、 または [Hierarchy] ウ ィンド ウで使用でき

ます。

• エリアの拡大: マウスを左上から右下にド ラ ッグして四角形を描画する と、 そのエリ アが拡大表示されます。

• 拡大: マウスを右上から左下にド ラ ッグして斜線を描く と、 表示が拡大されます。 この方法を使用する と、 ウ ィ

ンド ウをさまざまな大きさにズームできます。 描いた線の長さによって、 ズーム率が変わり ます。 または、 Ctrl

キーを押しながらホイール マウス ボタンを上にスクロールしても拡大表示できます。

• 縮小: マウスを左下から右上にド ラ ッグして斜線を描く と、 表示が縮小されます。 この方法を使用する と、 ウ ィ

ンド ウをさまざまな大きさにズームできます。 描いた線の長さによって、 ズーム率が変わり ます。 または、 Ctrl

キーを押しながらホイール マウス ボタンを下にスクロールしても縮小表示できます。

• 全体表示: マウスを右下から左上にド ラ ッグする と、 全体が表示されます。 表示が縮小されて、 デバイス全体が

表示されます。

• 表示の移動: Ctrl キーを押しながら左のマウス ボタンを押したままにしてド ラ ッグする と、 表示を移動できま

す。 または、 ホイール マウス ボタンを押しながら ド ラ ッグしても、 表示を移動できます。

[World] ビュー

[Device] ウ ィンド ウなどのグラフ ィカルなウ ィンド ウを拡大表示する と、 [World] ビューを開いてデザイン エリ ア全

体をナビゲートできます。 [World] ビューは、 アクティブなグラフ ィカル ウ ィンド ウを大まかに表示したビューで、

表示エリアをすばやく移動するために使用できます。

このビューは、 デバイスまたはデザインの小さなエリ アを [Device]、 [Schematic]、 [Package]、 および [Hierarchy] ウ ィ

ンド ウで拡大表示している場合に使用できます。 [World] ビューを開くには、 図 3-25 に示すよ うに、 [Device] ウ ィン

ド ウなどのグラフ ィカル ウ ィンド ウの右下にある [Show World View] ボタンをク リ ッ ク します。

ヒン ト : [Show World View] ボタンが表示されない場合は、 ウ ィンド ウを拡大表示してください。 ウ ィ ンド ウを右ク

リ ッ ク して [Show World View] をク リ ッ ク しても表示できます。

[World] ビューには、 アクティブ ウ ィンド ウで拡大表示されているエリ アおよび選択されているオブジェク トが示さ

れます。 図 3-26 に示す [World] ビューには、 [Device] ウ ィンド ウ全体と拡大表示されているエリ アが黄色の矩形で示

されています。 この矩形を選択してド ラ ッグする と、 グラフ ィカル ウ ィンド ウで表示されているエリ アを移動でき

ます。

X-Ref Target - Figure 3-25

図 3-25: [Show World View] ボタン

Vivado IDE の使用 74UG893 (v2019.2) 2019 年 10 月 30 日 japan.xilinx.com

Page 75: Vivado Design Suite ユーザー ガイド - Xilinx...Vivado Design Suite ユーザー ガイド Vivado IDE の使用 UG893 (v2019.2) 2019 年 10 月 30 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

第 3 章: ウィンドウの使用

[World] ビューは、 デフォルト サイズで開きます。 [World] ビューの大きさを変更するには、 ビューの角にカーソル

を置き、 カーソルの形が変わったら、 ク リ ッ ク してド ラ ッグします。

[World] ビューの位置を移動するには、 ド ラ ッグ ハンドル以外の外周のどこかをク リ ッ ク して、 新しい位置にド

ラ ッグします。 この機能を使用する と、 ワークスペース ウ ィ ンド ウ内であれば、 [World] ビューをどこにでも配置

できます。

[World] ビューを閉じるには、 ビューの下向き矢印のアイコン をク リ ッ ク します。

注記: [World] ビューの大きさを変更したり、 位置を移動してから閉じる と、 次に開いたと きも同じ状態で表示され

ます。

ワークスペース ウィンドウの印刷

ワークスペースでアクティブになっているウ ィンド ウを印刷するには、 [File] → [Print] をク リ ッ ク します。 この機能

は、 [Device]、 [Package]、 [Schematic]、 および [Hierarchy] ウ ィンド ウで使用できます。

X-Ref Target - Figure 3-26

図 3-26: [World] ビュー

Vivado IDE の使用 75UG893 (v2019.2) 2019 年 10 月 30 日 japan.xilinx.com

Page 76: Vivado Design Suite ユーザー ガイド - Xilinx...Vivado Design Suite ユーザー ガイド Vivado IDE の使用 UG893 (v2019.2) 2019 年 10 月 30 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

第 3 章: ウィンドウの使用

ワークスペースの分割

ワークスペースの表示エリ アを上下または左右に分割して、 複数のウ ィンド ウを同時に表示できます。 各パネルは

個別に操作でき、 複数のウ ィンド ウをド ッキング表示できます。

同じタイプのウ ィンド ウを 2 つ開く こ と もできます。 たとえば、 [Device] ウ ィンド ウを 2 つ開いてデバイスの異なる

部分を表示したり、 異なる倍率で表示したりできます。 また、 [Device] と [Package] ウ ィンド ウ (図 3-27 を参照) な

ど、 異なる 2 つのウ ィンド ウを表示するこ と もできます。

ワークスペースを分割するには、 次のいずれかを実行します。

• ウ ィンド ウ タブを右ク リ ッ ク し、 [New Horizontal Group] または [New Vertical Group] をク リ ッ ク します。

注記: これらのコマンドは、 ワークスペース ウ ィンド ウでのみ使用できます。

• ウ ィンド ウ タブをク リ ッ ク し、 ワークスペースの端までド ラ ッグします。 グレーの四角形でウ ィンド ウの位置

がプレビューされます。 カーソルをド ラ ッグして配置箇所を決めたら、 ド ロ ップします。

X-Ref Target - Figure 3-27

図 3-27: ワークスペースを分割して複数のウィンドウを表示

Vivado IDE の使用 76UG893 (v2019.2) 2019 年 10 月 30 日 japan.xilinx.com

Page 77: Vivado Design Suite ユーザー ガイド - Xilinx...Vivado Design Suite ユーザー ガイド Vivado IDE の使用 UG893 (v2019.2) 2019 年 10 月 30 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

第 3 章: ウィンドウの使用

分割されたウィンドウを 1 つのウィンドウに戻す

ワークスペース ウ ィンド ウを分割している場合、 表示エリ アを効率的に使用するため、 ウ ィンド ウを 1 つに戻した

方がよいこ とがあ り ます。 分割したウ ィンド ウを 1 つのウ ィンド ウ表示に戻すには、 次のいずれかの操作を実行し

ます。

• ウ ィンドウ タブを右ク リ ッ ク し、 [Move to Previous Tab Group] または [Move to Next Tab Group] をク リ ッ ク します。

• ウ ィンド ウ タブをク リ ッ ク し、 別のウ ィンド ウにド ロ ップします。 グレーの長方形でウ ィンド ウ全体が囲まれ

たら ド ロ ップします。

テキスト エディ ター

Vivado IDE テキス ト エディ ターはカスタマイズ可能な統合テキス ト エディ ターであ り、 構文のハイライ ト、 オンザ

フライ構文チェッ ク、 エラーおよび警告に対するヘルプ、 コードの折りたたみ、 コード補完、 およびファイル比較

がサポート されています。 このテキス ト エディ ターでは、 次のファイル タイプがサポート されています。

• Verilog および Verilog ヘッダー ファ イル

• SystemVerilog

• VHDL ファ イル

• 制約ファイル

• Tcl スク リプ ト

• Vivado IDE ジャーナルおよびログ ファ イル

• 単純なテキス ト ファ イル

図 3-28 に示すよ うに、 テキス ト エディ ターでは次の機能がサポート されています。

• オンザフライ構文チェッ ク

構文エラーを赤色の波下線で示します (例: 図の 41 行目)。

注記: 構文エラーがある と、 ファ イルのその後にある構文に影響を与える可能性があ り ます。 ファ イルの初めの

方にあるエラーを修正する と、 その後のエラーが修正される場合があ り ます。

重要: サードパーティのテキス ト エディ ターを使用する場合は、Tcl コマンドの check_syntax を使用して手動で構

文チェッ クをする必要があ り ます。

• エラーおよび警告に対するヘルプ

スクロール バーの右側に、 構文エラーまたは警告の位置を示す赤色のマーカーが示されます。 マーカーをク

リ ッ クする と、 問題のある行にスク ロールできます。 マーカーの上にカーソルを置く と、 問題に関する情報が

表示されます。図の 41 行目の構文エラーは、「<std_logi> is not declared」 (<std_logi> が宣言されていな

い) です。

• コード補完

エラーを含む行にカーソルを置いて Ctrl + Space キーを押すと、 コード補完の候補が表示され、 エラーを解決で

きます。 図の 41 行目に対しては、 ド ロ ップダウン リ ス トに複数の候補が表示されています。

Vivado IDE の使用 77UG893 (v2019.2) 2019 年 10 月 30 日 japan.xilinx.com

Page 78: Vivado Design Suite ユーザー ガイド - Xilinx...Vivado Design Suite ユーザー ガイド Vivado IDE の使用 UG893 (v2019.2) 2019 年 10 月 30 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

第 3 章: ウィンドウの使用

ヒン ト : 構文のハイライ ト、 警告、 エラー、 およびコード補完の表示を調整する方法については、 第 4 章の 「テキス

ト エディ ター設定の指定」 を参照してください。

テキス ト エディ ターでは、 次の機能も使用できます。

• 信号、 型、 定数宣言に移動。

信号、 型、 定数を右ク リ ッ ク し、 [Go to Definitions] をク リ ッ ク します。

• 信号、 型、 定数の使用を表示。

信号、 型、 定数を右ク リ ッ ク し、 [Find Usages] をク リ ッ ク します。

• ツール ヒ ン トに定数値を表示。

変数の上にカーソルを置く と、 ツール ヒ ン トが表示されます。

• ツール ヒ ン トに型定義を表示。

変数の上にカーソルを置く と、 ツール ヒ ン トが表示されます。

Vivado IDE の使用 78UG893 (v2019.2) 2019 年 10 月 30 日 japan.xilinx.com

Page 80: Vivado Design Suite ユーザー ガイド - Xilinx...Vivado Design Suite ユーザー ガイド Vivado IDE の使用 UG893 (v2019.2) 2019 年 10 月 30 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

第 3 章: ウィンドウの使用

テキスト エディ ターのコマンド

次に、 ローカル ツールバーおよび右ク リ ッ クで表示されるポップアップ メニューから実行できるコマンドを示し

ます。

テキスト エディ ターのツールバー コマンド

ローカル ツールバーには、 次のコマンドが含まれます。

• [Find]: [Find] フ ィールドを表示し、 テキス ト文字列を検索したり、 または指定したテキス ト文字列を検索/置換

したりできます。

ヒン ト : テキス ト を検索するには Ctrl + F キーを使用し、 置換するには Ctrl + R を使用します。

• [Save File]: 現在表示されているファイルへの変更を保存します。

• [Undo]: 前の動作を取り消します。

• [Redo]: 前に取り消した動作をやり直します。

• [Cut]: 選択したテキス ト をク リ ップボードに切り取り ます。

• [Copy]: 選択したテキス ト をク リ ップボードにコピーします。

• [Paste]: ク リ ップボードの内容をカーソル位置に貼り付けます。

• [Toggle Line Comments]: 選択したテキス ト行の行頭にコ メン ト シンボルを追加し、 コ メン ト アウ ト します。 選択

した行に既にコ メン ト シンボルが付いている場合は、 削除します。

• [Toggle Column Selection Mode]: 行と列のグ リ ッ ド と してテキス ト文字のブロ ッ クを選択するか、 テキス ト を行

と して選択するかを指定します。 このコマンドは、 オンまたはオフにできます。

• [Language Templates]: 言語テンプレート を開きます。 詳細は、 第 2 章の 「言語テンプレートの使用」 を参照して

ください。

• [Settings]: ウ ィンド ウに表示される情報を制御します。 詳細は、 「テキス ト エディ ター ウ ィンド ウ設定の指定」

を参照してください。

テキスト エディ ターのポップアップ メニュー コマンド

ポップアップ メニューには、 次のコマンドが含まれます。

• [Save File]: 現在表示されているファイルへの変更を保存します。

• [Save File As]: ファイルを新しい名前で保存します。

• [Save All Files]: 開いているすべてのファイルで変更を保存します。

• [Find Usages]: 選択したモジュール、 アーキテクチャ、 エンティティ、 または信号の含まれるファイルすべてを

表示します。

• [Go to Definition]: 選択したモジュール、 アーキテクチャ、 エンティティ、 または信号の定義を表示します。

• [Undo]: 前の動作を取り消します。

• [Redo]: 前に取り消した動作をやり直します。

• [Cut]: 選択したテキス ト をク リ ップボードに切り取り ます。

• [Copy]: 選択したテキス ト をク リ ップボードにコピーします。

Vivado IDE の使用 80UG893 (v2019.2) 2019 年 10 月 30 日 japan.xilinx.com

Page 81: Vivado Design Suite ユーザー ガイド - Xilinx...Vivado Design Suite ユーザー ガイド Vivado IDE の使用 UG893 (v2019.2) 2019 年 10 月 30 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

第 3 章: ウィンドウの使用

• [Paste]: ク リ ップボードの内容をカーソル位置に貼り付けます。

• [Duplicate Selection]: 選択したテキス ト をコピーして、 カーソル位置のすぐ前に貼り付けます。

• [Select All]: テキス ト エディ ターのテキス トすべてを選択します。

• [Toggle Column Selection Mode]: 行と列のグ リ ッ ド と してテキス ト文字のブロ ッ クを選択するか、 テキス ト を行

と して選択するかを指定します。 このコマンドは、 オンまたはオフにできます。

• [Find/Replace]: 現在のファイル内のテキス ト を検索して置換します。

• [Find in Files]/[Replace in Files]: [Find in Files] ダイアログ ボッ クスを開き、 文字列を入力して選択したファイルか

ら検索します。 検索結果は、 [Find in Files] ウ ィンド ウに表示されます。 [Replace in Files] コマンドを使用する と、

検索文字列を新しい文字列に置換できます。

• [Indent Selection]/[Unindent Selection]: 選択した行の行頭にタブ スペースを追加、 または行頭のタブ スペースを削

除します。

• [Toggle Line Comments]: 選択したテキス ト行の行頭にコ メン ト シンボルを追加し、 コ メン ト アウ ト します。 選択

した行に既にコ メン ト シンボルが付いている場合は、 削除します。

• [Toggle Block Comments]: 選択したテキス トのブロ ッ クの冒頭と 後にブロ ッ ク コ メン ト シンボル (/*...*/) を追

加し、 コ メン ト アウ ト します。 このコマンドを使用する と、 複数行のテキス ト を 1 回の操作でコ メン ト アウ ト

できます。

注記: コ メン ト シンボルは、 表示しているファ イル タイプによって異なり ます。 このコマンドは、 Verilog、

SystemVerilog、 および VHDL でのみ使用可能です。

• [Blank Operations]: 選択したテキス トのタブ、 スペース、 特殊文字の表示を設定します。 テキス ト を選択してい

ない場合は、 文書全体の表示が設定されます。

° [Trim Leading Whitespace]: 選択したテキス トの先頭の空白を削除します。 テキス ト を選択していない場合

は、 文書全体に対して実行されます。

° [Trim Trailing Whitespace]: 選択したテキス トの 後の空白を削除します。 テキス ト を選択していない場合

は、 文書全体に対して実行されます。

° [Trim Leading and Trailing Whitespace]: 前の 2 つのコマンドを組み合わせて実行します (前後の空白どちらも

削除)。 テキス ト を選択していない場合は、 文書全体に対して実行されます。

° [Tab to Space]: 各タブ文字を空白文字に変更します。

° [Space to Tab]: 各空白文字をタブ文字に変更します。

° [Show Special Characters]: タブ、 空白、 行末文字などの通常は非表示になっている特殊文字を表示します。

• [Diff with <File_Name>]: [File Compare] ダイアログ ボッ クス (図 3-29) を開いて、 現在のファイルと選択するファ

イルを比較します。

注記: 比較する両方のファイルをテキス ト エディ ターに読み込んでおく必要があ り ます。

• [Folding]: テキス ト を階層に基づいて展開/非展開にし、 サイズの大きいファイルをナビゲートおよび編集しやす

く します。

° [Expand Current]: カーソル位置のテキス ト を展開します。

° [Collapse Current]: カーソル位置のテキス ト を非展開にします。

° [Expand All]: 現在のファイルに含まれるすべてのテキス ト を展開します。

° [Collapse All]: 現在のファイルに含まれるすべてのテキス ト を非展開にします。

° [Expand Comments]: 現在のファイルに含まれるすべてのコ メン ト を展開します。

° [Collapse Comments]: 現在のファイルに含まれるすべてのコ メン ト を非展開にします。

Vivado IDE の使用 81UG893 (v2019.2) 2019 年 10 月 30 日 japan.xilinx.com

Page 82: Vivado Design Suite ユーザー ガイド - Xilinx...Vivado Design Suite ユーザー ガイド Vivado IDE の使用 UG893 (v2019.2) 2019 年 10 月 30 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

第 3 章: ウィンドウの使用

注記: コードの折りたたみを指定するには、 [Tools] → [Settings] → [Text Editor] カテゴ リ をク リ ッ ク して、

[Perform Code Folding] オプシ ョ ンをオンまたはオフにします。

• [Insert Template]: 選択している言語テンプレート をテキス ト ファ イルのカーソル位置に挿入します。

テキスト エディ ター ウィンドウ設定の指定

テキス ト エディ ター ウ ィンド ウの設定では、 コード補完、 構文チェッ ク、 行番号、 ファ イル パスの表示を指定でき

ます。 テキス ト エディ ターのウ ィンド ウを設定するには、 [Settings] ツールバー ボタン をク リ ッ ク します。

設定し終えたら、 右上の閉じるボタンをク リ ッ ク します。 Vivado IDE では、 ユーザーの設定が保存され、 ツールを

起動するたびにその設定が読み込まれます。

ヒン ト : オプシ ョ ンをデフォルト設定に戻すには、 右上の [Reset] ボタン をク リ ッ ク します。

[Code Completion] 設定

[Code Completion] 設定には、 次が含まれます。

• [Code Completion]: コード補完ド ロ ップダウンをシ ョート カッ ト キーで表示されるよ うにするか、 候補を入力中

に表示するか、 コード補完をディ スエーブルにするかを設定します。

• [Keyboard Choice Selection]: 表示されている値を選択するのに Tab キーまたはスペース キーのどちらを使用する

かを設定します。

X-Ref Target - Figure 3-29

図 3-29: [File Compare] ダイアログ ボックス

Vivado IDE の使用 82UG893 (v2019.2) 2019 年 10 月 30 日 japan.xilinx.com

Page 83: Vivado Design Suite ユーザー ガイド - Xilinx...Vivado Design Suite ユーザー ガイド Vivado IDE の使用 UG893 (v2019.2) 2019 年 10 月 30 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

第 3 章: ウィンドウの使用

[Syntax Checking] 設定

[Syntax Checking] 設定には、 次が含まれます。

• [Perform dynamic syntax checking]: 構文チェッ クを有効にします。

• [Enable Sigasi for syntax checking]: サードパーティ構文チェッ クを有効にします。

• [Display Options]: 警告および注記を表示するかど うかを指定します。

[General] 設定

[General] 設定には、 次が含まれます。

• [Perform code folding]: サイズの大きいファイルの表示にコードの折りたたみを使用できるよ うにします。

• [Show file path]: テキス ト ファ イルのパス全体を表示します。

• [Display line numbers]: 行番号を表示して、 ファ イルをナビゲーシ ョ ンしやすく します。

• [Display matches for the selected word]: 現在選択している単語と同じ単語をハイライ ト します。

テキスト ファイルを開く

テキス ト エディ ターでテキス ト ファ イルを開くには、 次のいずれかを実行します。

• [File] → [Text Editor] → [Open File] をク リ ッ ク します。 このコマンドを実行する と、 ファ イルを選択して開くた

めのファイル ブラウザーが開きます。

• [Sources] ウ ィンド ウでファ イルを右ク リ ッ ク して [Open File] をク リ ッ ク します。

注記: または、 [Sources] ウ ィンド ウでファ イルをダブルク リ ッ ク します。

• [Messages] ウ ィンド ウでエラーまたは警告メ ッセージのファイル名をク リ ッ クする と、そのファイルがテキス ト

エディ ターで開きます。

• Vivado IDE のジャーナルおよびログ ファ イルを開くには、 [File] → [Project] → [Open Log File] または [File] →

[Project] → [Open Journal File] をク リ ッ ク します。

新規ソース ファイルの作成

[File] → [Text Editor] → [New File] をク リ ッ クする と、 新規ファイルを作成してテキス ト エディ ターで開く こ とがで

きます。 このコマンドを実行する とファ イル ブラウザーが開き、 フォルダーと新規ファイル名を指定してファイル

を作成できます。

ヒン ト : [Tcl Console] ウ ィンド ウ、 コンパイル ログ、 [Messages] ウ ィンド ウのエラーや警告の一部をテキス ト ファ イ

ルと して保存できます。

Vivado IDE の使用 83UG893 (v2019.2) 2019 年 10 月 30 日 japan.xilinx.com

Page 84: Vivado Design Suite ユーザー ガイド - Xilinx...Vivado Design Suite ユーザー ガイド Vivado IDE の使用 UG893 (v2019.2) 2019 年 10 月 30 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

第 3 章: ウィンドウの使用

[Device] ウィンドウ

[Device] ウ ィンドウ (図 3-30) は、 デザイン解析およびフロアプランに使用する主なグラフ ィカル インターフェイスで

す。 [Device] ウ ィンド ウには、 デバイス ロジッ ク、 クロ ッ ク領域、 I/O パッ ド、 BUFG、 MMCM、 Pblock、 セル ロケー

シ ョ ン、 ネッ ト接続など、 デバイスのリ ソースが表示されます。 特定のロジッ クを割り当てるこ とのできるロケー

シ ョ ンは、 「サイ ト 」 と呼ばれます。 [Device] ウ ィンド ウを表示するには、 [Window] → [Device] をク リ ッ ク します。

詳細は、 次の資料を参照してください。

• 『Vivado Design Suite ユーザー ガイ ド : I/O およびクロ ッ ク プランニング』 (UG899) [参照 17]

• 『Vivado Design Suite ユーザー ガイ ド : デザイン解析およびクロージャ テクニッ ク』 (UG906) [参照 13]

表示されるロジッ ク オブジェク トの詳細は、 選択しているズーム レベルによ り異なり ます。 拡大していく と、 ロ

ジッ ク オブジェク トがよ り詳細に表示されます。 特に [Routing Resources] をオンにしてデバイス全体の配線リ ソー

スを表示している場合は、 ロジッ クが抽象的な形式で表示され、 大まかな配置および密集が表示されます。 拡大す

る と、 詳細な配置配線を確認できます。

X-Ref Target - Figure 3-30

図 3-30: [Device] ウィンドウ

Vivado IDE の使用 84UG893 (v2019.2) 2019 年 10 月 30 日 japan.xilinx.com

Page 85: Vivado Design Suite ユーザー ガイド - Xilinx...Vivado Design Suite ユーザー ガイド Vivado IDE の使用 UG893 (v2019.2) 2019 年 10 月 30 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

第 3 章: ウィンドウの使用

[Device] ウィンドウのツールバー コマンド

ローカル ツールバーには、 次のコマンドが含まれます。

• [Previous]: [Device] ウ ィンド ウの表示を前のズーム レベルと座標に戻します。

• [Next]: ツールバーの [Previous] ボタンを使用した後に [Device] ウ ィンド ウの表示を元のズーム レベルと座標に

戻します。

• [Zoom In]: [Device] ウ ィンド ウを拡大します。

• [Zoom Out]: [Device] ウ ィンド ウを縮小します。

• [Zoom Fit]: [Device] ウ ィンド ウにデバイス全体を表示します。

• [Select Area]: 指定した四角形のエリ ア内のオブジェク ト を選択します。

• [Autofit Selection]: 新し く選択したオブジェク ト を [Device] ウ ィンド ウで自動的に再描画します。 このモードは、

オンまたはオフにできます。

• [Routing Resources]: [Device] ウ ィンド ウに配線リ ソースを表示します。

• [Draw Pblock]: カーソルが [Draw Pblock] モードになり、 新しい Pblock の矩形を作成してセルを配置できます。

• [Cell Drag & Drop Modes]: デバイスに配置したセルに配置制約を割り当てる方法を指定します。 表示されるボタ

ンは、 現在選択されているモードによって異なり ます。

° [Create BEL Constraint Mode]: LOC および BEL 制約を配置されるセルに割り当てます。 これによ り、 セルが

スライス内の指定した BEL に固定されます。

° [Create Site Constraint Mode]: LOC 配置制約を配置されるセルに割り当てます。 これによ り、 セルは指定スラ

イスに固定されますが、 スライス内の BEL には固定されません。

° [Assign Cell to Pblock Mode]: Pblock にロジッ ク セルを割り当てます。 インプリ メンテーシ ョ ンの柔軟性が

大になり ます。 これがデフォルト モードです。

• [Show Cell Connections]: 選択したオブジェク トの接続を次の選択に基づいて表示します。

° [Show Input Connections]: 選択したセルの入力接続を表示します。

° [Show Output Connections]: 選択したセルの出力接続を表示します。

° [Max Cell Count]: 描画のパフォーマンスを向上するため表示する接続の数を制限します。 この値を大き くす

る と、 セルの接続が多数表示されるよ うになり ますが、 グラフ ィ ッ クの表示が 適になるよ う 1000 以下に

設定するこ とをお勧めします。

• [Settings]: ウ ィンド ウに表示される情報を制御します。 詳細は、 「[Device] ウ ィンド ウの設定」 を参照してくださ

い。

拡大/縮小および移動

拡大/縮小および移動するには、 次を実行します。

• ポップアップ メニューまたはローカル ツールバーからズーム コマンドを使用します。

• [Device] ウ ィンド ウでマウスをク リ ッ ク してカーソルをド ラ ッグし、 エ リ アを拡大または縮小します。 詳細は、

「マウスを使用した拡大/縮小」 を参照して ください。

• [Device] ウ ィンド ウのスクロール バーやダイナミ ッ クな表示移動機能を使用し、 表示エリ アを移動します。

Vivado IDE の使用 85UG893 (v2019.2) 2019 年 10 月 30 日 japan.xilinx.com

Page 86: Vivado Design Suite ユーザー ガイド - Xilinx...Vivado Design Suite ユーザー ガイド Vivado IDE の使用 UG893 (v2019.2) 2019 年 10 月 30 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

第 3 章: ウィンドウの使用

デバイス リソース情報の取得

[Device] ウ ィンド ウのオブジェク ト上にカーソルを置く と、 ツール ヒ ン トにオブジェク トの名前が表示されます。

サイ ト またはロジッ ク オブジェク ト を選択する と、 [Properties] ウ ィンド ウにプロパティが表示されます。 特定のデ

バイス リ ソース サイ ト を検索するには、 [Edit] → [Find] をク リ ッ ク します。 詳細は、 第 2 章の 「デザインおよびデ

ザイン オブジェク トの検索」 を参照してください。

[Device] ウ ィンド ウには、 デバイスの確認およびデザインの変更中のダイナミ ッ ク フ ィードバッ ク機能もあ り ます。

たとえば、 ロジッ ク リ ソースの無効な割り当てを実行しよ う とする と、 カーソルが変化するので、 操作を調整でき

ます。 詳細は、 「文脈依存のカーソル」 を参照してください。

デバイス リソースの表示

[Device] ウ ィンド ウには、 選択したデバイスに含まれるさまざまな リ ソースが表示されます。 BUFG、 BUFGCTRL、

BUFR、 BUFHCE コンポーネン ト などのすべてのクロ ッ ク リ ソースを含め、 すべてのデバイス特有のリ ソースに対

してグラフ ィカル サイ トが表示されます。 デバイス内部は、 タイルと呼ばれる小さな長方形に分割されています。

タイルは、 アーキテクチャのロジッ ク プリ ミ ティブのさまざまなタイプの配置サイ トです。

デバイス リ ソースの表示レベルは、 [Device] ウ ィンド ウのズーム レベルによって変わり ます。 スラ イス リ ソースな

どの一部のリ ソースは、 デバイス ロジッ クをかな り拡大しないと表示されません。 ク ロ ッ ク領域や I/O バンクなど

のリ ソースは、 デバイス全体を表示していても見えます。 また、 「[Device] ウ ィンド ウの設定」 に示すよ うに、

[Device] ウ ィンド ウ内で特定のオブジェク トやリ ソースの表示/非表示を切り替えるこ と もできます。

[Device] ウ ィンド ウには、 リ ソースが次のよ うに表示されます。

• I/O パッ ドおよびクロ ッ ク オブジェク ト : デバイス周囲が長方形で囲まれるか、 デバイスの中央下に長方形で表

示されます。

• I/O バンク : I/O パッ ドの行のすぐ外側に細い長方形と して影付きで表示されます。

• 使用可能な I/O バンク サイ ト : I/O バンクの長方形が色で塗りつぶされます。

• ボンディングされていない I/O バンク : 白い X マークの付いた長方形で表示されます。

• I/O ク ロ ッ ク パッ ド : 色で塗りつぶされた長方形で表示されます。

注記: [Device] ウ ィンド ウで I/O バンクまたはクロ ッ ク領域を選択する と、 その [Properties] ウ ィンド ウに使用可能な

デバイス リ ソースが表示されます。

ヒン ト : [Device] ウ ィンド ウでロジッ ク サイ トの上にカーソルを置く と、 各サイ ト を識別するツール ヒ ン トが表示さ

れます。

[Device] ウィンドウの設定

[Device] ウ ィンド ウの設定では、 [Device] ウ ィンド ウに表示するレイヤーおよびオブジェク ト を指定し、 ウ ィ ンド ウ

で使用されるアイコンが示されます。 [Device] ウ ィンド ウを設定するには、 [Settings] ツールバー ボタン をク

リ ッ ク します。

設定し終えたら、 右上の閉じるボタンをク リ ッ ク します。 Vivado IDE では、 ユーザーの設定が保存され、 ツールを

起動するたびにその設定が読み込まれます。

ヒン ト : オプシ ョ ンをデフォルト設定に戻すには、 右上の [Reset] ボタン をク リ ッ ク します。

Vivado IDE の使用 86UG893 (v2019.2) 2019 年 10 月 30 日 japan.xilinx.com

Page 87: Vivado Design Suite ユーザー ガイド - Xilinx...Vivado Design Suite ユーザー ガイド Vivado IDE の使用 UG893 (v2019.2) 2019 年 10 月 30 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

第 3 章: ウィンドウの使用

[Device] ウィンドウの [Layers] 設定

[Layers] 設定 (図 3-31) では、 [Device] ウ ィンド ウに表示するデバイスおよびデザイン オブジェク ト を指定します。 こ

のコマンドを実行する と、 [Device] ウ ィンド ウでの表示レベルを制御できます。 これは、 表示に情報が多すぎる場合

に特に便利です。

主なカテゴ リは次の 2 つです。

• [Design]: デバイスに配置されるセル、 ネッ ト 、 ポート などのデザイン ソースからのエレ メン トです。

• [Device]: デザイン オブジェク ト を配置可能な I/O バンク、 ク ロ ッ ク領域、 タイルなどのデバイス上のリ ソース

です。

[Layers] 設定では、 次を実行できます。

• 展開 および非展開 をク リ ッ ク して、 ツ リー ビューの階層を展開または非展開にします。

• レイヤーまたはオブジェク トの横にあるチェッ ク ボッ クスのオン/オフを切り替えて、 [Device] ウ ィンド ウに表示

されるものを選択します。チェッ ク ボッ クスがオンのレイヤーが表示されます。 レイヤーのカテゴ リのチェッ ク

ボッ クスをオン/オフにするこ とによ り、 オブジェク ト またはレイヤーのグループを表示/非表示にできます。 各

レイヤーまたはオブジェク ト ディレク ト リ をク リ ッ クする と、 それらを表示または非表示にできます。

注記: [Device] ウ ィンドウで特定のオブジェク ト またはレイヤーが表示されない場合は、 [Layers] 設定を確認して、

そのデザイン オブジェク ト またはデバイス リ ソースが非表示になっていないかど うかを確認してください。

ヒン ト : Shift キーを使用する と複数のレイヤーを選択できます。 スペース キーを使用する と、 選択したレイヤーの

オン/オフを切り替えるこ とができます。

X-Ref Target - Figure 3-31

図 3-31: [Device] ウィンドウ — [Layers] 設定

Vivado IDE の使用 87UG893 (v2019.2) 2019 年 10 月 30 日 japan.xilinx.com

Page 88: Vivado Design Suite ユーザー ガイド - Xilinx...Vivado Design Suite ユーザー ガイド Vivado IDE の使用 UG893 (v2019.2) 2019 年 10 月 30 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

第 3 章: ウィンドウの使用

[Device] ウィンドウの [Colors] 設定

[Colors] 設定 (図 3-32) では、 [Device] ウ ィンド ウのエレ メン トの色および塗りつぶし色を変更できます。 詳細は、

第 4 章の 「色の変更」 を参照してください。

X-Ref Target - Figure 3-32

図 3-32: [Device] ウィンドウ — [Colors] 設定

Vivado IDE の使用 88UG893 (v2019.2) 2019 年 10 月 30 日 japan.xilinx.com

Page 89: Vivado Design Suite ユーザー ガイド - Xilinx...Vivado Design Suite ユーザー ガイド Vivado IDE の使用 UG893 (v2019.2) 2019 年 10 月 30 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

第 3 章: ウィンドウの使用

[Device] ウィンドウの [General] 設定

[General] 設定 (図 3-33) では、 デバイスのネッ ト接続の表示特性を指定します。

次の設定を使用できます。

• [Nets]

° [High fanout net limit]: 1 つのピンに対して表示される接続本数を制限します。 指定した接続数よ り もファン

アウ トが多い場合、 ピンのネッ トは表示されません。

° [Show I/O Nets]: 配置した LOC または Pblock への I/O 接続の表示を切り替えます。

• [Connections]

° [Show connections while dragging cells]: [Device] ウ ィンド ウでセルをド ラ ッグして配置する と きに、 選択した

セルに接続されるネッ ト を表示します。

• [Routing]

° [Leave existing routing]: 配線を変更せずにそのままにします。 ほとんどの場合、 アンテナまたは未接続のブ

ランチになり ます。

° [Unroute branches]: 元の配置へのブランチの接続を解除します。 ほとんどの場合、 未接続のブランチになり

ます。

° [Unroute and reroute branches]: 元の配置へのブランチの接続を解除し、 新しい配置にブランチを接続し直し

ます。 ほとんどの場合、 完全に接続されたネッ トになり ます。

• [Miscellaneous]

° [Autocheck I/O placement]: インタラ クティブ I/O 配置 DRC のオン/オフを切り替えます。 オンにする と、 イ

ンタラ クティブ I/O 配置が有効なデザイン ルールに対してチェッ ク されます。

X-Ref Target - Figure 3-33

図 3-33: [Device] ウィンドウ - [General] 設定

Vivado IDE の使用 89UG893 (v2019.2) 2019 年 10 月 30 日 japan.xilinx.com

Page 90: Vivado Design Suite ユーザー ガイド - Xilinx...Vivado Design Suite ユーザー ガイド Vivado IDE の使用 UG893 (v2019.2) 2019 年 10 月 30 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

第 3 章: ウィンドウの使用

クロック領域の選択

ク ロ ッ ク領域は、 デバイス ク ロ ッ ク領域を示す大きな長方形と して表示されます。 この境界線は、 ク リ ティカルな

回路のフロアプランに役立ちます。 [Device] ウ ィンド ウで、 次の操作を実行できます。

• [Clock Regions] ウ ィンド ウでクロ ッ ク領域を選択します。

• ク ロ ッ ク領域を選択して、 [Clock Region Properties] にリ ソース統計を表示します。

• インプ リ メンテーシ ョ ン結果をインポート した後、 ク ロ ッ ク配置統計を表示します。

注記: ク ロ ッ ク領域を選択する と、 対応する I/O バンク も選択されます。

複数の [Device] ウィンドウの表示

同じフロアプランに対して、 複数の [Device] ウ ィンド ウを開く こ とができます。 複数表示するこ とで、 デバイスの

異なるエリアで作業できます。 詳細は、 「ワークスペースの分割」 を参照してください。

[Package] ウィンドウ

[Package] ウ ィンド ウ (図 3-34) には、 ターゲッ ト ザイ リ ンクス パーツの物理特性が表示されます。 このウ ィンド ウ

は、 主に I/O プランニングまたはポート配置で使用します。 各ピン タイプは、 異なる色と形を使用して表示されま

す。 [Package] ウ ィンド ウを使用した I/O プランニングの詳細は、 『Vivado Design Suite ユーザー ガイ ド : I/O およびク

ロ ッ ク プランニング』 (UG899) [参照 17] を参照してください。 [Package] ウ ィンド ウを開くには、 [Window] →

[Package] をク リ ッ ク します。

注記: [Package] ウ ィンド ウは、 [I/O Planning] レイアウ ト を選択する と自動的に開きます。

Vivado IDE の使用 90UG893 (v2019.2) 2019 年 10 月 30 日 japan.xilinx.com

Page 91: Vivado Design Suite ユーザー ガイド - Xilinx...Vivado Design Suite ユーザー ガイド Vivado IDE の使用 UG893 (v2019.2) 2019 年 10 月 30 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

第 3 章: ウィンドウの使用

[Package] ウ ィンド ウでは、 次を実行できます。

• ポート を [Package] ウ ィンド ウにド ラ ッグして割り当て、 配置済みセルをほかの I/O ピンに割り当て直します。

注記: [Autocheck I/O Placement] はデフォルトでオンで、 ド ラ ッグ アンド ド ロ ップ中に有効なピン配置だけが実

行されるよ うになっています。

• ピンおよび I/O バンクは、 次のよ うに表示されます。

° VCC は赤色の正方形、 GND ピンは緑色の正方形で表示されます。

° ク ロ ッ ク ピンは、 六角形で表示されます。

° ユーザー ピンおよび多目的ピンは丸で表示されます。

° デバイスの I/O バンクごとに表示される領域の色は異なり ます。

• カーソルを [Package] ウ ィンド ウ上で移動する と、 ウ ィンド ウの上と左に I/O ピンの座標が示されます。

• ピン上にカーソルを置き、 ピン情報を含むツール ヒ ン ト を表示します。 その他の I/O ピンおよびバンク情報は、

ステータス バーの情報バーに表示されます。

• I/O ピンまたはバンクを選択する と、 [Device] ウ ィンド ウ と [Package] ウ ィンド ウ間をクロスプローブでき、 [Pins

Properties] ウ ィンド ウでピン情報を確認できます。

X-Ref Target - Figure 3-34

図 3-34: [Package] ウィンドウ

Vivado IDE の使用 91UG893 (v2019.2) 2019 年 10 月 30 日 japan.xilinx.com

Page 92: Vivado Design Suite ユーザー ガイド - Xilinx...Vivado Design Suite ユーザー ガイド Vivado IDE の使用 UG893 (v2019.2) 2019 年 10 月 30 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

第 3 章: ウィンドウの使用

[Package] ウィンドウのツールバー コマンド

ローカル ツールバーには、 次のコマンドが含まれます。

• [Previous]: [Package] ウ ィンド ウの表示を前のズーム レベルと座標に戻します。

• [Next]: ツールバーの [Previous] ボタンを使用した後に [Package] ウ ィンド ウの表示を元のズーム レベルと座標に

戻します。

• [Zoom In]: [Package] ウ ィンド ウを拡大します。

• [Zoom Out]: [Package] ウ ィンド ウを縮小します。

• [Zoom Fit]: 表示エリ アにパッケージ全体を表示します。

• [Select Area]: 指定した四角形のエリ ア内のオブジェク ト を選択します。

• [Autofit Selection]: 新し く選択したオブジェク ト を [Package] ウ ィンド ウで自動的に再描画します。 このモード

は、 オンまたはオフにできます。

• [Settings]: ウ ィンド ウに表示される情報を制御します。 詳細は、 「[Package] ウ ィンド ウの設定」 を参照してくだ

さい。

[Package] ウィンドウの設定

[Package] ウ ィンド ウの設定では、 [Package] ウ ィンド ウに表示するレイヤーおよびオブジェク ト を指定し、 [Package]

ウ ィンド ウで使用されているアイコンを示します。 [Package] ウ ィンド ウを設定するには、 [Settings] ツールバー ボタ

ン をク リ ッ ク します。

設定し終えたら、 右上の閉じるボタンをク リ ッ ク します。 Vivado IDE では、 ユーザーの設定が保存され、 ツールを

起動するたびにその設定が読み込まれます。

ヒン ト : オプシ ョ ンをデフォルト設定に戻すには、 右上の [Reset] ボタン をク リ ッ ク します。

Vivado IDE の使用 92UG893 (v2019.2) 2019 年 10 月 30 日 japan.xilinx.com

Page 93: Vivado Design Suite ユーザー ガイド - Xilinx...Vivado Design Suite ユーザー ガイド Vivado IDE の使用 UG893 (v2019.2) 2019 年 10 月 30 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

第 3 章: ウィンドウの使用

[Package] ウィンドウの [Layers] 設定

[Layers] 設定 (図 3-35) では、 [Package] ウ ィンド ウに表示されるエレ メン ト を指定します。

使用可能なレイヤーはツ リー形式で階層表示されます。

• 展開 および非展開 をク リ ッ ク して、 ツ リー ビューの階層を展開または非展開にし、 別のレイヤーを

表示します。

• チェッ ク ボッ クスのオン/オフを切り替えて、 [Package] ウ ィンド ウに表示されるレイヤーを選択します。 チェッ

ク ボッ クスがオンのレイヤーが表示されます。 表示/非表示は次のよ うに設定できます。

° オブジェク トのカテゴ リのチェッ ク ボッ クスをオン/オフにするこ とによ り、 オブジェク トのグループを表

示/非表示

° 単独のアイテムをオン/オフにするこ とによ り、 そのオブジェク トのみを表示/非表示

ヒン ト : Shift キーを使用する と複数のレイヤーを選択できます。 スペース キーを使用する と、 選択したレイヤーの

オン/オフを切り替えるこ とができます。

[Package] ウ ィンド ウでの特定のピンの表示は、 [Package] ウ ィンド ウの設定のピンを表すレイヤーの組み合わせに

よって異なり ます。 たとえば、 [Package] ウ ィンド ウの設定で [I/O Banks] をオフにした場合、 グランド ピンは表示さ

れますが、ユーザー I/O および多目的ピンは [Pins] の下の [I/O and Multi-Function Pins] を選択した場合でも表示されま

せん。

X-Ref Target - Figure 3-35

図 3-35: [Package] ウィンドウ — [Layers] 設定

Vivado IDE の使用 93UG893 (v2019.2) 2019 年 10 月 30 日 japan.xilinx.com

Page 94: Vivado Design Suite ユーザー ガイド - Xilinx...Vivado Design Suite ユーザー ガイド Vivado IDE の使用 UG893 (v2019.2) 2019 年 10 月 30 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

第 3 章: ウィンドウの使用

重要: ピンが [Package] ウ ィンド ウに表示されていない場合、 そのピンにポート を割り当てるこ とはできません。

[Package] ウ ィンド ウの設定でピンとそれを含む I/O ブロ ッ クの両方が表示されるよ うに設定されているかど うかを

確認してください。

[Package] ウ ィンド ウの設定には、 次のカテゴ リのレイヤーがあ り ます。

• [I/O Ports]: 現在デザインに配置されているポート (固定または未固定)。 配置されていないポートは、 [Package]

ウ ィンド ウには表示されません。

• [Pins]: 使用可能なパッケージ ピンが、 多目的ピン、 電源ピン、 未接続ピンなどに分類されています。 ピンは、

次のよ うに表示されます。

° 電源ピンは I/O バンク とは別に表示されます。

° 多目的ピンは含まれる I/O バンクの一部と して、 使用可能な機能を示すシンボルで表示されます。 次に例

を示します。

- 基本的な I/O ピンは、 デフォルトでグレーの丸で表示されます。

- ク ロ ッ ク兼用ピンは、 デフォルトでは青い六角形で表示されます。

- VREF、 VRP、 および VRN ピンには、 デフォルトでは電源マークが表示されます。

- 残りのピンはアスタ リ スク (*) 付きで表示され、 デフォルトでは表示されません。

• [I/O Bank Types]: さまざまなタイプの I/O バンク。 リ ス ト されるタイプは、 ターゲッ ト デバイスによって異なり

ます。 たとえば図 3-36 は、 [High Performance] レイヤーを選択した場合に表示される HP (High Performance) バン

クを示しています。

• [I/O Banks]: デバイス上の各バンクのピン サイ トおよび GT ピンのピン サイ ト。 各 I/O バンク と GT バンクは、

バンクを区別しやすくするため、 色分け表示されます。

ヒン ト : I/O バンク レイヤーをオフにする と、 ピンが割り当てられないよ うにバンクを簡単に保護できます。 この方

法を利用する と、 後で使用するためにバンクを予約したり、 バンクがフルであるこ とを示すこ とができます。

• [Other]: サイ トの背景に X 軸と Y 軸の線が表示されます。

[Device] ウィンドウの [General] 設定

[General] 設定には、 次が含まれます。

• [Autocheck I/O Placement]: インタラ クティブ I/O 配置 DRC のオン/オフを切り替えます。 オンにする と、 インタ

ラ クティブ I/O 配置が有効なデザイン ルールに対してチェッ ク されます。

• [Show Bottom View]: パッケージ ピンを下から見たよ うに表示します。

• [Show Differential I/O Pairs]: [Package] ウ ィンド ウの差動ペア ピンを表示します。

X-Ref Target - Figure 3-36

図 3-36: HP (High Performance) I/O バンク

Vivado IDE の使用 94UG893 (v2019.2) 2019 年 10 月 30 日 japan.xilinx.com

Page 95: Vivado Design Suite ユーザー ガイド - Xilinx...Vivado Design Suite ユーザー ガイド Vivado IDE の使用 UG893 (v2019.2) 2019 年 10 月 30 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

第 3 章: ウィンドウの使用

複数の [Package] ウィンドウの表示

同じデザインに対して、 複数の [Package] ウ ィンド ウを開く こ とができます。 複数表示するこ とで、 パッケージの異

なるエリアで作業できます。 詳細は、 「ワークスペースの分割」 を参照してください。

[Schematic] ウィンドウ

回路図は、 どのレベルの論理階層または物理階層に対しても表示できます。 [Netlist] ウ ィンド ウでプ リ ミ ティブや

ネッ ト などのロジッ ク エレ メン ト を右ク リ ッ ク して [Schematic] をク リ ッ クする と、 選択したオブジェク トの回路図

が作成されます。 エラボレート済みデザインを開く と、 デザインの 上位の回路図が表示されます (図 3-37)。

[Schematic] ウ ィンド ウを使用する と、 デザインのインターコネク トや階層構造を表示したり、 エラボレート済みデ

ザイン、 合成済みデザイン、 またはインプリ メン ト済みデザインの信号パスを ト レースしたりできます。

RTL ネッ ト リ ス ト解析の詳細は、 『Vivado Design Suite ユーザー ガイ ド : システム レベル デザイン入力』 (UG895) [参

照 15] のこのセクシ ョ ンを参照してください。 合成済みネッ ト リ ス トの解析については、 『Vivado Design Suite ユー

ザー ガイ ド : デザイン解析およびクロージャ テクニッ ク』 (UG906) [参照 13] を参照してください。

注記: 点線は、 そのネッ トが回路図に表示されていないロジッ クに接続されているこ とを示します。

X-Ref Target - Figure 3-37

図 3-37: [Schematic] ウィンドウ

Vivado IDE の使用 95UG893 (v2019.2) 2019 年 10 月 30 日 japan.xilinx.com

Page 96: Vivado Design Suite ユーザー ガイド - Xilinx...Vivado Design Suite ユーザー ガイド Vivado IDE の使用 UG893 (v2019.2) 2019 年 10 月 30 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

第 3 章: ウィンドウの使用

[Schematic] ウィンドウの作成

[Schematic] ウ ィンド ウを開くには、 次の手順に従います。

1. [Netlist] ウ ィンド ウのよ うな開いているウ ィンド ウで、 1 つまたは複数のロジッ ク エレ メン ト を選択します。

2. 右ク リ ッ ク して [Schematic] をク リ ッ クするか、 ツールバーの [Schematic] ボタン をク リ ッ クするか、 F4

キーを押します。

[Schematic] ウ ィンド ウには、 選択したロジッ クのセルまたはネッ トが表示されます。 セルを 1 つのみ選択して

いる場合は、 図 3-38 に示すよ うに、 そのモジュールの回路図シンボルが表示されます。

[Schematic] ウ ィンド ウでは、 オブジェク ト を次のよ うに検索および表示できます。

• 回路図シート上部に表示される [Cells]、 [I/O Ports]、 [Nets] リ ンクをク リ ッ クする と、 [Find Results] ウ ィンド ウ

に検索可能な リ ス トが開き、 回路図内で特定のアイテムを検索しやすくな り ます。

• [Schematic] ウ ィンド ウでオブジェク ト を選択する と、 その他すべてのウ ィンド ウでも選択されます。 インプリ

メン ト済みデザインが開いている場合は、 セルおよびネッ トが [Device] ウ ィンド ウに表示されます。

X-Ref Target - Figure 3-38

図 3-38: 回路図シンボル

Vivado IDE の使用 96UG893 (v2019.2) 2019 年 10 月 30 日 japan.xilinx.com

Page 97: Vivado Design Suite ユーザー ガイド - Xilinx...Vivado Design Suite ユーザー ガイド Vivado IDE の使用 UG893 (v2019.2) 2019 年 10 月 30 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

第 3 章: ウィンドウの使用

[Schematic] ウィンドウのツールバー コマンド

ローカル ツールバーには、 次のコマンドが含まれます。

• [Previous]: [Schematic] ウ ィンド ウの表示を前のズーム レベル、 座標、 ロジッ ク内容に戻します。

注記: このコマンドは、 12,000 個以下のオブジェク ト を含む回路図でのみ使用可能です。

• [Next]: [Previous] を使用した後に [Schematic] ウ ィンド ウの表示を元のズーム レベル、 座標、 ロジッ ク内容に戻

します。

注記: このコマンドは、 12,000 個以下のオブジェク ト を含む回路図でのみ使用可能です。

• [Zoom In]: [Schematic] ウ ィンド ウを拡大します。

• [Zoom Out]: [Schematic] ウ ィンド ウを縮小します。

• [Zoom Fit]: 表示エリ アに回路図全体を表示します。

• [Select Area]: 指定した四角形のエリ ア内のオブジェク ト を選択します。

• [Auto-fit Selection]: 新し く選択したオブジェク ト を [Schematic] ウ ィンド ウで自動的に再描画します。 このモード

は、 オンまたはオフにできます。

• [Autohide Pins]: 選択した階層モジュールのピン表示を切り替えます。 回路図が生成される と、 図 3-38 のよ うに、

よ り高いレベルの階層がピンなしで多重の長方形と して表示され、 セルが未接続のピンを隠した状態になり ま

す。 ほとんどの場合、 ピンが表示されない方が回路図が見やすくなり ます。 必要に応じて、 選択したセルのピ

ンを表示できます。

• [Add]: 新し く選択したエレ メン ト を既存の回路図に追加して [Schematic] ウ ィンド ウを作成し直します。

• [Remove]: 現在選択しているエレ メン ト を既存の回路図から削除して [Schematic] ウ ィンド ウを作成し直します。

• [Regenerate Schematic]: 表示している [Schematic] ウ ィンド ウを描画し直します。

• [Settings]: ウ ィンド ウに表示される情報を制御します。 詳細は、 「[Schematic] ウ ィンド ウの設定」 を参照してく

ださい。

選択したセルおよびピンからのロジックの展開

回路図セルまたは回路図セル上のピンを選択する と、 次の操作を実行できます。

• モジュール ピンおよびロジッ クを個別に展開または非展開します。

• 個々のピン、 セル、 またはモジュール内外のロジッ ク全体から、 ロジッ クを選択して展開できます。

選択したモジュールに含まれるロジッ クまたはモジュールの次の上位階層に含まれるロジッ クを展開または非展開

できます。 1 つのモジュールまたは複数のモジュールを展開できます。 ポップアップ メニューから回路図ロジッ ク

を展開するコマンドは、 次のとおりです。

• [Expand/Collapse] → [Expand Inside]: 選択したセル内の回路図階層を表示します。 選択したセルの内容が展開さ

れ、 [Schematic] ウ ィンド ウが更新されます。 回路図内の展開ボタン を使用するこ と もできます。

注記: 選択したセルがデザイン階層内のプリ ミ ティブである場合は、 このコマンドは使用できません。

• [Expand/Collapse] → [Collapse Inside]: 選択した階層ブロ ッ クの展開された内容を閉じます。 回路図内の非展開ボ

タン を使用するこ と もできます。

Vivado IDE の使用 97UG893 (v2019.2) 2019 年 10 月 30 日 japan.xilinx.com

Page 98: Vivado Design Suite ユーザー ガイド - Xilinx...Vivado Design Suite ユーザー ガイド Vivado IDE の使用 UG893 (v2019.2) 2019 年 10 月 30 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

第 3 章: ウィンドウの使用

• [Expand/Collapse] → [Expand Outside]: 選択したセルの上位階層を表示します。 選択したセルの上位階層が展開さ

れ、 [Schematic] ウ ィンド ウが更新されます。

注記: 選択したセルがデザイン階層の 上位である場合は、 このコマンドをク リ ッ ク しても何も起こ り ません。

• [Expand/Collapse] → [Collapse Outside]: 選択したセルの外の階層を非展開にします。

次のよ うにダブルク リ ッ ク して、 回路図ロジッ クを展開するこ と もできます。

• セルのピンをダブルク リ ッ クする と、 ネッ トが階層の下方向または上方向にト レースされます。 ピンは、

図 3-39 の O1 および fifo_out[31:0] のよ うに、 回路図シンボルの内側と外側に表示されます。 シンボルの

内側または外側のどちらをク リ ッ クするかで、 展開方向が変わり ます。

注記: n/c と記述されているピンは、 そのピンへの接続がないこ とを示します。

• 回路図シンボルの内側のピンをダブルク リ ッ クする と、 ネッ トが下位階層にト レースされます。

• 回路図シンボルの外側のピンをダブルク リ ッ クする と、 ネッ トが上位階層にト レースされます。

注記: ネッ トの展開は、 階層モジュールを [Expand Inside]/[Expand Outside] コマンドを使用して展開した場合とは異な

る結果になり ます。 ピンをダブルク リ ッ クする とネッ トが ト レースされますが、 階層の全内容は表示されません。

バスを展開してすべてのビッ ト を含めるこ とができます。 バスは、 太いワイヤで表示されます。 ポップアップ メ

ニューから [Expand Cone] をク リ ッ ク し、 選択したピンまたはセル、 あるいは選択した 2 つのセル間のロジッ クの

コーンを展開します。 ロジッ クは、 階層の境界を越えて展開されるこ とがあ り ます。 展開した結果が画面に収まる

よ うに表示が調整されます。 使用可能な [Expand Cone] コマンドは、 次のとおりです。

• [To Flops or I/Os]: 初のフ リ ップフロ ップまたは I/O まで、またはブロッ ク RAM および FIFO などの順次エレ メ

ン ト までのロジッ ク コーンを表示します。

• [To Leaf Cells]: 初のプリ ミ ティブまでの出力ロジッ クのコーンを表示します。

注記: ピンまたはセルをダブルク リ ッ ク しても、 このコマンドを使用できます。

• [To Selected Cells]: 2 つの選択したセル間のロジッ クのコーンを表示します。

X-Ref Target - Figure 3-39

図 3-39: 回路図ピンのダブルクリ ック

Vivado IDE の使用 98UG893 (v2019.2) 2019 年 10 月 30 日 japan.xilinx.com

Page 99: Vivado Design Suite ユーザー ガイド - Xilinx...Vivado Design Suite ユーザー ガイド Vivado IDE の使用 UG893 (v2019.2) 2019 年 10 月 30 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

第 3 章: ウィンドウの使用

[Schematic] ウィンドウでのオブジェク トの選択

[Schematic] ウ ィンド ウでオブジェク ト を選択するには、 次を実行します。

• [Schematic] ウ ィンド ウでオブジェク ト をク リ ッ ク します。

• 複数のオブジェク ト を選択するには、 Ctrl キーを使用します。

• ローカル ツールバーの [Select Area] ボタンをク リ ッ ク し、 複数のセル、 ポート、 ネッ ト を囲む長方形を描画し

ます。

[Schematic] ウ ィンド ウでオブジェク ト を選択する と、 次のよ うにな り ます。

• オブジェク トは、 その他すべてのウ ィンド ウでも選択されます。 同様に、 ほかのウ ィンド ウでオブジェク ト を

選択する と、 [Schematic] ウ ィンド ウでも選択されます。

• 選択したオブジェク トの [Properties] ウ ィンド ウが表示されるか、 オブジェク ト プロパティがアップデート され

ます。

たとえばネッ ト を選択している場合、 [Connectivity] ビューにネッ トに接続されているすべてのプリ ミ ティブ セ

ルがすべてレポート されます。 [Cell Pins] ビューには、 ネッ トに接続されているセルのピンがリ ス ト され、 プ リ

ミ ティブおよび階層セルの両方が示されます。 階層セルに接続されているネッ ト を選択する と、 これらの

ビューの違いがわかり ます。

[Schematic] ウィンドウの設定

[Schematic] 設定では、 回路図シンボルおよびピンに表示するプロパティ、 [Schematic] ウ ィンドウを作成する際に使用

する色を指定します。 [Schematic] ウ ィンド ウを設定するには、 [Settings] ツールバー ボタン をク リ ッ ク します。

オプシ ョ ンを設定し終えたら、 右上の閉じるボタンをク リ ッ ク します。 Vivado IDE では、 ユーザーの設定が保存さ

れ、 ツールを起動するたびにその設定が読み込まれます。

ヒン ト : オプシ ョ ンをデフォルト設定に戻すには、 右上の [Reset] ボタン をク リ ッ ク します。

[Schematic] ウィンドウの [Display] 設定

[Display] 設定 (図 3-40) では、 [Schematic] ウ ィンド ウでの次の表示を制御できます。

• [Inst Equation]: セルに真理値表の論理式を含めて表示します。

• [Fanout for Scalar/Bus Pin]: セル ピンにファンアウ ト値を表示します。

• [Setup Slack for Scalar/Bus Pin]: デスティネーシ ョ ン ピンにスラ ッ ク値を表示します。 スラ ッ ク値は、 [Report

Timing Summary] または [Report Timing] でデータが生成されるまで表示されません。 詳細は、 『Vivado Design

Suite ユーザー ガイ ド : デザイン解析およびクロージャ テクニッ ク』 (UG906) [参照 13] のこのセクシ ョ ンを参照

してください。

注記: タイ ミ ング データをアップデート したら、 回路図を リ フレッシュしないと新しいスタ ッ ク値は表示されま

せん。

• [Static Probability for Scalar/Bus Pin]:セル ピンに接続されているネッ トのスタティ ッ ク確率を表示します。 詳細

は、 『Vivado Design Suite ユーザー ガイ ド : 消費電力解析および 適化』 (UG907) のこのセクシ ョ ンを参照してく

ださい。

Vivado IDE の使用 99UG893 (v2019.2) 2019 年 10 月 30 日 japan.xilinx.com

Page 100: Vivado Design Suite ユーザー ガイド - Xilinx...Vivado Design Suite ユーザー ガイド Vivado IDE の使用 UG893 (v2019.2) 2019 年 10 月 30 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

第 3 章: ウィンドウの使用

• [Toggle Rate for Scalar/Bus Pin]: セル ピンに接続されているネッ ト を ト グル レート を付けて表示します。 詳細は、

『Vivado Design Suite ユーザー ガイ ド : 消費電力解析および 適化』 (UG907) [参照 20] のこのセクシ ョ ンを参照し

てください。

注記: スタティ ッ ク確率と ト グル レート値は、 データを [Reports] → [Report Power] で生成するまで表示されませ

ん。 消費電力データをアップデート したら、 [Report Power] をも う一度実行して新しいスタティ ッ ク確率と ト グ

ル レート を表示します。

• [Bus Value]: バス ピンにバス値を含めて表示します。

• [Elide Long Text]: 長いテキス ト を短く して、 表示を簡潔にします。

• [Bundle Nets/Cells]: バスをバンドル ネッ ト と して表示します。

• [Split into Multiple Pages]: 大きな回路図を複数ページに分けて表示します。

X-Ref Target - Figure 3-40

図 3-40: [Schematic] ウィンドウ - [Display] 設定

Vivado IDE の使用 100UG893 (v2019.2) 2019 年 10 月 30 日 japan.xilinx.com

Page 101: Vivado Design Suite ユーザー ガイド - Xilinx...Vivado Design Suite ユーザー ガイド Vivado IDE の使用 UG893 (v2019.2) 2019 年 10 月 30 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

第 3 章: ウィンドウの使用

[Schematic] ウィンドウの [Colors] 設定

[Colors] 設定 (図 3-41) では、 [Schematic] ウ ィンド ウのエレ メン トの色を変更できます。

• [Color] 列をク リ ッ ク し、 ド ロ ップダウン リ ス トに表示される色から選択します。

• [More Colors] をク リ ッ クする と、 よ り多数の色から選択できます。

• [Color] 列のセルに直接 RGB 値を入力します。

X-Ref Target - Figure 3-41

図 3-41: [Schematic] ウィンドウ - [Colors] 設定

Vivado IDE の使用 101UG893 (v2019.2) 2019 年 10 月 30 日 japan.xilinx.com

Page 102: Vivado Design Suite ユーザー ガイド - Xilinx...Vivado Design Suite ユーザー ガイド Vivado IDE の使用 UG893 (v2019.2) 2019 年 10 月 30 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

第 3 章: ウィンドウの使用

[Schematic] ウィンドウのタイ ミング パス ロジックの表示

[Timing Results] ウ ィンド ウでタイ ミ ング パスを選択し、 ポップアップ メニューで [Schematic] をク リ ッ クする と、

[Schematic] ウ ィンド ウにそのタイ ミ ング パスが表示されます。 選択したパスまたはパス グループのオブジェク トは

すべて、 図 3-42 に示すよ うに、 ロジッ ク階層の境界およびインターコネク ト ワイヤと共に表示されます。 [Report

Timing] ポップアップ メニューを使用する と、 ポート、 ピン、 セル、 およびネッ ト を始点、 通過点、 終点とするパス

を詳細に解析できます。 タイ ミ ング パス ロジッ クの設定の詳細は、 『Vivado Design Suite ユーザー ガイ ド : デザイン

解析およびクロージャ テクニッ ク』 (UG906) [参照 13] のこのセクシ ョ ンを参照してください。

X-Ref Target - Figure 3-42

図 3-42: [Schematic] ウィンドウでのタイ ミング パス

Vivado IDE の使用 102UG893 (v2019.2) 2019 年 10 月 30 日 japan.xilinx.com

Page 103: Vivado Design Suite ユーザー ガイド - Xilinx...Vivado Design Suite ユーザー ガイド Vivado IDE の使用 UG893 (v2019.2) 2019 年 10 月 30 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

第 3 章: ウィンドウの使用

RTL の [Schematic] ウィンドウでのバンドル ロジックの表示

エラボレート済みデザインでは、 バスに接続された下位レベルのロジッ クがグループ化されて表示されるので、

RTL 回路図が見やすいものになり ます (図 3-43)。

ヒン ト : このプロパティを設定するには、 [Settings] ツールバー ボタン をク リ ッ ク し、 [Bundle Nets] をオンにし

ます。

X-Ref Target - Figure 3-43

図 3-43: バンドル ロジックを含む RTL 回路図

Vivado IDE の使用 103UG893 (v2019.2) 2019 年 10 月 30 日 japan.xilinx.com

Page 104: Vivado Design Suite ユーザー ガイド - Xilinx...Vivado Design Suite ユーザー ガイド Vivado IDE の使用 UG893 (v2019.2) 2019 年 10 月 30 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

第 3 章: ウィンドウの使用

[Hierarchy] ウィンドウ

[Hierarchy] ウ ィンド ウには、 現在の 上位モジュールに基づいて、 現在のデザインのロジッ ク階層がグラフ ィカル

に表示されます。 このウ ィンド ウでは、 タイ ミ ング パスがロジッ ク階層をどのよ うに移動するのか、 またはフロア

プランする前にモジュールの大きさを確認できます。 [Hierarchy] ウ ィンド ウは、 『Vivado Design Suite ユーザー ガイ

ド : デザイン解析およびクロージャ テクニッ ク』 (UG906) [参照 13] のこのセクシ ョ ンに示すよ うに、 デザイン解析お

よびフロアプラン中に主に使用されます。 [Hierarchy] ウ ィンド ウを開くには、 [Netlist] ウ ィンド ウなどのウ ィンド ウ

で右ク リ ッ ク し、 [Show Hierarchy] をク リ ッ ク します。

[Hierarchy] ウ ィンド ウのブロッ ク ビュー (図 3-44) には、 各インスタンスがそれを含む階層ブロッ ク内に表示されま

す。 プ リ ミ ティブ ロジッ クはフォルダー内にグループ化され、 サブモジュールと して表示されます。 プリ ミ ティブ

ロジッ クのフォルダーの詳細は、 「[Netlist] ウ ィンド ウ」 を参照してください。

X-Ref Target - Figure 3-44

図 3-44: [Hierarchy] ウィンドウのブロック ビュー

Vivado IDE の使用 104UG893 (v2019.2) 2019 年 10 月 30 日 japan.xilinx.com

Page 105: Vivado Design Suite ユーザー ガイド - Xilinx...Vivado Design Suite ユーザー ガイド Vivado IDE の使用 UG893 (v2019.2) 2019 年 10 月 30 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

第 3 章: ウィンドウの使用

上位から下位の順にデザインを表示するには、 [Show tree view] ボタン をク リ ッ ク します。 [Hierarchy] ウ ィンド

ウのツ リー ビュー (図 3-45) では、 デザイン内の階層モジュール間の関係、 大まかなモジュール サイズ、 およびモ

ジュールの位置を判断できます。 [Hierarchy] ウ ィンド ウのブロッ クの幅は、 階層のインスタンスで消費されるデバ

イス リ ソースに基づいています。

ヒン ト : [Hierarchy] ウ ィンド ウの Pblock 割り当てに対してロジッ クの親モジュールを選択するには、 モジュールを右

ク リ ク し、 [Select Leaf Cell Parents] をク リ ッ ク します。 親モジュールから、 [Floorplanning] → [Draw Pblock] または

[Assign to Pblock] をク リ ッ ク します。

X-Ref Target - Figure 3-45

図 3-45: [Hierarchy] ウィンドウのツリー ビュー

Vivado IDE の使用 105UG893 (v2019.2) 2019 年 10 月 30 日 japan.xilinx.com

Page 106: Vivado Design Suite ユーザー ガイド - Xilinx...Vivado Design Suite ユーザー ガイド Vivado IDE の使用 UG893 (v2019.2) 2019 年 10 月 30 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

第 3 章: ウィンドウの使用

[Timing Constraints] ウィンドウ

[Timing Constraints] ウ ィンド ウ (図 3-46) には、 読み込まれたデザインに使用されるタイ ミ ング制約が表示され、 新し

く制約を作成したり、 既存のタイ ミ ング制約を変更したり、 制約に対するタイ ミ ング レポート を実行したりできま

す。 タイ ミ ング制約が記述どおりに動作したら、 変更を元の制約セッ トに保存するか、 新しい制約セッ ト を作成し

て、 次のインプ リ メンテーシ ョ ン run 用にその制約を保存する必要があ り ます。 詳細は、 『Vivado Design Suite ユー

ザー ガイ ド : 制約の使用』 (UG903) [参照 11] のこのセクシ ョ ンを参照してください。[Timing Constraints] ウ ィンド ウを

開くには、 [Window] → [Timing Constraints] をク リ ッ クするか、 Flow N avigator の [Synthesized Design] または

[Implemented Design] の下の [Edit Timing Constraints] をク リ ッ ク します。

重要: レポート ツールで制約の変更が認識されるよ うにするには、[Timing Constraints] ウ ィンド ウで [Apply] ボタンを

ク リ ッ ク して、 変更を適用する必要があ り ます。

ビデオ: 合成済みデザインで [Tools] → [Timing] → [Constraints Wizard] をク リ ッ クする と、 ザイ リ ンクスの推奨する設

計手法に基づいた 上位 XDC ファ イルが作成されます。 ウ ィザードに従って、 ク ロ ッ クを指定し、 入力および出力

制約を設定し、 ク ロ ッ ク乗せ替え用のクロ ッ ク グループ制約を設定します。 概要は、 Vivado Design Suite QuickTake

ビデオ: Vivado タイ ミ ング制約ウ ィザードの使用を参照して ください。

X-Ref Target - Figure 3-46

図 3-46: [Timing Constraints] ウィンドウ

Vivado IDE の使用 106UG893 (v2019.2) 2019 年 10 月 30 日 japan.xilinx.com

Page 107: Vivado Design Suite ユーザー ガイド - Xilinx...Vivado Design Suite ユーザー ガイド Vivado IDE の使用 UG893 (v2019.2) 2019 年 10 月 30 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

第 3 章: ウィンドウの使用

[Timing Constraints] ウィンドウのツールバー コマンド

ツ リー ビュー ペインのローカル ツールバーには、 次のコマンドが含まれます。

• [Collapse All]: すべての制約を非展開にします。

• [Expand All]: すべての制約を展開します。

• [Constraints Wizard]: Timing Constraints ウ ィザードを開き、 合成済みデザインまたはインプリ メン トデザインに不

足している制約を特定し、 推奨される制約を示します。 詳細は、 『Vivado Design Suite ユーザー ガイ ド : 制約の使

用』 (UG903) [参照 11] のこのセクシ ョ ンを参照してください。

• [Create Timing Constraint]: 選択したタイプの制約を新し く作成します。

注記: 制約ツ リーで制約名をダブルク リ ッ ク しても、 選択したタイプの制約を新し く作成できます。

[Create Clock] ペインのローカル ツールバーには、 次のコマンドが含まれます。

• [Create Constraint]: 制約を作成する [Create Clock] ダイアログ ボッ クスを開きます。

• [Remove Constraint]: 選択した制約を削除します。

• [Edit Constraint]: 選択した制約の [Edit Create Clock] ダイアログ ボッ クスを開きます。

[All Constraints] ペインのローカル ツールバーには、 次のコマンドが含まれます。

• [Search]: [Search] フ ィールドを表示し、 制約を検索できるよ うにします。

注記: このコマンドは、 Alt + / キーでも実行できます。

• [Collapse All]: すべての制約を非展開にします。

• [Expand All]: すべての制約を展開します。

• [Group by Source]: 制約をソース ファ イルごとにグループ化します。

• [Filter Constraints]: すべての制約を表示するか ([Show all constraints])、 有効な制約のみを表示するか ([Show, valid

constraints])、 または無効な制約のみを表示するか ([Show invalid constraints]) を指定します。

• [Edit Constraint]: 選択した制約の [Edit Create Clock] ダイアログ ボッ クスを開きます。

• [Remove Constraint]: 選択した制約を削除します。

• [Report Timing for This Constraint]: [Report Timing] ダイアログ ボッ クスを開き、 選択した制約のタイ ミ ング レ

ポート を生成します。

Vivado IDE の使用 107UG893 (v2019.2) 2019 年 10 月 30 日 japan.xilinx.com

Page 108: Vivado Design Suite ユーザー ガイド - Xilinx...Vivado Design Suite ユーザー ガイド Vivado IDE の使用 UG893 (v2019.2) 2019 年 10 月 30 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

第 3 章: ウィンドウの使用

[Waveform] ウィンドウ

[Waveform] ウ ィンド ウの詳細は、『Vivado Design Suite ユーザー ガイ ド : ロジッ ク シ ミ ュレーシ ョ ン』 (UG900) [参照 9]

のこのセクシ ョ ンおよび『Vivado Design Suite ユーザー ガイ ド : プログラムおよびデバッグ』 (UG908) [参照 14] のこの

セクシ ョ ンを参照してください。

[Tcl Console] ウィンドウ

[Tcl Console] ウ ィンド ウ (図 3-47) には、 次が表示されます。

• 実行した Tcl コマンドのメ ッセージ。

注記: Vivado IDE では、 これらのメ ッセージを vivado.log ファ イルにも記述します。

• コマンドのエラー、 警告、 および完了に関する メ ッセージ。

• デザインおよび制約の読み込み状況。

[Tcl Console] ウ ィンド ウを表示するには、 [Window] → [Tcl Console] をク リ ッ ク します。

[Tcl Console] ウィンドウのツールバー コマンド

ローカル ツールバーには、 次のコマンドが含まれます。

• [Find]: 表示されている メ ッセージでテキス ト文字列を検索します。

• [Collapse All]: [Tcl Console] ウ ィンド ウに表示される各 Tcl コマンドからのメ ッセージを非展開にします。

• [Expand All]: [Tcl Console] ウ ィンド ウに表示される各 Tcl コマンドからのメ ッセージを展開します。

• [Pause]: コマンドを実行中にウ ィンド ウ内をスクロールしたり、 レポート を読むこ とがでるよ うにします。

• [Copy]: 選択したテキス ト をコピーします。

X-Ref Target - Figure 3-47

図 3-47: [Tcl Console] ウィンドウ

Vivado IDE の使用 108UG893 (v2019.2) 2019 年 10 月 30 日 japan.xilinx.com

Page 109: Vivado Design Suite ユーザー ガイド - Xilinx...Vivado Design Suite ユーザー ガイド Vivado IDE の使用 UG893 (v2019.2) 2019 年 10 月 30 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

第 3 章: ウィンドウの使用

• [Toggle Column Selection Mode]: テキス ト文字のブロ ッ クを列と して選択するか、 行と して選択するかを切り替

えます。

• [Clear]: [Tcl Console] ウ ィンド ウの出力をすべて消去します。

[Tcl Console] ウィンドウの警告およびエラー

[Tcl Console] ウ ィンド ウの右側には、 図 3-48 に示すよ うに、 警告に対して黄色のバー、 エラーに対して赤色のバー

が表示されます。 これらのインジケーターは、 次のよ うに使用できます。

• インジケーターの上にカーソルを置く と、 ツール ヒ ン トに対応する メ ッセージが表示されます。

• インジケーターをク リ ッ クする と、 [Tcl Console] ウ ィンド ウのそのメ ッセージの部分に移動します。

Tcl コマンドの入力

Tcl コマンドを入力するには、 [Tcl Console] の下部にあるコマンド ライン入力ボッ クスをク リ ッ ク し、 コマンドを入

力します。

重要: gets stdin コマンドを使用して Tcl から入力を取得するには、 コマンド プロンプ ト、 xterm、 または Tcl シェ

ルから Vivado IDE を起動する必要があ り ます。 このよ うにする と、 Vivado IDE が入力を待つ間に停止するこ とはあ

り ません。 または、 [Vivado <version> Tcl Shell] Shell] シ ョート カッ ト を使用して、 start_gui コマンドを入力して

Vivado IDE を開きます。 Vivado IDE から gets stdin コマンドを使用する場合、 Vivado IDE にはコマンド プロンプ

ト、 xterm、 または Tcl シェルで入力されたデータが読み込まれます。

X-Ref Target - Figure 3-48

図 3-48: [Tcl Console] ウィンドウの警告およびエラー

Vivado IDE の使用 109UG893 (v2019.2) 2019 年 10 月 30 日 japan.xilinx.com

Page 110: Vivado Design Suite ユーザー ガイド - Xilinx...Vivado Design Suite ユーザー ガイド Vivado IDE の使用 UG893 (v2019.2) 2019 年 10 月 30 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

第 3 章: ウィンドウの使用

自動補完

[Tcl Console] ウ ィンド ウの自動補完機能によ り、 コマンドを入力していく と、 コマンド名またはコマンド パラ メー

ター名の候補が表示され、 コマンドをすばやく入力できます。 たとえば、 図 3-49 は 「create_」 と入力したと きに

表示されるコマンドの リ ス ト を示しています。 自動補完リ ス トは、 次のよ うに使用できます。

• コマンドをク リ ッ ク して選択します。

• 方向キーを使用してコマンドまでスク ロールし、 Enter キーを押して選択します。

• 自動補完機能によ り コマンドの選択肢が 1 つに絞られるまで入力を続け、 Tab キーを押して選択します。

コマンドを選択する と、 自動補完機能によ りそのコマンドの引数がリ ス ト されるので、 同様に上記の方法で選択で

きます。

読みやすさの改善

Tcl コマンドの出力は、 表示用ではなく、 処理用に 適化されています。 Tcl コマンドから 1 行で戻される出力を読

みやすくするには、 次のよ うに join コマンド と新規行 (\n) コマンドを入力します。

join <command> \n

次に例を示します。

join [get_cells -hier *buffer*] \n

X-Ref Target - Figure 3-49

図 3-49: Tcl コマンドの自動判別機能

Vivado IDE の使用 110UG893 (v2019.2) 2019 年 10 月 30 日 japan.xilinx.com

Page 111: Vivado Design Suite ユーザー ガイド - Xilinx...Vivado Design Suite ユーザー ガイド Vivado IDE の使用 UG893 (v2019.2) 2019 年 10 月 30 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

第 3 章: ウィンドウの使用

Tcl コマンドの履歴の表示

Vivado IDE でメニュー コマンドやド ラ ッグ アンド ド ロ ップなどの操作を実行する と、 [Tcl Console] ウ ィンド ウにそ

れと同等の Tcl コマンドが表示されます。 [Tcl Console] ウ ィンド ウには、 Tcl コマンド とその結果の両方が表示されま

す。 [Tcl Console] ウ ィンド ウからは、 次を実行できます。

• Tcl コマンドだけを表示して、 結果を非表示にするには、 [Collapse All] ツールバー ボタン をク リ ッ ク しま

す。 このよ うにする と、 [Tcl Console] ウ ィンド ウから Tcl コマンドをコピーして貼り付けるこ とによ り Tcl スク

リプ ト を作成できます。

• [Tcl Console] ウ ィンド ウにコマンドの履歴を表示するには、 [Tcl Console] ウ ィンド ウのコマンド ライン入力ボッ

クスに次のコマンドを入力します。

history

ヒン ト : 上下の方向キーを押すと、 コマンド履歴のコマンドを 1 つずつスクロールして確認できます。

Vivado IDE では、 Tcl コマンドはジャーナル ファ イル (vivado.jou) およびログ ファ イル (vivado.log) にも記述

されます。 vivado.jou ファ イルにはコマンドだけが、 vivado.log ファ イルにはコマンド と返されたメ ッセージ

がすべて含まれます。 Vivado IDE が起動する と、 バッ クアップ バージ ョ ンのジャーナル ファ イル

(vivado_<id>.backup.jou) と ログ ファ イル (vivado_<id>.backup.log) が書き出され、 前の run の詳細が保

存されます。 <id> は ID 番号で、 複数のジャーナル ファ イルおよびログ ファ イルのバッ クアップ バージ ョ ンを作成

および保存できるよ うになっています。

Tcl スク リプ トは、 ジャーナル ファ イルからコマンドをコピーして作成できます。 ジャーナル ファ イルを表示する

には、 [File] → [Project] → [Open Journal File] をク リ ッ ク します。 このファ イルを再生する前に、 エラーを含むコマン

ドまたは複数のセッシ ョ ンからのコマンドを削除する必要がある場合があ り ます。 操作によっては、 ジャーナル

ファ イルに Tcl コマンドが記述されないものもあ り ます。 ジャーナル ファ イルの詳細は、 付録 B の 「出力ファイル」

を参照してください。

ヒン ト : ジャーナル ファ イルにコ メン トが表示されるよ うにするには、 [Tcl Console] ウ ィンド ウに # 記号を入力し、

その後にコマンドを入力します。 入力したコ メン トはジャーナル ファ イルに記述されますが、 コマンド と しては実

行されません。 Tcl コマンドの入力時にメモを書き留めるこ とができるので便利です。

Vivado IDE の使用 111UG893 (v2019.2) 2019 年 10 月 30 日 japan.xilinx.com

Page 112: Vivado Design Suite ユーザー ガイド - Xilinx...Vivado Design Suite ユーザー ガイド Vivado IDE の使用 UG893 (v2019.2) 2019 年 10 月 30 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

第 3 章: ウィンドウの使用

Tcl ヘルプの使用

[Tcl Console] ウ ィンド ウのコマンド ライン入力ボッ クスに次のコマンドを入力します。

help

特定コマンドの詳細な情報を入手するには、 次のよ うに入力します。

help <command_name>

または

<command_name> -help

次に例を示します。

help add_files

または

add_files -help

入力したコマンドに応じて、 [Tcl Console] ウ ィンド ウに使用可能なコマンドまたはコマンド オプシ ョ ンが表示され

ます。

ヒン ト : コマンド ヘルプを読みやすくするには、 [Tcl Console] ウ ィンド ウのタブをダブルク リ ッ クするか Alt + - キー

を押して 大化します。

正確なコマンド構文を確認するには、 コマンドを一度実行し、 起動ディ レク ト リに含まれている vivado.jou ファ

イルを参照します。 Tcl スク リプ トの作成については、 『Vivado Design Suite ユーザー ガイ ド : Tcl スク リプ ト機能の使

用』 (UG894) [参照 3] を参照してください。 Tcl コマンドのリ ス トは、 『Vivado Design Suite Tcl コマンド リ ファレンス

ガイ ド』 (UG835) [参照 4] を参照してください。

重要: vivado.jou ファ イルは、 Tcl スク リプ ト作成の開始点と して使用するのには適していますが、 スク リプ ト と

して使用するこ とを意図して作成されていません。

Vivado IDE の使用 112UG893 (v2019.2) 2019 年 10 月 30 日 japan.xilinx.com

Page 113: Vivado Design Suite ユーザー ガイド - Xilinx...Vivado Design Suite ユーザー ガイド Vivado IDE の使用 UG893 (v2019.2) 2019 年 10 月 30 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

第 3 章: ウィンドウの使用

[Messages] ウィンドウ

[Messages] ウ ィンド ウ (図 3-50) にはデザインおよびレポートのメ ッセージがグループ化されて表示され、 異なる

ツールまたはプロセスからのメ ッセージを確認できます。 メ ッセージには、 関連するオブジェク ト またはソース

ファ イルへのリ ンクが含まれます。 [Messages] ウ ィンド ウを開くには、 [Window] → [Messages] をク リ ッ ク します。

[Messages] ウ ィンド ウのバナーにあるチェッ ク ボッ クスを使用して、 エラー、 ク リ ティカル警告、 警告、 情報メ ッ

セージの表示/非表示を切り替えるこ とができます。

注記: Vivado IDE では、 ソース ファ イルのディレク ト リが変更された場合、 混乱を避けるために関連する メ ッセージ

からファ イルへのリ ンクが削除されます。

ヒン ト : 1 つのメ ッセージ タイプのみを表示するには、 [Messages] ウ ィンド ウのバナーでそのメ ッセージ タイプをダ

ブルク リ ッ ク します。 たとえば、 [errors] をダブルク リ ッ クする と、 エラー メ ッセージのみを表示できます。 ク リ

ティカル警告のメ ッセージ数を取得するには、 Tcl コマンド get_msg_config -count -severity {CRITICAL

WARNING} を使用します。

ビデオ: ク リ ティカル メ ッセージの確認、 デザイン オブジェク トのクロスプローブ、 メ ッセージの重要度の変更、

メ ッセージの非表示設定などの [Messages] ウ ィンド ウの概要は、 Vivado Design Suite QuickTake ビデオ: Vivado のメ ッ

セージの理解を参照してください。

[Messages] ウィンドウのツールバー コマンド

ローカル ツールバーには、 次のコマンドが含まれます。

• [Search]: [Search] フ ィールドを表示し、 メ ッセージを検索できるよ うにします。

注記: このコマンドは、 Alt + / キーでも実行できます。

• [Collapse All]: すべてのメ ッセージを非展開にします。

注記: このコマンドは、 Ctrl + - キーでも実行できます。

• [Expand All]: すべてのメ ッセージを展開します。

注記: このコマンドは、 Ctrl + = キーでも実行できます。

X-Ref Target - Figure 3-50

図 3-50: [Messages] ウィンドウ

Vivado IDE の使用 113UG893 (v2019.2) 2019 年 10 月 30 日 japan.xilinx.com

Page 114: Vivado Design Suite ユーザー ガイド - Xilinx...Vivado Design Suite ユーザー ガイド Vivado IDE の使用 UG893 (v2019.2) 2019 年 10 月 30 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

第 3 章: ウィンドウの使用

• [Filter Messages]: メ ッセージを次のオプシ ョ ンに基づいてフ ィルターします。

° [Suppression]

- [Show suppressed]: 非表示に設定されている メ ッセージのみを表示します。 メ ッセージを非表示に設定

するには、 メ ッセージを右ク リ ッ ク して [Manage Suppression] をク リ ッ クするか、 set_msg_config

Tcl コマンドを使用します。

注記: 非表示に設定されている メ ッセージがない場合は、 [Messages] ウ ィンド ウがブランクになり ます。

- [Show unsuppressed]: 非表示に設定されていないメ ッセージのみを表示します。

注記: これがデフォルト設定です。

- [Show both]: 非表示に設定されている メ ッセージと設定されていないメ ッセージの両方を表示します。

非表示に設定されている メ ッセージには、 重要度アイコンにバッ クスラ ッシュ (\) が付きます。

° 重要度

- [Show modified]: 重要度が変更されたメ ッセージのみを表示します。 メ ッセージの重要度を変更するに

は、 メ ッセージを右ク リ ッ ク して [Manage Severity] をク リ ッ クするか、 set_msg_config

-new_severity Tcl コマンドを使用します。

注記: 変更されている メ ッセージがない場合は、 [Messages] ウ ィンド ウがブランクにな り ます。

- [Show unmodified]: 重要度が変更されていないメ ッセージを表示します。

注記: これがデフォルト設定です。

- [Show both]: 重要度が変更されている メ ッセージと変更されていないメ ッセージの両方を表示します。

重要度が変更されている メ ッセージには、 重要度アイコンの上にアスタ リ スク (*) が付きます。

• [Manage Message Suppression]: [Manage Suppression] ダイアログ ボッ クスが表示され、 非表示にする メ ッセージを

追加/削除できます。 詳細は、 「メ ッセージの非表示設定」 および 「メ ッセージの非表示設定の解除」 を参照して

ください。

• [Discard User Created Messages]: プロジェク トの読み込みおよび解析に関する メ ッセージと、 [Tcl Console] ウ ィン

ド ウに入力したスク リプ トおよび Tcl コマンドから出力されたメ ッセージを削除します。

注記: このコマンドは、 デザイン run からのメ ッセージ出力を消去するためには使用できません。 run を右ク リ ッ

ク して [Reset Runs] をク リ ッ ク し、 run を リセッ ト してその run のメ ッセージを消去して ください。

• [Settings]: ウ ィンド ウに表示される情報を制御します。

° [Group by File]: メ ッセージをファイルごとにグループ化します。

° [Group by ID]: メ ッセージをメ ッセージ ID ごとにグループ化します。

° [Wrap Lines]: [Messages] ウ ィンド ウの幅に合う よ うにメ ッセージを折り返して次の行に表示します。

ヒン ト : メ ッセージの制限文字数を超える と、 「Message limit exceeded」 とい う メ ッセージが表示されます。

メ ッセージの表示を改善するために、 行の折り返しを無効にするこ と もできます。

Vivado IDE の使用 114UG893 (v2019.2) 2019 年 10 月 30 日 japan.xilinx.com

Page 115: Vivado Design Suite ユーザー ガイド - Xilinx...Vivado Design Suite ユーザー ガイド Vivado IDE の使用 UG893 (v2019.2) 2019 年 10 月 30 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

第 3 章: ウィンドウの使用

メ ッセージの詳細の表示

メ ッセージに詳細情報がある場合は、 [Message] ウ ィンド ウにそのメ ッセージ ID がリ ンク と して表示されます。 こ

の リ ンクをク リ ッ クする と、 説明と回避策を含むウ ィンド ウ (図 3-51) が開きます。

ヒン ト : メ ッセージに関連するアンサーを検索するには、 メ ッセージを右ク リ ッ ク して [Search for Answer Record] を

ク リ ッ ク します。

メ ッセージ オブジェク トのクロスプローブ

セル、 ネッ ト、 ピンなどのデザイン オブジェク トが リ ンク と して表示される場合 (図 3-52)、 その リ ンクをク リ ッ ク

する と、 ワークスペースの別のウ ィンド ウにク ロスプローブできます。 必要に応じて、 Vivado IDE にそのオブジェ

ク ト を含むデザインが読み込まれます。

ヒン ト : グラフ ィカル ウ ィンド ウで [Auto Fit Selection] ツールバー ボタン をク リ ッ ク し、選択したオブジェク ト

が自動的に表示されるよ うにします。 または、 F9 キーを押して選択を手動でフ ィ ッ ト させるこ と もできます。

X-Ref Target - Figure 3-51

図 3-51: メ ッセージの詳細の表示

X-Ref Target - Figure 3-52

図 3-52: メ ッセージ オブジェク トのクロスプローブ

Vivado IDE の使用 115UG893 (v2019.2) 2019 年 10 月 30 日 japan.xilinx.com

Page 116: Vivado Design Suite ユーザー ガイド - Xilinx...Vivado Design Suite ユーザー ガイド Vivado IDE の使用 UG893 (v2019.2) 2019 年 10 月 30 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

第 3 章: ウィンドウの使用

メ ッセージの非表示設定

[Messages] ウ ィンド ウに表示される メ ッセージは、 次の方法で非表示に設定できます。

• 特定のメ ッセージを非表示にするには、 そのメ ッセージを右ク リ ッ ク し、 [Suppress this Message] をク リ ッ ク し

ます。

• 特定のメ ッセージ ID のメ ッセージすべてを非表示にするには、 そのメ ッセージを右ク リ ッ ク し、 [Suppress

Messages with this ID] をク リ ッ ク します。

• 特定の重要度 (情報など) のメ ッセージをすべて非表示にするには、 情報メ ッセージを右ク リ ッ ク して [Suppress

Messages with this Severity] をク リ ッ ク します。

• 特定のテキス ト文字列を含むメ ッセージを非表示にするには、 [Messages] ウ ィンド ウを右ク リ ッ ク して、

[Manage Suppression] をク リ ッ ク します。[Manage Suppression] ダイアログ ボッ クス (図 3-53) で [Add] ボタン

をク リ ッ ク します。 [New Suppression Rule] ダイアログ ボッ クスで、 非表示ルールと して追加する メ ッセージ ID

またはテキス ト文字列を入力して [OK] をク リ ッ ク します。

注記: メ ッセージは、 [Messages] ウ ィンド ウで非表示になるよ う設定しても、 デザイン フローを再実行するまで

はログ ファイルに残ったままになり ます。

ヒン ト : メ ッセージを非表示にしないでメ ッセージ数を制限するには、たとえば set_msg_config -id {[Common

17-349]} -limit 10 のよ うに set_msg_config Tcl コマンドを使用します。 デフォルトのメ ッセージ制限数は

100 です。 詳細は、 『Vivado Design Suite Tcl コマンド リ ファレンス ガイ ド』 (UG835) [参照 4] の 「set_msg_config」 を

参照してください。

X-Ref Target - Figure 3-53

図 3-53: [Manage Suppression] ダイアログ ボックス

Vivado IDE の使用 116UG893 (v2019.2) 2019 年 10 月 30 日 japan.xilinx.com

Page 117: Vivado Design Suite ユーザー ガイド - Xilinx...Vivado Design Suite ユーザー ガイド Vivado IDE の使用 UG893 (v2019.2) 2019 年 10 月 30 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

第 3 章: ウィンドウの使用

メ ッセージの非表示設定の解除

メ ッセージの非表示設定を解除するには、 [Messages] ウ ィンド ウを右ク リ ッ ク し、 [Manage Suppression] をク リ ッ ク

します。 [Manage Suppression] ダイアログ ボッ クス (図 3-53) で、 削除するルールを選択し、 削除ボタン をク

リ ッ ク します。

注記: [Manage Message Suppression] ツールバー ボタン をク リ ッ ク しても [Manage Suppression] ダイアログ ボッ ク

スを開く こ とができます。

ヒン ト : 非表示に設定されている メ ッセージを一時的に表示するには、 ツールバーの [Filter Messages] ボタン を

ク リ ッ ク し、 [Show suppressed] または [Show both] をオンにします。 重要度アイコンにバッ クスラ ッシュが付いてい

る メ ッセージは、 非表示に設定されています。

メ ッセージの重要度の変更

ほとんどのメ ッセージの重要度を変更できます。

• ステータス メ ッセージ以外のすべてのメ ッセージをエラー メ ッセージにできます。

• 警告およびク リティカル警告メ ッセージの重要度を下げて別の種類のメ ッセージにできます。

注意: エラー メ ッセージの重要度は変更できません。 ク リ ティカル警告は、 後のデザイン フローでエラーになる可

能性のある問題をレポートするものなので、 重要度を変更する際には注意が必要です。

メ ッセージの重要度を変更するには、 次の手順に従います。

1. メ ッセージを右ク リ ッ ク し、 [Severity] → [Message Severity] をク リ ッ ク します。

2. [Set Message Severity] ダイアログ ボッ クス (図 3-54) で重要度を設定します。

注記: メ ッセージをデフォルトの重要度にリセッ トするには、 メ ッセージを右ク リ ッ ク し、 [Message Severity] →

[Unset Message Severity] をク リ ッ ク します。

X-Ref Target - Figure 3-54

図 3-54: [Set Message Severity] ダイアログ ボックス

Vivado IDE の使用 117UG893 (v2019.2) 2019 年 10 月 30 日 japan.xilinx.com

Page 118: Vivado Design Suite ユーザー ガイド - Xilinx...Vivado Design Suite ユーザー ガイド Vivado IDE の使用 UG893 (v2019.2) 2019 年 10 月 30 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

第 3 章: ウィンドウの使用

重要度を変更する と、 アイコンは次のものに変り ます。

• 情報に変更されたメ ッセージ

• アドバイザリに変更されたメ ッセージ

• 警告に変更されたメ ッセージ

• ク リ ティカル警告に変更されたメ ッセージ

• エラーに変更されたメ ッセージ

メ ッセージの重要度は、 set_msg_config という Tcl コマンドを使用しても変更できます。 たとえば、 次の Tcl コマン

ドを使用する と、 メ ッセージ ID Place 30-12 をク リ ティカル警告にアップグレードできます。

set_msg_config -id {Place 30-12} -new_severity {CRITICAL WARNING}

[Log] ウィンドウ

[Log] ウ ィンド ウ (図 3-55) には、 合成、 インプ リ メンテーシ ョ ン、 シ ミ ュレーシ ョ ンなどのデザインをコンパイルす

るコマンドのステータスが表示されます。 コンパイル出力は、 追記される形でスクロールされながら表示され、 新

しいコマンドが実行される と上書きされます。 [Log] ウ ィンド ウを開くには、 [Window] → [Log] をク リ ッ ク します。

ヒン ト : [Pause output] ツールバー ボタン をク リ ッ クする と一時的に出力を停止でき、 コマンドを実行中にレ

ポート をスクロールして読むこ とができます。

アウ ト オブ コンテキス ト run が存在する場合、 [Log] ウ ィンド ウの左側にツ リー ビューが表示され、 選択した run の

ログが右側に表示されます (図 3-56)。

X-Ref Target - Figure 3-55

図 3-55: [Log] ウィンドウ

Vivado IDE の使用 118UG893 (v2019.2) 2019 年 10 月 30 日 japan.xilinx.com

Page 119: Vivado Design Suite ユーザー ガイド - Xilinx...Vivado Design Suite ユーザー ガイド Vivado IDE の使用 UG893 (v2019.2) 2019 年 10 月 30 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

第 3 章: ウィンドウの使用

[Log] ウィンドウのツールバー

ローカル ツールバーには、 次のコマンドが含まれます。

• [Find]: 検索フ ィールドを表示し、 [Log] ウ ィンド ウでテキス ト を検索できるよ うにします。

注記: このコマンドは、 Alt + / キーでも実行できます。

• [Pause Output]: コマンドを実行中にウ ィンド ウ内をスクロールしたり、 レポート を読むこ とがでるよ うにしま

す。

• [Copy]: 選択したテキス ト をク リ ップボードにコピーします。

• [Toggle Column Selection Mode]: 行と列のグ リ ッ ド と してテキス ト文字のブロ ッ クを選択するか、 テキス ト を行

と して選択するかを指定します。

[Reports] ウィンドウ

[Reports] ウ ィンド ウ (図 3-57) には、 アクティブ run のレポートが表示され、 各段階が完了するのに応じてアップ

デート されます。 レポートは、 見つけやすいよ うに、 各段階の名前の付いたヘディングで分類されます。 レポート

をダブルク リ ッ クする と、 ファ イルがテキス ト エディ ターで開きます。 [Reports] ウ ィンド ウを開くには、 [Window]

→ [Reports] をク リ ッ ク します。

注記: カスタム レポート を閉じた場合は、 作成し直す必要があ り ます。 カスタム レポートは、 メモ リ内に格納され

ません。 デザイン run の一部と して自動的に生成されたレポート を閉じた場合は、 [Reports] → [Open Interactive

Report] をク リ ッ ク してレポート を開き直すこ とができます。

X-Ref Target - Figure 3-56

図 3-56: アウト オブ コンテキスト合成 run の [Log] ウィンドウ

Vivado IDE の使用 119UG893 (v2019.2) 2019 年 10 月 30 日 japan.xilinx.com

Page 121: Vivado Design Suite ユーザー ガイド - Xilinx...Vivado Design Suite ユーザー ガイド Vivado IDE の使用 UG893 (v2019.2) 2019 年 10 月 30 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

第 3 章: ウィンドウの使用

[Reports] ウィンドウのツールバー

ローカル ツールバーには、 次のコマンドが含まれます。

• [Search]: [Search] フ ィールドを表示し、 [Reports] ウ ィンド ウでテキス ト を検索できるよ うにします。

注記: このコマンドは、 Alt + / キーでも実行できます。

• [Collapse All]: すべてのレポート を非展開にします。

注記: このコマンドは、 Ctrl + - キーでも実行できます。

• [Expand All]: すべてのレポート を展開します。

注記: このコマンドは、 Ctrl + = キーでも実行できます。

その他のレポートの生成

合成およびインプリ メンテーシ ョ ン中に生成されたレポートのほかにも、 デザイン フローのさまざまな段階でカスタ

ム レポート を生成できます。 Flow Navigator または [Reports] メニュー コマンドから、 次のレポート を生成できます。

推奨: よ く あるデザイン問題を見つけるには、 デザインを 初に合成する と きに [Report Methodology] を実行します。

このレポートは、 制約、 クロ ッキング ト ポロジ、 またはロジッ クを大き く変更した後にも実行して ください。 詳細

は、『Vivado Design Suite ユーザー ガイ ド : システム レベル デザイン入力』 (UG895) [参照 15] のこのセクシ ョ ンを参照

してください。

• IP ステータス レポート

• I/O

° ノ イズ レポート

° I/O レポート

• タイ ミ ング

° タイ ミ ング サマリ レポート

° バス スキュー レポート

° タイ ミ ング レポート

° タイ ミ ング チェッ ク

° タイ ミ ング設定

° スラ ッ ク ヒ ス ト グラムの作成

° ク ロ ッ ク関連性レポート

° CDC レポート

° 例外レポート

° ク ロ ッ ク ネッ ト ワーク レポート

° パルス幅レポート

° データシート レポート

• 設計手法レポート

• DRC レポート

Vivado IDE の使用 121UG893 (v2019.2) 2019 年 10 月 30 日 japan.xilinx.com

Page 122: Vivado Design Suite ユーザー ガイド - Xilinx...Vivado Design Suite ユーザー ガイド Vivado IDE の使用 UG893 (v2019.2) 2019 年 10 月 30 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

第 3 章: ウィンドウの使用

• リ ソース使用率レポート

• ク ロ ッ ク使用量レポート

• 消費電力レポート

• 消費電力 適化のレポート

• ファンアウ トの大きいネッ トのレポート

• デザイン解析レポート

ヒン ト : 複数のタイ ミ ング レポート を開いている場合は、 レポート を上下または左右に並べるこ とができます。 レ

ポートのタブを右ク リ ッ ク し、 [New Horizontal Group] または [New Vertical Group] をク リ ッ ク します。

これらのレポートの詳細は、 次の資料を参照してください。

• 『Vivado Design Suite ユーザー ガイ ド : 消費電力解析および 適化』 (UG907) [参照 20]

• 『Vivado Design Suite ユーザー ガイ ド : I/O およびクロ ッ ク プランニング』 (UG899) [参照 17]

• 『Vivado Design Suite ユーザー ガイ ド : デザイン解析およびクロージャ テクニッ ク』 (UG906) [参照 13]

• 『Vivado Design Suite ユーザー ガイ ド : IP を使用した設計』 (UG896) [参照 7]

[Design Runs] ウィンドウ

[Design Runs] ウ ィンド ウ (図 3-58) は、 合成およびインプ リ メンテーシ ョ ン run を表示、 設定、 起動、 リセッ ト 、 お

よび解析するために使用します。 [Design Runs] ウ ィンド ウには、合成 run と インプリ メンテーシ ョ ン run はデザイン

フローの順序で表示され、 アウ ト オブ コンテキス ト run は依存性に基づいて (たとえば依存する IP は 上位 IP の下

に) 表示されます。 [Design Runs] ウ ィンド ウを表示するには、 [Window] → [Design Runs] をク リ ッ ク します。

X-Ref Target - Figure 3-58

図 3-58: [Design Runs] ウィンドウ

Vivado IDE の使用 122UG893 (v2019.2) 2019 年 10 月 30 日 japan.xilinx.com

Page 123: Vivado Design Suite ユーザー ガイド - Xilinx...Vivado Design Suite ユーザー ガイド Vivado IDE の使用 UG893 (v2019.2) 2019 年 10 月 30 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

第 3 章: ウィンドウの使用

[Design Runs] ウィンドウのコマンド

次に、 ローカル ツールバーおよび右ク リ ッ クで表示されるポップアップ メニューから実行できるコマンドを示し

ます。

[Design Runs] ウィンドウのツールバー コマンド

ローカル ツールバーには、 次のコマンドが含まれます。

• [Search]: [Search] フ ィールドを表示し、 メ ッセージを検索できるよ うにします。

注記: このコマンドは、 Alt + / キーでも実行できます。

• [Collapse All]: すべてのメ ッセージを非展開にします。

注記: このコマンドは、 Ctrl + - キーでも実行できます。

• [Expand All]: すべてのメ ッセージを展開します。

注記: このコマンドは、 Ctrl + = キーでも実行できます。

• [Reset Runs]: [Reset Runs] ダイアログボ ッ クスが開き、 前回の run の結果を削除し、 実行ステータスを [Not

Started] に戻します。

• [Reset to Previous Step]: 選択した run を前の段階にリセッ ト します。 これによ り、 run を前の段階に戻して、 必要

に応じて変更を加えてから、 次の段階に進めて終了させるこ とができます。

• [Launch Runs]: [Launch Runs] ダイアログボ ッ クスが開き、 選択した run を実行します。

• [Launch Next Step]: 選択した run の次のステップを開始します。 インプリ メンテーシ ョ ン run で使用できるス

テップは、 opt_design、 place_design、 route_design、 write_bitstream です。 合成 run には、

synth_design しかあ り ません。

• [Create Runs]: 新しい合成 run またはインプリ メンテーシ ョ ン run を設定するための Create New Runs ウ ィザード

が起動します。 詳細は、 『Vivado Design Suite ユーザー ガイ ド : 合成』 (UG901) [参照 10] のこのセクシ ョ ンまたは

『Vivado Design Suite ユーザー ガイ ド : インプリ メンテーシ ョ ン』 (UG904) [参照 12] のこのセクシ ョ ンを参照して

ください。

• [Show Percentage]: 使用率を数ではなくパーセン トで表示します。

[Design Runs] ウィンドウのポップアップ メニュー コマンド

ポップアップ メニューには、 次のコマンドが含まれます。

• [Run Properties]: [Run Properties] ウ ィンド ウを表示します。 詳細は、 「[Run Properties] ウ ィンド ウ」 を参照してく

ださい。

• [Delete]: 選択したアクティブな run 以外の run と、 それに関連する run データをディ スクから削除します。 run を

削除する前に確認メ ッセージが表示されます。

注記: アクティブな run は削除できません。

• [Make Active]: 選択した run をアクティブにします。 [Run Synthesis] または [Run Implementation] コマンドを使用

する と、 アクティブな run が自動的に実行されます。 アクティブ run の結果が [Messages]、 [Compilation]、

[Reports]、 [Project Summary] ウ ィンド ウに表示されます。

Vivado IDE の使用 123UG893 (v2019.2) 2019 年 10 月 30 日 japan.xilinx.com

Page 124: Vivado Design Suite ユーザー ガイド - Xilinx...Vivado Design Suite ユーザー ガイド Vivado IDE の使用 UG893 (v2019.2) 2019 年 10 月 30 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

第 3 章: ウィンドウの使用

• [Change Run Settings]: 選択した合成またはインプ リ メンテーシ ョ ン run のス ト ラテジおよびコマンド ライン オ

プシ ョ ンを変更します。 詳細は、 『Vivado Design Suite ユーザー ガイ ド : 合成』 (UG901) [参照 10] のこのセクシ ョ

ンまたは 『Vivado Design Suite ユーザー ガイ ド : インプリ メンテーシ ョ ン』 (UG904) [参照 12] のこのセクシ ョ ン

を参照してください。

• [Set Incremental Synthesis/Implementation]: 次の合成またはインプリ メンテーシ ョ ン run の基準と して使用するデ

ザイン チェッ クポイン ト を指定します。 詳細は、 『Vivado Design Suite ユーザー ガイ ド : 合成』 (UG901) [参照 10]

のこのセクシ ョ ンおよび 『Vivado Design Suite ユーザー ガイ ド : インプリ メンテーシ ョ ン』 (UG904) [参照 12] の

このセクシ ョ ンを参照してください。

• [Include Incremental Synthesis Information in DCP]: 合成チェッ クポイン トの情報を含め、 次のインク リ メンタル合

成実行で比較できるよ うにします。

• [Set QoR Suggestions]: 次の合成またはインプリ メンテーシ ョ ン run で使用する QoR 推奨事項ファイルを指定しま

す。 詳細は、 『Vivado Design Suite ユーザー ガイ ド : デザイン解析およびクロージャ テクニッ ク』 (UG906) [参

照 13] のこのセクシ ョ ンを参照してください。

• [Save as Report Strategy]: 現在のス ト ラテジと コマンド オプシ ョ ンを新しいレポート ス ト ラテジと して保存して、

今後使用したり変更したりできるよ うにします。

• [Open Run]: 選択した run のデザインを開きます。

• [Launch Runs]: [Launch Runs] ダイアログボ ッ クスが開き、 選択した run を実行します。

• [Reset Runs]: [Reset Runs] ダイアログボ ッ クスが開き、 前回の run の結果を削除し、 実行ステータスを [Not

Started] に戻します。

• [Launch Next Step]: 選択した run の次のステップを開始します。 インプリ メンテーシ ョ ン run で使用できるス

テップは、 opt_design、 place_design、 route_design、 write_bitstream です。 合成 run には、

synth_design しかあ り ません。

• [Launch Next Step]: 選択した run の次のステップを開始します。

• [Reset to Previous Step]: 選択した run を前の段階にリセッ ト します。 これによ り、 run を前の段階に戻して、 必要

に応じて変更を加えてから、 次の段階に進めて終了させるこ とができます。

• [Generate Bitstream]: write_bitstream コマンドを起動します。 このコマンドは、 完了したインプリ メンテー

シ ョ ン run に対してのみ実行可能です。 詳細は、 『Vivado Design Suite ユーザー ガイ ド : プログラムおよびデバッ

グ』 (UG908) [参照 14] のこのセクシ ョ ンを参照してください。

• [Display Log]: [Run Properties] ウ ィンド ウの [Log] タブを表示します。

• [Display Reports]: [Run Properties] ウ ィンド ウの [Reports] タブを表示します。

• [Display Messages]: [Run Properties] ウ ィンド ウの [Messages] タブを表示します。

ヒン ト : これらの表示コマンドは、 [Messages]、 [Reports]、 [Log] ウ ィンド ウに表示されないアウ ト オブ コンテキス ト

run のデータを表示するのに便利です。

• [Create Runs]: 新しい合成 run またはインプリ メンテーシ ョ ン run を設定するための Create New Runs ウ ィザード

が起動します。 詳細は、 『Vivado Design Suite ユーザー ガイ ド : 合成』 (UG901) [参照 10] のこのセクシ ョ ンまたは

『Vivado Design Suite ユーザー ガイ ド : インプリ メンテーシ ョ ン』 (UG904) [参照 12] のこのセクシ ョ ンを参照して

ください。

• [Open Run Directory]: ディ スク上の選択した run のディレク ト リ をファ イル ブラウザーで開きます。

• [Export to Spreadsheet]: [Design Runs] ウ ィンド ウの情報をスプレッ ドシート ファ イルにエクスポート します。

Vivado IDE の使用 124UG893 (v2019.2) 2019 年 10 月 30 日 japan.xilinx.com

Page 125: Vivado Design Suite ユーザー ガイド - Xilinx...Vivado Design Suite ユーザー ガイド Vivado IDE の使用 UG893 (v2019.2) 2019 年 10 月 30 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

第 3 章: ウィンドウの使用

run ステータスの理解

run を作成または起動する と、 そのステータスが [Design Runs] ウ ィンド ウにアップデート されます。 このウ ィンド ウ

では、 定義された run のステータスや結果が表示され、 run の変更、 起動、 管理のためのコマンド も実行できます。

[Design Runs] ウ ィンド ウのアイコンは、 run の状態を表します。

• リセッ ト され、 実行準備終了

• run はキューにあ り

• 現在実行中

• 完了した run

• デザイン ファイルに変更のあった完了した run

• タイ ミ ングを満たすこ とのできなかった完了した run

• エラーのあった run

run 情報は、 run を実行する とアップデート されます。 Vivado IDE は、 実行中の run に影響を与えずに閉じるこ とがで

きます。 プロジェク ト を次に開く と、 run のステータスがアップデート され、 [Design Runs] ウ ィンド ウに表示されま

す。 [Design Runs] ウ ィンド ウの表には、 次の列があ り ます。

• [Name]: run 名を表示します。

• [Constraints]: run に使用された制約セッ ト を表示します。

• [Status]: run が開始されていないか、 実行中か、 終了したか、 エラーがあったかを示します。

• [WNS]: ワース ト ネガティブ スラ ッ クを表示します。

• [TNS]: トータル ネガティブ スラ ッ クを表示します。

• [WHS]: ワース ト ホールド スラ ッ クを表示します。

• [THS]: トータル ホールド スラ ッ クを表示します。

• [TPWS]: トータル パルス幅ネガティブ スラ ッ クを表示します。

• [Total Power]: オンチップ消費電力合計を表示します。

• [Failed Routes]: 配線できなかった、 または競合のあったネッ ト数を表示します。

• [LUT]: LUT の使用率を数値または % で表示します。

• [FF]: フ リ ップフロ ップの使用率を数値または % で表示します。

• [BRAMs]: ブロ ッ ク RAM の使用率を数値または % で表示します。

• [URAM]: URAM の使用率を数値または % で表示します。

• [DSP]: DSP の使用率を数値または % で表示します。

ヒン ト : [Design Runs] ウ ィンド ウには、 デフォルトでは使用率が数値で表示されます。 使用率をパーセン トで表示す

るには、 [Show Percentage] ツールバー ボタン をク リ ッ ク します。

• [Start]: run の開始時をレポート します。

• [Elapsed]: run の経過時間をレポート します。

• [Strategy]: run に使用されたス ト ラテジを表示します。 アスタ リ スク (*) の付いたス ト ラテジは、 そのス ト ラテジ

のコマンド オプシ ョ ンの一部が変更されているこ とを示します。

Vivado IDE の使用 125UG893 (v2019.2) 2019 年 10 月 30 日 japan.xilinx.com

Page 126: Vivado Design Suite ユーザー ガイド - Xilinx...Vivado Design Suite ユーザー ガイド Vivado IDE の使用 UG893 (v2019.2) 2019 年 10 月 30 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

第 3 章: ウィンドウの使用

• [Part]: run に選択されているデバイスを表示します。

• [Description]: run の説明を表示します。 ス ト ラテジを run に適用される と 初はス ト ラテジに設定されますが、

後で [Run Properties] ウ ィンド ウで修正できます。

注記: この表は、 実行が進行する と随時更新されます。 生成されたスク リプ ト を使用して Vivado IDE 外で run を実行

する と、 次にツールを起動したと きに表が更新されます。

[Package Pins] ウィンドウ

[Package Pins] ウ ィンド ウには、 I/O に関連したパッケージ情報が表示されます。 I/O ピンおよび I/O ポート情報を解

析するため、 並べ替えたり フ ィルターを適用したりできます。 [Package Pins] ウ ィンド ウを表示するには、 [Window]

→ [Package Pins] をク リ ッ ク します。

各パッケージ ピンに対し、 次のよ うなデバイス ピン情報がリ ス ト されます。

• I/O バンク番号

• [Bank Type]

注記: [Bank Type] 列では、 [High Performance] および [High Range] バンクを指定します。

• 差動ペア パートナー

• サイ ト タイプ

• 小/ 大パッケージ遅延

注記: 小/ 大パッケージ ト レース遅延は、 ピコ秒 (ps) で示されます。

表の値は、 次のよ うに表示されます。

• デフォルト値は黒で表示されます。

• デフォルト以外の値は黒で表示され、 アスタ リ スク (*) が付きます。

• 無効な値は赤で表示されます。

X-Ref Target - Figure 3-59

図 3-59: [Package Pins] ウィンドウ

Vivado IDE の使用 126UG893 (v2019.2) 2019 年 10 月 30 日 japan.xilinx.com

Page 127: Vivado Design Suite ユーザー ガイド - Xilinx...Vivado Design Suite ユーザー ガイド Vivado IDE の使用 UG893 (v2019.2) 2019 年 10 月 30 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

第 3 章: ウィンドウの使用

[Package Pins] ウ ィンド ウの情報を並べ替えるには、 次の手順に従います。

• 列ヘッダーをク リ ッ ク します。 列ヘッダーをも う一度ク リ ッ クする と、 並べ替え順が逆になり ます。

• ある列を基準に並べ替えた後で Ctrl キーを押しながら別の列ヘッダーをク リ ッ クする と、 次にその列を基準に

並べ替えるこ とができます。 リ ス トの表示順を整えるために、 さまざまな並べ替え条件を選択できます。

注記: [Package Pins] ウ ィンド ウでの情報並べ替えの詳細は、 「データ表ウ ィンド ウの使用」 を参照してください。

ヒン ト : [Package Pins] ウ ィンド ウではセルの値を編集可能な値に直接変更できます。 値は、 テキス ト を入力するか、

ド ロ ップダウン リ ス トから選択できます。

[Package Pins] ウィンドウのツールバー コマンド

ローカル ツールバーには、 次のコマンドが含まれます。

• [Search]: [Package Pins] ウ ィンド ウでピンを名前、 キーワード、 ピン プロパティ内の値で検索します。

• [Collapse All]: I/O バンクの名前を表示し、 バンクのピンは表示しません。

• [Expand All]: I/O バンクのピンをすべて展開して表示します。

• [Group by I/O Bank]: ピンを I/O バンク別に表示するか、 名前をアルファベッ ト順に

リ ス ト します。

• [Settings]: ウ ィンド ウに表示される情報を制御します。

° [Scroll to Selected Objects]: [Package Pins] ウ ィンド ウをスクロールし、 [Netlist] または [Device] ウ ィンド ウな

どの別のウ ィンド ウで選択したオブジェク ト を表示します。

[I/O Ports] ウィンドウ

[I/O Ports] ウ ィンド ウ (図 3-60) は、 [Package] ウ ィンド ウまたは [Device] ウ ィンド ウで IP ポート を作成、 設定、 また

は I/O サイ トに配置する際に使用します。 [I/O Ports] ウ ィンド ウには、 デザインで定義されている I/O 信号のポート

が表示されます。 [I/O Ports] ウ ィンド ウを表示するには、 [Window] → [I/O Ports] をク リ ッ ク します。

RTL ソースまたはネッ ト リ ス ト プロジェク ト作成する と、 [I/O Ports] ウ ィンド ウにデザイン ソース ファ イルで定義

されている I/O ポートが自動的に表示されます。 I/O プランニング プロジェク トでは、 CSV ファ イルまたは XDC

ファ イルからポート リ ス ト をインポート して、 プロジェク トのポート を手動で作成できます。 詳細は、 『Vivado

Design Suite ユーザー ガイ ド : I/O およびクロ ッ ク プランニング』 (UG899) [参照 17] のこのセクシ ョ ンを参照してくだ

さい。

Vivado IDE の使用 127UG893 (v2019.2) 2019 年 10 月 30 日 japan.xilinx.com

Page 128: Vivado Design Suite ユーザー ガイド - Xilinx...Vivado Design Suite ユーザー ガイド Vivado IDE の使用 UG893 (v2019.2) 2019 年 10 月 30 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

第 3 章: ウィンドウの使用

[I/O Ports] ウ ィンド ウでは、 各 I/O ポートに対して次の内容がリ ス ト され、 列の値に基づいて I/O ポート を並べ替え

るこ とができます。

• ポート信号名

• 方向

• ボード パーツ ピン (ボード パーツのみを使用した場合)

• ボード パーツ インターフェイス (ボード パーツのみを使用した場合)

注記: プラ ッ ト フォーム ボード フローの詳細は、 『Vivado Design Suite ユーザー ガイ ド : システム レベル デザイ

ン入力』 (UG895) [参照 15] のこのセクシ ョ ンを参照してください。

• パッケージ ピン

• I/O バンク

• I/O 規格

• 駆動電流

• 差動ペア パートナー

• スルー タイプ

• 電圧要件

• その他の信号情報

[I/O Ports] ウ ィンド ウの表には、 次の情報が含まれます。

• バスは展開可能なフォルダーにまとめられており、 1 つのオブジェク ト と して選択して解析、 設定、 割り当てを

実行できます。

• ポート インターフェイスは、 ユーザーが定義したバスおよび個別ポート を含むこ とができる展開可能なフォル

ダーに含まれます。

• 編集可能な値を含むセルでは、 テキス ト を入力するか、 ド ロ ップダウン リ ス トからテキス ト を選択できます。

X-Ref Target - Figure 3-60

図 3-60: [I/O Ports] ウィンドウ

Vivado IDE の使用 128UG893 (v2019.2) 2019 年 10 月 30 日 japan.xilinx.com

Page 129: Vivado Design Suite ユーザー ガイド - Xilinx...Vivado Design Suite ユーザー ガイド Vivado IDE の使用 UG893 (v2019.2) 2019 年 10 月 30 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

第 3 章: ウィンドウの使用

表の値は、 次のよ うに表示されます。

• デフォルトは空白で表示されます。

• デフォルト以外の値にはアスタ リ スク (*) が付きます。

• 無効または未定義の値は赤色で表示されます。

注記: 表の表示方法については、 「データ表ウ ィンド ウの使用」 を参照してください。

[I/O Ports] ウィンドウのコマンド

次に、 ローカル ツールバーおよび右ク リ ッ クで表示されるポップアップ メニューから実行できるコマンドを示し

ます。

[I/O Ports] ウィンドウのツールバー コマンド

ローカル ツールバーには、 次のコマンドが含まれます。

• [Search]: [I/O Ports] ウ ィンド ウでポート を名前、 キーワード、 ポート プロパティ内の値で検索します。

• [Collapse All]: バスの名前を表示し、 バスの個々のビッ トは

表示しません。

• [Expand All]: バスのピンをすべて展開して表示します。

• [Group by Interface and Bus]: インターフェイス別にポート を表示、 またはポート名をアルファベッ ト順に表示し

ます。

• [Create I/O Port Interface]: ポート をグループにまとめるため新しいポート インターフェイスを定義します。 ポー

ト インターフェイスは、 I/O プランニング環境で 1 つのオブジェク ト と して選択し、 配置できます。

• [Schematic]: 選択した I/O ポートの [Schematic] ウ ィンド ウを開きます。

• [Settings]: [I/O Ports] ウ ィンド ウをスクロールし、 [Netlist] または [Device] ウ ィンド ウなどの別のウ ィンド ウで選

択したオブジェク ト を表示します。

[I/O Ports] ウィンドウのポップアップ メニュー

[I/O Planning] レイアウ ト を使用して、 [I/O Ports] ウ ィ ンド ウでポートおよびインターフェイスを選択してパッケー

ジ ピンまたはデバイス リ ソースに割り当てる こ とができます。 ポップアップ メニューには、 次のコマンドが含ま

れます。

• [I/O Port Bus Properties]: 選択したオブジェク トのプロパティを表示または編集します。

• [Delete]: 現在の選択を削除します。

• [Expand Selection]: 選択した行を展開します。

• [Create I/O Port Interface]: ポート をグループにまとめるため新しいポート インターフェイスを定義します。 ポー

ト インターフェイスは、 I/O プランニング環境で 1 つのオブジェク ト と して選択し、 配置できます。

• [Assign to Interface]: ポートのグループ、 ポート バス、 またはインターフェイスを親インターフェイスに割り当

てます。

• [Unassign from Interface]: ポートのグループ、 ポート バス、 またはインターフェイスを親インターフェイスから

解除します。

• [Configure I/O Ports]: 選択した I/O ポートのプロパティを指定します。

Vivado IDE の使用 129UG893 (v2019.2) 2019 年 10 月 30 日 japan.xilinx.com

Page 130: Vivado Design Suite ユーザー ガイド - Xilinx...Vivado Design Suite ユーザー ガイド Vivado IDE の使用 UG893 (v2019.2) 2019 年 10 月 30 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

第 3 章: ウィンドウの使用

• [Reset Invalid Port Properties]: 指定したポートの無効なプロパティをデフォルト値にリセッ ト します。

• [Reset Port Properties]: 指定したポートのプロパティをすべてデフォルト値にリセッ ト します。

• [Set Direction]: I/O プランニング プロジェク トの場合にのみ、 ポートの方向を指定します。

• [Make Diff Pair]: I/O ピン プランニング プロジェク トの場合、 差動ペアと して 2 つの I/O ポート を定義します。

• [Split Diff Pair]: I/O ピン プランニング プロジェク トの場合、 選択したポートから差動ペアの関連付けを削除し

ます。

• [Auto-place I/O Ports]: Autoplace I/O Ports ウ ィザードを使用して I/O ポート を配置します。

• [Place I/O Ports in an I/O Bank]: 現在選択されているポート を指定の I/O バンクのピンに割り当てます。

• [Place I/O Ports in Area]: 現在選択されているポート を指定したエリ アのピンに割り当てます。

• [Place I/O Ports Sequentially]: 現在選択されているポート を個々にピンに割り当てます。

• [Unplace]: 選択した I/O ポートの配置を解除します。

• [Fix Ports]: 選択した配置済みポート をそれらの現在の位置に制約します。 ポートが選択されていない場合は、 す

べての配置済みポートが制約されます。 完了する と、 サマ リ情報を含むダイアログ ボッ クスが表示されます。

注記: これは、 配置済みの I/O ポート を選択した場合にのみ有効になり ます。 Tcl コマンドは set_property

IS_LOC_FIXED true [get_ports [list <list of ports>]] です。

• [Unfix Ports]: 選択した配置済み I/O ポートの固定を解除します。

• [Swap Locations]: 選択された 2 つのポートのサイ ト を交換します。

• [Schematic]: 選択したオブジェク トから回路図を作成します。

• [Highlight]: 選択したオブジェク ト をハイライ ト します。

• [Unhighlight]: 選択したオブジェク トのハイライ ト を解除します。

• [Mark]: 選択したオブジェク ト をマークします。

• [Unmark]: 選択したオブジェク トのマークを削除します。

• [Export I/O Ports]: [I/O Ports] ウ ィンド ウの内容を CSV、 XDC、 Verilog、 または VHDL ファ イルに書き出します。

• [Export to Spreadsheet]: [I/O Ports] ウ ィンド ウの情報をスプレッ ドシート ファ イルにエクスポート します。

注記: デフォルトでは、 Vivado IDE は 7 階層を Excel スプレッ ドシートにエクスポート します。

Vivado IDE の使用 130UG893 (v2019.2) 2019 年 10 月 30 日 japan.xilinx.com

Page 131: Vivado Design Suite ユーザー ガイド - Xilinx...Vivado Design Suite ユーザー ガイド Vivado IDE の使用 UG893 (v2019.2) 2019 年 10 月 30 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

第 4 章

環境の設定

概要

Vivado® IDE の表示およびデフォルト設定は、 変更可能です。 たとえば、 デフォルトの表示色を変更して、 特定のサ

イ トやプロパティを目立たせたり、 ファ イル パスおよびプロパティのデフォルト設定を調整したり、 よ く使用する

コマンドにカスタム キーボード コマンドを割り当てたり、 カスタム フロー ス ト ラテジを作成したりできます。 こ

れらの設定は、 この章で説明するよ うに、 [Tools] および [Layout] メニューを使用して変更できます。

ツール設定

[Settings] ダイアログ ボッ クスを使用する と、 プロジェク ト設定とツール設定 の両方を指定できます。 プロジェク ト

設定では、 「プロジェク ト設定」 に示すよ うに、 一般、 シ ミ ュレーシ ョ ン、 エラボレーシ ョ ン、 合成、 インプリ メン

テーシ ョ ン、 ビッ ト ス ト リーム、 IP の設定を指定できます。 ツール設定では、 次のセクシ ョ ンで説明するよ うに、

Vivado IDE のデフォルト動作を設定できます。

このダイアログ ボッ クスを開くには、 [Tools] → [Settings] をク リ ッ ク します。 ダイアログ ボッ クスの内容は、 左側で

選択したカテゴ リによって異なり ます。 たとえば、 図 4-1 に示す [Settings] ダイアログ ボッ クスでは [Tools Settings]

の下の [Project] カテゴ リが選択されています。

ヒン ト : テキス ト ボッ クスでデータを入力または変更する際、 値が使用されて編集可能であれば、 テキス トは黒、 背

景色は白になり ます。 値が使用されていても編集可能ではない場合は、 テキス トは黒、 背景色は灰色になり ます。

値が使用されていないか、 適用可能ではない場合は、 その前後のラベルも含めてテキス トは灰色になり ます。

Vivado IDE の使用 132UG893 (v2019.2) 2019 年 10 月 30 日 japan.xilinx.com

Page 132: Vivado Design Suite ユーザー ガイド - Xilinx...Vivado Design Suite ユーザー ガイド Vivado IDE の使用 UG893 (v2019.2) 2019 年 10 月 30 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

第 4 章: 環境の設定

X-Ref Target - Figure 4-1

図 4-1: [Settings] ダイアログ ボックス - [Tool Settings] の [Project] カテゴリ

Vivado IDE の使用 133UG893 (v2019.2) 2019 年 10 月 30 日 japan.xilinx.com

Page 133: Vivado Design Suite ユーザー ガイド - Xilinx...Vivado Design Suite ユーザー ガイド Vivado IDE の使用 UG893 (v2019.2) 2019 年 10 月 30 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

第 4 章: 環境の設定

プロジェク トのデフォルト設定の指定

[Project] ページでは、Vivado IDE におけるプロジェク トのデフォルト処理方法を指定できます。 [Settings] ダイアログ

ボッ クス (図 4-1) を開くには、 [Tools] → [Settings] をク リ ッ ク し、 [Project] カテゴ リ をク リ ッ ク します。

• [Default Project Directory]: Vivado IDE で新し く作成されたプロジェク ト を保存するディ レク ト リ を指定します。

• [Target Language]: 新しいプロジェク トが作成されたと きに使用されるデフォルトのターゲッ ト言語を設定します。

• [Recent]: リ ス トする 近のプロジェク ト 、 ディ レク ト リ、 およびファイルの数を指定します。 Vivado IDE を開

始したと きに、 も 近使用したプロジェク ト を自動的に開くかど うかも指定できます。

• [Record Tcl commands for highlight and mark actions]: オブジェク ト をマークまたはハイライ ト したと きに [Tcl

Console] ウ ィンド ウに対応するコマンドを表示します (第 3 章の 「オブジェク トのマークおよびハイライ ト 」 を

参照)。

IP デフォルトの指定

[IP Defaults] ページでは、 デフォルトの IP ディレク ト リ と リ ポジ ト リ を指定できます。 [Settings] ダイアログ ボッ ク

ス (図 4-1) を開くには、 [Tools] → [Settings] をク リ ッ ク し、 [IP Defaults] カテゴ リ をク リ ッ ク します。

• [Default IP Example Project Directory]: 新し く作成された IP サンプルを保存するディ レク ト リ を指定します。 デ

フォルトでは、 [Default Project Directory] が使用されますが、 別のディ レク ト リ を指定するこ と もできます。

• [IP Catalog]: デフォルトのリポジ ト リ検索パス と リポジ ト リ を検索する順番を指定します。

ボード リポジ ト リの指定

[Board Repository] ページでは、 ボード ファ イルを検索するデフォルト リ ポジ ト リ と、 リポジ ト リの検索順を指定で

きます。 [Settings] ダイアログ ボッ クス (図 4-1) を開くには、 [Tools] → [Settings] をク リ ッ ク し、 [Board Repository] カ

テゴ リ をク リ ッ ク します。

サンプル プロジェク ト リポジト リの指定

[Example Project Repository] ページでは、 サンプル プロジェク ト を検索するデフォルト リ ポジ ト リ と、 リ ポジ ト リの

検索順を指定できます。 [Settings] ダイアログ ボッ クス (図 4-1) を開くには、 [Tools] → [Settings] をク リ ッ ク し、

[Example Project Repository] カテゴ リ をク リ ッ ク します。

Vivado IDE の使用 134UG893 (v2019.2) 2019 年 10 月 30 日 japan.xilinx.com

Page 134: Vivado Design Suite ユーザー ガイド - Xilinx...Vivado Design Suite ユーザー ガイド Vivado IDE の使用 UG893 (v2019.2) 2019 年 10 月 30 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

第 4 章: 環境の設定

ソース ファイル設定の指定

[Source File] ページでは、 Vivado IDE でのソース ファ イルの処理方法を指定できます。 [Settings] ダイアログ ボッ ク

ス (図 4-1) を開くには、 [Tools] → [Settings] をク リ ッ ク し、 [Source File] カテゴ リ をク リ ッ ク します。

• [Source Files]: プロジェク トにソースを追加する際に使用するデフォルト設定を指定します。 ソース ファ イルの

ローカル コピーは [Default Project Directory] に作成できます。 [Default Project Directory] のサブディレク ト リから

ソース ファイルを追加するこ と もできます。

• [File Saving]: プロジェク ト ファ イルを閉じたと きに、 プロジェク ト ファ イルを自動的に保存するか、 保存され

ていない変更がある場合に保存を確認するダイアログ ボッ クスを表示するかを指定します。

表示設定の指定

[Display] ページでは、Vivado IDE の表示を変更できます。 [Settings] ダイアログ ボッ クス (図 4-1) を開くには、 [Tools]

→ [Settings] をク リ ッ ク し、 [Display] カテゴ リ をク リ ッ ク します。

• [Scaling]: 表示のフォン ト スケーリ ングを設定し、 高解像度のモニターで Vivado IDE を見やすく します。 デフォ

ルトでは [Use OS font scaling] に設定されており、 プライマ リ モニターに設定されている値が使用されます。

[User defined setting] を選択する と、 Vivado ツールのみで使用される値を 90% ~ 300% の範囲から指定できます。

• [Spacing]: Vivado IDE のアイコンやテキス ト などのエレ メン ト間の間隔を設定します。 デフォルト設定は

[Comfortable] です。 [Compact] にする と、 よ り小さなスペースによ り多くのエレ メン トがフ ィ ッ トするよ うに間

隔が狭められます。

• [Messages]: 表示する メ ッセージの 大数を指定します。

• [Hyperlinks]: 説明の表示、 メニューの表示、 セル ピン、 セル、 またはサイ トの選択など、 タイ ミ ング レポート

のパス遅延リ ンクをク リ ッ ク したと きの動作を指定します。

WebTalk 設定の指定

[WebTalk] ページでは、 WebTalk でどのよ うにユーザー情報がザイ リ ンクス と共有されるかを設定できます。

[Settings] ダイアログ ボッ クス (図 4-1) を開くには、 [Tools] → [Settings] をク リ ッ ク し、 [WebTalk] カテゴ リ をク リ ッ ク

します。

• [Enable WebTalk to send software, IP and device usage statistics to Xilinx]: ソフ ト ウェアおよびハードウェアの改善に

役立つよ うザイ リ ンクスに使用方法を送信します。

注記: WebTalk の詳細は、 『Vivado Design Suite ユーザー ガイ ド : リ リース ノート、 インス トール、およびライセンス』

(UG973) [参照 2] を参照してください。

Vivado IDE の使用 135UG893 (v2019.2) 2019 年 10 月 30 日 japan.xilinx.com

Page 135: Vivado Design Suite ユーザー ガイド - Xilinx...Vivado Design Suite ユーザー ガイド Vivado IDE の使用 UG893 (v2019.2) 2019 年 10 月 30 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

第 4 章: 環境の設定

ヘルプ設定の指定

[Help] ページでは、 Vivado IDE のツール ヒ ン ト 、 ク イ ッ ク ヘルプ、 資料などの表示を設定できます。 [Settings] ダイ

アログ ボッ クス (図 4-1) を開くには、 [Tools] → [Settings] をク リ ッ ク し、 [Help] カテゴ リ をク リ ッ ク します。

• [Tooltips and Quick Help]: ツール ヒ ン トおよびクイ ッ ク ヘルプの言語を指定します。 ツール ヒ ン トが表示される

までの時間および消えるまでの時間も設定できます。

• [Documentation]: [Help] → [Documentation and Tutorials] をク リ ッ ク したと きに、 資料をザイ リ ンクス

Documentation Navigator で開くか ([Open with Xilinx Documentation Navigator])、 デフォルトのウェブ ブラウザー

で開くか ([Open with your web browser]) を指定します。 デフォルトのブラウザーを選択する と、 日本語の資料サ

イ トが表示されます。

注記: Documentation Navigator の詳細は、 付録 C の 「Documentation Navigator およびデザイン ハブ」 を参照して くだ

さい。

テキスト エディ ター設定の指定

[Settings] ダイアログ ボッ クスの [Text Editor] 設定からは、 Vivado IDE で使用されるテキス ト エディ ターを指定でき

ます。 [Vivado Text Editor] を選択する と、 さまざまなオプシ ョ ンもカスタマイズできます。 オプシ ョ ンのカスタマイ

ズは、 サードパーティのテキス ト エディ ターに対してはサポート されていません。 [Settings] ダイアログ ボッ クス (

図 4-1) を開くには、 [Tools] → [Settings] をク リ ッ ク し、 [Text Editor] カテゴ リ をク リ ッ ク します。

• [Current Editor]: Vivado IDE で使用されるテキス ト エディ ターを選択します。 [Vivado Text Editor] を選択する と、

次の [General] および [Display] オプシ ョ ンも表示されます。

• [Vivado Editor General Options]: コードの折りたたみ、 行コ メン ト 、 列を選択するシ ョート カッ ト 、 分割ビュー、

やり直し動作の数、 近のファイル数を変更できます。

• [Vivado Editor Display Options]: Vivado テキス ト エディ ターの一番上のファイル パス、 行数、 一致する単語など

のオプシ ョ ンを変更できます。

また、 [Vivado Text Editor] を選択する と、 [Settings] ダイアログ ボッ クスの左側に次のサブカテゴ リが表示されます。

• [Code Completion]: コード補完ド ロ ップダウンをシ ョート カッ ト キーで表示されるよ うにするか、 候補を入力中

に表示するか、 コード補完をディ スエーブルにするかを設定します。 表示されている値を選択するのに Tab

キーまたはスペース キーのどちらを使用するかも設定できます。

• [Syntax Checking]: 構文チェッ クを有効にし、 警告および注記を表示するかど うかを指定します。 エラー、 警告、

注記のフォーマッ ト を指定して、 そのフォーマッ ト を [Preview] ウ ィンド ウで表示するこ と もできます。

• [Tabs]: 行をインデン トするか、 スペースの代わりにタブ文字を使用するか、 タブごとにいくつスペースを使用

するかを指定します。

• [Fonts and Colors]: 表示テーマ (カラー設定のグループ) を指定します。 デフォルトのテーマから選択できるほか、

「表示テーマの設定」 に説明するよ うに、 独自のテーマを作成するこ と もできます。 フォン ト スタイル、 サイ

ズ、 標準テキス トの色などのほか、 背景、 ラインのハイライ ト 、 一致単語の色も設定できます。 このフォー

マッ トは [Preview] ウ ィンド ウで確認できます。

注記: 別の言語のテキス ト スタイルおよび色も [Verilog]、 [VHDL]、 [Tcl]、 [Xdc]、 [Trigger State Machine] サブカ

テゴ リから設定できます。

Vivado IDE の使用 136UG893 (v2019.2) 2019 年 10 月 30 日 japan.xilinx.com

Page 136: Vivado Design Suite ユーザー ガイド - Xilinx...Vivado Design Suite ユーザー ガイド Vivado IDE の使用 UG893 (v2019.2) 2019 年 10 月 30 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

第 4 章: 環境の設定

サードパーティ シミ ュレータの指定

[3rd Party Simulators] 設定からは、 インス トール パスとデフォルトのコンパイル済みライブラ リ パスを指定できま

す。 [Settings] ダイアログ ボッ クス (図 4-1) を開くには、 [Tools] → [Settings] をク リ ッ ク し、 [3rd Party Simulators] カテ

ゴ リ をク リ ッ ク します。

• [Install Paths]: サードパーティ シ ミ ュレータへのパスを指定します。

• [Default Compiled Library Paths]: サポート されるシ ミ ュレータごとにコンパイルされたライブラ リへのパスを指

定します。 コンパイル ラ イブラ リ パスは、 新規プロジェク ト を作成した場合にのみ適用されます。

注記: サードパーティ シ ミ ュレータの詳細は、 『Vivado Design Suite ユーザー ガイ ド : ロジッ ク シ ミ ュレーシ ョ ン』

(UG900) [参照 9] のこのセクシ ョ ンを参照してください。

色の指定

[Settings] ダイアログ ボッ クスの [Colors] ページを使用する と、 表示環境の色を制御できます。 [Settings] ダイアログ

ボッ クス (図 4-1) を開くには、 [Tools] → [Settings] をク リ ッ ク し、 [Color] カテゴ リ をク リ ッ ク します。 サブカテゴ リ

をク リ ッ クする と、 ハイライ ト などの色、 [Device] および [Package] ウ ィンド ウなどの別のウ ィンド ウでのオブジェ

ク トの色を設定できます。

表示テーマの設定

表示テーマは、 [Themes] ド ロ ップダウン リ ス ト を使用する と変更できる色設定のグループです。 Vivado IDE では、

淡色と濃色の背景色テーマが提供されています。 テーマを変更するには、 ド ロ ップダウン リ ス トから [Vivado Light

Theme] または [Vivado Default Theme] を選択します。

ヒン ト : [Vivado Default Theme] は、 マシンのモニターで 適な表示になるよ うに設定されています。 プロジェク ター

を使用する場合は、 [Vivado Light Theme] の方が適しています。

注記: これらのデフォルト オプシ ョ ンは、 vivado.xml ファ イルに定義されています。 詳細は、 付録 B の 「環境設

定の出力」 を参照してください。

カスタム表示テーマの作成

色設定を作成して保存する と、 今後も使用できるよ うになり ます。 [Save As] ボタンをク リ ッ ク し、 カスタムの表示

テーマの名前を指定して保存します。

Vivado IDE の使用 137UG893 (v2019.2) 2019 年 10 月 30 日 japan.xilinx.com

Page 137: Vivado Design Suite ユーザー ガイド - Xilinx...Vivado Design Suite ユーザー ガイド Vivado IDE の使用 UG893 (v2019.2) 2019 年 10 月 30 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

第 4 章: 環境の設定

色の変更

エレ メン トの色を変更するには、 次のいずれかを実行します。

• 色のセルをク リ ッ ク し、 RGB 値を入力します (図 4-2)。

• 色のセルをク リ ッ ク して、 ド ロ ップダウン リ ス トに表示される色から選択します (図 4-3)。 それ以外の色の定義

オプシ ョ ンを表示するには、 [More Colors] をク リ ッ ク します。

ヒン ト : 色設定は、 [Device] ウ ィンド ウでも変更できます。 詳細は、 第 3 章の 「[Device] ウ ィンド ウの設定」 を参照

してください。

オブジェク トの選択規則

[Selection Rules] 設定からは、 1 つ目のオブジェク ト を選択したと きに選択される 2 つ目のエレ メン ト を指定できま

す。 オブジェク ト を選択する と、 それに接続されたり、 関連しているオブジェク ト も選択されるこ とがあ り ます。

たとえば、 Pblock を選択する と、 その Pblock に割り当てられたネッ ト リ ス トのセルも選択されます。 ポート オブ

ジェク ト を選択する と、 そのポートのピン オブジェク ト も選択されます。 [Settings] ダイアログ ボッ クス (図 4-1) を

開くには、 [Tools] → [Settings] をク リ ッ ク し、 [Selection Rules] カテゴ リ をク リ ッ ク します。

デフォルトの選択規則を使用する と、 Vivado IDE が も効果的に機能します。 特定のオブジェク トの選択に問題が

ある場合は、 これらの選択規則を変更できます。 選択規則を変更するには、 その規則の横の [Set] チェッ ク ボッ クス

をオンまたはオフにします。

• オンにする と、 1 つ目の [From] 列のオブジェク ト を選択したと きに、 関連する [To] 列のオブジェク ト タイプも

選択されます。

• オフにする と、 [From] 列のオブジェク ト を選択したと きに、 そのオブジェク トのみが選択されます。

X-Ref Target - Figure 4-2

図 4-2: RGB カラー値

X-Ref Target - Figure 4-3

図 4-3: カラー パレッ ト

Vivado IDE の使用 138UG893 (v2019.2) 2019 年 10 月 30 日 japan.xilinx.com

Page 138: Vivado Design Suite ユーザー ガイド - Xilinx...Vivado Design Suite ユーザー ガイド Vivado IDE の使用 UG893 (v2019.2) 2019 年 10 月 30 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

第 4 章: 環境の設定

シ ョート カッ ト キーの設定

頻繁に使用されるコマンドには、 キーボード シ ョート カッ トが定義されています。 シ ョート カッ トは、 メニュー

バーまたはポップアップ メニューのコマンドの横に表示されます。 カスタム シ ョート カッ ト を作成するには、

[Shortcuts] 設定を使用します。 [Settings] ダイアログ ボッ クス (図 4-1) を開くには、 [Tools] → [Settings] をク リ ッ ク し、

[Shortcuts] カテゴ リ をク リ ッ ク します。

カスタム シ ョート カッ トの作成および削除方法は、 次のとおりです。

1. [Settings] ダイアログ ボッ クスで [Copy] をク リ ッ ク し、 Vivado デフォルト スキーマから新規スキーマを作成し

ます。

重要: Vivado IDE で提供されるデフォルトのシ ョート カッ トは変更できません。 カスタマイズするには、 新しい

シ ョート カッ ト スキーマを作成する必要があ り ます。

2. ポップアップ ウ ィンド ウで新しいシ ョート カッ ト スキーマの名前を指定し、 Enter キーを押します。

3. メニューおよびウ ィンド ウの リ ス ト を検索してコマンドを選択します。

ヒン ト : [Filter] フ ィールドを使用する と、 コマンドのリ ス ト をフ ィルターできます。 文字列を入力して、 使用可能な

コマンドの リ ス ト をフ ィルターしてください。 異なるウ ィンド ウの同じコマンドに異なるシ ョート カッ ト を割り当

てるこ と もできます。

4. [Add] をク リ ッ ク します。

5. [Add Shortcut] ダイアログ ボッ クス (図 4-4) でド ロ ップダウン リ ス トから新しいシ ョート カッ ト を選択し、 [OK]

をク リ ッ ク します。

注記: ユーザー指定のシ ョート カッ トは、 Vivado IDE のコンフ ィギュレーシ ョ ン ディ レク ト リの

shortcuts.xml ファ イルに保存されます。 詳細は、 付録 B の 「環境設定の出力」 を参照してください。

6. シ ョート カッ ト を削除するには、 コマンドを選択して [Remove] をク リ ッ ク します。

X-Ref Target - Figure 4-4

図 4-4: [Add Shortcut] ダイアログ ボックス

Vivado IDE の使用 139UG893 (v2019.2) 2019 年 10 月 30 日 japan.xilinx.com

Page 139: Vivado Design Suite ユーザー ガイド - Xilinx...Vivado Design Suite ユーザー ガイド Vivado IDE の使用 UG893 (v2019.2) 2019 年 10 月 30 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

第 4 章: 環境の設定

ヒン ト : 現在定義されているシ ョート カッ ト を表示するには、 [Group by Usage] ツールバー ボタン をオフにし

て、 [Shortcut] 列見出しをダブルク リ ッ ク します。

run スト ラテジの作成

run ス ト ラテジとは、 合成またはインプリ メンテーシ ョ ン用にあらかじめ定義されたコマンド ライン オプシ ョ ンの

セッ トのこ とで、 デザインの合成およびインプリ メンテーシ ョ ンで異なる目標を達成するために作成されています。

Vivado IDE には、 内部ベンチマークでテス ト された、 一般的なス ト ラテジが複数含まれています。 ス ト ラテジは、

ツールおよびバージ ョ ン特定です。

あらかじめ定義されている Vivado IDE の合成およびインプリ メンテーシ ョ ン ス ト ラテジのコマンド ライン設定は変

更できませんが、 提供されているス ト ラテジをコピーし、 それを変更するこ とでカスタム ス ト ラテジを作成するこ

とはできます。 カスタム ス ト ラテジを作成するには、 [Run Strategies] 設定を使用します。 [Settings] ダイアログ ボッ

クス (図 4-1) を開くには、 [Tools] → [Settings] をク リ ッ ク し、 [Run Strategies] カテゴ リ をク リ ッ ク します。

ヒン ト : [Strageties] 設定からは、定義済みの Vivado IDE の合成ス ト ラテジおよびインプリ メンテーシ ョ ン ス ト ラテジ

に関連するコマンド ラ イン オプシ ョ ンも表示できます。

ユーザー定義の run ス ト ラテジは、 次のディ レク ト リに保存されます。

• Windows: %APPDATA%\Xilinx\Vivado\<version>\strategies

• Linux: ~/.Xilinx/Vivado/<version>/strategies

ス ト ラテジを確認、 コピー、 変更するには、 次の手順に従います。

1. [Flow] ド ロ ップダウン リ ス トから [Vivado Synthesis] または [Vivado Implementation] のバージ ョ ンを選択します。

ス ト ラテジとそれらのコマンド ラ イン オプシ ョ ンが表示されます。 コマンド ラ イン オプシ ョ ンの詳細は、

『Vivado Design Suite ユーザー ガイ ド : 合成』 (UG901) [参照 10] および 『Vivado Design Suite ユーザー ガイ ド : イン

プ リ メンテーシ ョ ン』 (UG904) [参照 12] を参照してください。

重要: Vivado IDE であらかじめ設定されているス ト ラテジのデフォルト設定は変更できません。 ス ト ラテジをカスタ

マイズするには、 ス ト ラテジをコピーするか追加する必要があ り ます。

2. 新しいス ト ラテジを作成するには、 ポップアップ メニューまたはツールバー ボタンから [Create Strategy]

をク リ ッ ク します。

注記: または、 ポップアップ メニューから [Copy Strategy] をク リ ッ ク し、 既存のス ト ラテジのコピーを作成しま

す。 ス ト ラテジが [User Defined Strategies] リ ス トにコピーされ、 右側に変更可能なコマンド ラ イン オプシ ョ ン

が リ ス ト されます。

3. [New Strategy] ダイアログ ボッ クス (図 4-5) で次のオプシ ョ ンを設定し、 [OK] をク リ ッ ク します。

° [Name]: ス ト ラテジ名を指定します。

° [Type]: ス ト ラテジを合成に適用するかインプリ メンテーシ ョ ンに適用するか指定します。

° [Tool Version]: Vivado Design Suite のバージ ョ ンを指定します。

Vivado IDE の使用 140UG893 (v2019.2) 2019 年 10 月 30 日 japan.xilinx.com

Page 140: Vivado Design Suite ユーザー ガイド - Xilinx...Vivado Design Suite ユーザー ガイド Vivado IDE の使用 UG893 (v2019.2) 2019 年 10 月 30 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

第 4 章: 環境の設定

° [Description]: ス ト ラテジの説明を入力します。 こ こで入力した説明が [Design Runs] ウ ィンド ウに表示され

ます。

4. 合成またはインプ リ メンテーシ ョ ン run で使用されるコマンド ライン ツールのオプシ ョ ンを、 次のよ うに変更

します。

° チェッ ク ボッ クスをク リ ッ ク してオプシ ョ ンのオン/オフを切り替えます。

° ド ロ ップダウン リ ス トから異なる値を指定します。

° 適切なテキス ト を入力します ([More Options] フ ィールドなど)。

ヒン ト : コマンド オプシ ョ ンをク リ ッ クする と、 その説明が下に表示されます。

5. [Apply] をク リ ッ ク し、 [OK] をク リ ッ ク して新しいス ト ラテジを保存します。

新しいス ト ラテジが [User Defined Strategies] の下に表示され、 合成およびインプリ メンテーシ ョ ンで使用できる

よ うになり ます。

レポート スト ラテジの作成

レポート ス ト ラテジとは、 合成またはインプリ メンテーシ ョ ン中に作成されるレポート セッ トのこ とです。 Vivado

IDE では、 複数のレポート をデザイン run のさまざまな段階で作成できます。

あらかじめ定義されているス ト ラテジのコマンド ラ イン設定は変更できませんが、 提供されているス ト ラテジをコ

ピーし、 それを変更するこ とでカスタム ス ト ラテジを作成するこ とはできます。 これによ り、 デフォルトのレポー

ト を作成されないよ うにした り、 デザイン フローのさまざまなポイン トで同じレポートが実行できます。

カスタム レポート ス ト ラテジを作成するには、 [Report Strategies] 設定を使用します。 [Settings] ダイアログ ボッ クス

(図 4-1) を開くには、 [Tools] → [Settings] をク リ ッ ク し、 [Strategies] → [Report Strategies] カテゴ リ をク リ ッ ク します。

X-Ref Target - Figure 4-5

図 4-5: [New Strategy] ダイアログ ボックス

Vivado IDE の使用 141UG893 (v2019.2) 2019 年 10 月 30 日 japan.xilinx.com

Page 141: Vivado Design Suite ユーザー ガイド - Xilinx...Vivado Design Suite ユーザー ガイド Vivado IDE の使用 UG893 (v2019.2) 2019 年 10 月 30 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

第 4 章: 環境の設定

ユーザー定義のレポート ス ト ラテジは、 次のディレク ト リに保存されます。

• Windows: %APPDATA%\Xilinx\Vivado\<version>\reportstrategies

• Linux: ~/.Xilinx/Vivado/<version>/reportstrategies

ス ト ラテジを確認、 コピー、 変更するには、 次の手順に従います。

1. [Flow] ド ロ ップダウン リ ス トから [Vivado Synthesis] または [Vivado Implementation] のバージ ョ ンを選択します。

ス ト ラテジと関連するレポートの リ ス トが表示されます。 レポートの詳細は、 『Vivado Design Suite ユーザー ガ

イ ド : デザイン解析およびクロージャ テクニッ ク』 (UG906) [参照 13] のこのセクシ ョ ンを参照してください。

重要: Vivado IDE であらかじめ設定されているス ト ラテジのデフォルト設定は変更できません。 ス ト ラテジをカスタ

マイズするには、 ス ト ラテジをコピーするか追加する必要があ り ます。

2. 新しいス ト ラテジを作成するには、 ポップアップ メニューまたはツールバー ボタンから [Create Strategy]

をク リ ッ ク します。

注記: または、 ポップアップ メニューから [Copy Strategy] をク リ ッ ク し、 既存のス ト ラテジのコピーを作成しま

す。 ス ト ラテジが [User Defined Strategies] リ ス トにコピーされ、 右側に変更可能なレポートの リ ス トが リ ス ト さ

れます。

3. [New Report Strategy] ダイアログ ボッ クス (図 4-6) で次のオプシ ョ ンを設定し、 [OK] をク リ ッ ク します。

° [Name]: ス ト ラテジ名を指定します。

° [Type]: ス ト ラテジを合成に適用するかインプリ メンテーシ ョ ンに適用するか指定します。

° [Description]: ス ト ラテジの説明を入力します。 こ こで入力した説明が [Design Runs] ウ ィンド ウに表示され

ます。

° [No Report]: レポート を生成せずにス ト ラテジを作成します。

X-Ref Target - Figure 4-6

図 4-6: [New Report Strategy]

Vivado IDE の使用 142UG893 (v2019.2) 2019 年 10 月 30 日 japan.xilinx.com

Page 142: Vivado Design Suite ユーザー ガイド - Xilinx...Vivado Design Suite ユーザー ガイド Vivado IDE の使用 UG893 (v2019.2) 2019 年 10 月 30 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

第 4 章: 環境の設定

4. 合成またはインプ リ メンテーシ ョ ン run で使用されるのレポートのリ ス トは、 [Reports] フ ィールドで次のよ うに

変更できます。

° レポート を追加するには、 ポップアップ メニューまたはツールバー ボタンから [Add Report] をク リ ッ

ク します。 [Add Report for Report Strategy] ダイアログ ボッ クスで [Run Step] および [Report Type] を指定して

[OK] をク リ ッ ク します。

注記: [Run Step] は、 インプ リ メンテーシ ョ ン run にのみ使用できます。

° レポート を削除するには、 そのデザイン段階の下のレポート をク リ ッ ク し、 ポップアップ メニューまたは

ツールバー ボタンから [Remove Report] をク リ ッ ク します。

° レポート オプシ ョ ンは、 [Options] フ ィールドで変更できます。

ヒン ト : MORE_OPTIONS 値に使用する構文例は、 既存レポートの [Summary] セクシ ョ ンを確認してください。 [Tcl

Console] ウ ィンド ウでレポートの Tcl コマンドの後に -help と入力しても、 コマンド ライン オプシ ョ ンのリ ス ト を

表示できます。

5. [Apply] をク リ ッ ク し、 [OK] をク リ ッ ク して新しいス ト ラテジを保存します。

新しいス ト ラテジが [User Defined Strategies] の下に表示され、 合成およびインプリ メンテーシ ョ ンで使用できる

よ うになり ます。

ウィンドウ動作のカスタマイズ

[Window Behavior] 設定からは、 Vivado IDE での警告、 確認、 通知、 アラート などのメ ッセージの表示方法を指定で

きます。 [Settings] ダイアログ ボッ クス (図 4-1) を開くには、 [Tools] → [Settings] をク リ ッ ク し、 [Window Behavior] カ

テゴ リ をク リ ッ ク します。

次のサブカテゴ リ をク リ ッ クする と、 これらの設定を指定します。

• [Warnings]: デザインやプロジェク ト を閉じたと きに警告ダイアログ ボッ クスをどのよ うに示すかを指定します。

• [Confirmations]: 別のデザインに切り替えたと きに確認ダイアログ ボッ クスをどのよ うに示すかを指定します。

• [Notifications]: 合成やインプリ メンテーシ ョ ンが問題なく終了したと きに通知ダイアログ ボッ クスをどのよ うに

示すかを指定します。

• [Alerts]: アクティブではない run のアラート をどのよ うに示すか指定します。

Vivado IDE の使用 143UG893 (v2019.2) 2019 年 10 月 30 日 japan.xilinx.com

Page 143: Vivado Design Suite ユーザー ガイド - Xilinx...Vivado Design Suite ユーザー ガイド Vivado IDE の使用 UG893 (v2019.2) 2019 年 10 月 30 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

第 4 章: 環境の設定

カスタム レイアウトの設定

Vivado IDE では、 [I/O Planning] や [Design Analysis] など、特定のデザイン タスクを実行するために設定されたレイア

ウ トが提供されています。 これらのレイアウ トでは、 そのデザイン タスクで頻繁に使用されるウ ィンド ウの位置お

よびサイズが定義されています。 次の [Layout] メニュー コマンドを使用して、 ユーザー定義のレイアウ ト を作成、

削除、 リセッ トするこ と もできます。

• [Save Layout As]: 現在のレイアウ ト設定に基づいてユーザー定義のレイアウ ト を作成します。

• [Remove Layout]: 選択したユーザー定義のレイアウ ト を削除します。

• [Undo]: も 近のビュー操作を元に戻します。

• [Redo]: も 近のビュー操作をやり直します。

• [Reset Layout]: アクティブなレイアウ トのウ ィンド ウの大きさや位置を元の設定に戻します。

注記: ユーザー定義のレイアウ トは、 インス トール ディレク ト リのレイアウ ト ファ イルに保存しておく と、 すべて

のデザイン プロジェク トで使用できます。 詳細は、 付録 B の 「環境設定の出力」 を参照して ください。

ヒン ト : 第 2 章の 「レイアウ ト セレク ター」 に説明するよ うに、 定義済みレイアウ ト を使用するこ と もできます。

Vivado IDE の使用 144UG893 (v2019.2) 2019 年 10 月 30 日 japan.xilinx.com

Page 144: Vivado Design Suite ユーザー ガイド - Xilinx...Vivado Design Suite ユーザー ガイド Vivado IDE の使用 UG893 (v2019.2) 2019 年 10 月 30 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

第 4 章: 環境の設定

カスタム メニュー コマンドの追加

[Customize Commands] ダイアログ ボッ クス (図 4-7) を使用する と、 システムまたはユーザー定義の Tcl コマンドを

Vivado IDE のメ イン メニューまたはツールバー メニューに追加できます。 このダイアログ ボッ クスを開くには、

[Tools] → [Custom Commands] → [Customize Commands] をク リ ッ ク します。 カスタム コマンドは、 [Tools] → [Custom

Commands] をク リ ッ クする と、 その下のレベルのメニューに表示されます。

注記: [Customize Commands] は、 Vivado IDE を起動するたびに復元されます。 カスタム コマンドは各ユーザーが指定

でき、 commands.paini ファ イルに保存されます。 詳細は、 付録 B の 「環境設定の出力」 を参照してください。

ヒン ト : カスタム メニュー コマンドを追加するには、 create_gui_custom_command Tcl コマンド も使用できま

す。 詳細は、 「Tcl コマンドを使用したカスタム メニュー コマンドの追加」 を参照して ください。

このダイアログ ボッ クスでは、 次を指定できます。

• [Custom Commands]: 現在定義されているカスタム コマンドのリ ス トが表示されます。

° [Add]: カスタム メニューに新しいコマンドを追加します。 ポップアップ ウ ィンド ウにコマンド名を入力し

て Enter キーを押すと、 そのコマンドがカスタム コマンド リ ス トに追加されます。

° [Remove]: カスタム メニューから選択したコマンドを削除します。

° [Move Up]: 選択したコマンドを リ ス トの上方向に移動します。

° [Move Down]: 選択したコマンドを リ ス トの下方向に移動します。

X-Ref Target - Figure 4-7

図 4-7: [Customize Commands] ダイアログ ボックス

Vivado IDE の使用 145UG893 (v2019.2) 2019 年 10 月 30 日 japan.xilinx.com

Page 145: Vivado Design Suite ユーザー ガイド - Xilinx...Vivado Design Suite ユーザー ガイド Vivado IDE の使用 UG893 (v2019.2) 2019 年 10 月 30 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

第 4 章: 環境の設定

• [Edit Custom Command]: [Custom Commands] リ ス トで選択したコマンドのプロパティを指定します。

° [Menu Name]: カスタム コマンドの名前を指定します。

° [Description]: メニュー コマンドの上にカーソルを置いたと きにステータス バーに表示するテキス ト を指定

します。

° [Shortcut]: カスタム コマンドのキーボード シ ョート カッ ト を指定します。 [Add] をク リ ッ ク して [Add

Shortcut] ダイアログ ボッ クス (図 4-4) を開き、 ド ロ ップダウン リ ス トから新しいシ ョート カッ ト を選択し

ます。 シ ョート カッ ト を削除するには、 [Remove] をク リ ッ ク します。

° [Run Command]: カスタム コマンドの Tcl コマンドまたはプロシージャを指定します。

° [Source Tcl File]: 1 つの Tcl コマンドまたはプロシージャを実行する代わりに、 カスタム コマンドの Tcl スク

リプ ト ファ イルを指定して読み込みます。

° [Toolbar Options]: カスタム コマンドのツールバー ボタン アイコンを メ イン ツールバーに追加するかど うか

指定します。

- [Add to the Toolbar]: コマンドのボタンをツールバーに追加します。 オフにする と、 カスタム コマンド

はメ イン ツールバーに表示されません。

- [Icon File Path]: ツールバー ボタン アイコンに使用する画像ファイルのパスを指定します。 アイコン

ファ イルは、 約 20x20 ピクセルの PNG、 JPG、 または GIF ファ イルにする必要があ り ます。 大きな画

像はツールバーに収まるよ うにサイズが変更されます。

Tcl コマンドを使用したカスタム メニュー コマンドの追加

カスタム メニュー コマンドは、 create_gui_custom_command Tcl コマンドを使用しても追加できます。 Vivado

IDE の [Tcl Console] ウ ィンド ウにコマンドを入力するか、 source コマンドを使用して Tcl ファ イルから読み込みます。

次に例を示します。

create_gui_custom_command -name showVersion -menu_name showVersion -description "Display the tool version" -show_on_toolbar -command version

Vivado IDE の使用 146UG893 (v2019.2) 2019 年 10 月 30 日 japan.xilinx.com

Page 146: Vivado Design Suite ユーザー ガイド - Xilinx...Vivado Design Suite ユーザー ガイド Vivado IDE の使用 UG893 (v2019.2) 2019 年 10 月 30 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

付録 A

Vivado IDE の使用ヒン ト

表示環境の使用

ウィンドウの使用

目的 実行方法

現在定義されているシ ョート カッ ト を表示 [Tools] → [Settings] をク リ ッ ク します。 [Settings] ダイアログ ボッ ク

スで [Shortcuts] カテゴ リ をク リ ッ ク します。 [Group by Usage] ツー

ルバー ボタン をオフにして、 [Shortcut] 列ヘディングをダブル

ク リ ッ ク します。

ツール ヒ ン トの表示プリ ファレンスを設定 [Tools] → [Settings] をク リ ッ ク します。 [Settings] ダイアログ ボッ ク

スの [Help] カテゴ リ をク リ ッ ク し、 [Tooltips and Quick Help] 設定を

指定します。

目的 実行方法

Flow Navigator を表示/非表示 Ctrl + Q キーを押します。

ワークスペース内で次のタブを選択 Ctrl + Tab キーを押します。

ワークスペース内で前のタブを選択 Ctrl + Shift + Tab キーを押します。

ウ ィンド ウを 大化または 小化 ウ ィンド ウ タブをダブルク リ ッ クするか、 Alt + - キーを押します。

ウ ィンド ウ レイアウ ト を リセッ ト F5 キーを押します。

テキス ト を検索 Ctrl + F キーを押します。

テキス ト を置換 Ctrl + R キーを押します。

Vivado IDE の使用 146UG893 (v2019.2) 2019 年 10 月 30 日 japan.xilinx.com

Page 147: Vivado Design Suite ユーザー ガイド - Xilinx...Vivado Design Suite ユーザー ガイド Vivado IDE の使用 UG893 (v2019.2) 2019 年 10 月 30 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

付録 A: Vivado IDE の使用ヒン ト

メ ッセージおよびレポートの使用

目的 実行方法

1 つのメ ッセージ タイプのみを表示 [Messages] ウ ィンド ウのバナーでメ ッセージ タイプの横のチェッ ク

ボッ クスを使用するか、 表示する メ ッセージ タイプをダブルク リ ッ

ク します。

非表示に設定されている メ ッセージのみを

表示

[Messages] ウ ィンド ウでツールバーの [Filter Messages] ボタン を

ク リ ッ ク し、 [Show suppressed] をオンにします。

注記: 非表示に設定されている メ ッセージがない場合は、 [Messages] ウ ィンド

ウがブランクになり ます。

重要度が変更されたメ ッセージのみを表示 [Messages] ウ ィンド ウでツールバーの [Filter Messages] ボタン を

ク リ ッ ク し、 [Show Modified] をオンにします。

注記: 変更されている メ ッセージがない場合は、 [Messages] ウ ィ ンド ウがブラ

ンクにな り ます。

コマンドを実行中に [Log] ウ ィンド ウでレ

ポート を読む

[Messages] ウ ィンド ウで [Pause Output] ツールバー ボタン をク

リ ッ ク します。

レポート を上下または左右に並べる レポートのタブを右ク リ ッ ク し、 [New Horizontal Group] または [New

Vertical Group] をク リ ッ ク します。

デザイン run の一部と して自動的に作成さ

れたグラフ ィカルなレポート ウ ィンド ウ

を開き直す

[Reports] → [Open Interactive Report] をク リ ッ ク します。

Vivado IDE の使用 147UG893 (v2019.2) 2019 年 10 月 30 日 japan.xilinx.com

Page 148: Vivado Design Suite ユーザー ガイド - Xilinx...Vivado Design Suite ユーザー ガイド Vivado IDE の使用 UG893 (v2019.2) 2019 年 10 月 30 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

付録 B

入力および出力ファイル

入力ファイル

Vivado® IDE では、 入力と して使用するファイルの場所を指定できます。

表 B-1 に、 Vivado IDE 入力ファイルとそのファイル タイプと説明を リ ス ト します。

表 B-1: Vivado IDE 入力ファイル

入力ファイル ファイル タイプ 説明

デザイン ソース ファ イル • VHDL

• Verilog

• Verilog ヘッダー

• SystemVerilog

• ファ イルをインポートおよびエラボレート して、 ロジッ クを解析

したり、 ソースを変更したりできます。

• ソース ファ イルは元の場所から参照するか、 プロジェク トにコ

ピーできます。

• RTL ソース ファ イルをインポートする と きに、 ディ レク ト リ を指

定できます。 ディ レク ト リ を指定する と、 そのディ レク ト リに含

まれる認識可能なファイルおよびファイル タイプがすべてプロ

ジェク トにインポート されます。

I/O ポート リ ス ト CSV • CSV (カンマ区切り ) フォーマッ ト ファ イルをインポート し、 [I/O

Planning] レイアウ トの [I/O Ports] ウ ィンド ウに表示できます。 この

機能は、 I/O プランニング プロジェク トでのみ使用できます。

• I/O ポート を物理パッケージ ピンに割り当てる と、 デバイス ピン

の設定を定義できます。

• CSV は、 デバイス ピンおよびピン配置に関する情報をボード設計

者と交換するために使用される標準ファイル形式です。

モジュール レベルのネッ ト

リ ス トおよびコア

• EDIF

• NGC

• NGO

• Vivado IDE では、 複数の EDIF または NGC ネッ ト リ ス ト を使用し

てデザインを構築でき、 階層デザイン手法がサポート されます。

• 上位ロジッ クを選択する と、 下位モジュールが自動的にイン

ポート されます。 このプロセスは、 デザインをアップデートする

際によ り柔軟性を発揮します。

• Vivado IDE のインク リ メンタル ネッ ト リ ス ト インポート機能では、

どのレベルのデザイン階層のネッ ト リ ス トでも更新できます。

注記: Vivado Design Suite では、UltraScale™ デバイスに対して NGC フォーマッ

トのファ イルはサポート されていません。 Vivado Design Suite で IP を再生成

し、 ネイティブ出力ファ イルを使用するこ とをお勧めします。 NGC ファ イル

は『ISE から Vivado Design Suite への移行ガイ ド』 (UG911) [参照 18] に示すよ う

に NGC2EDIF コマンドで EDIF に変換してインポートするこ と もできますが、

今後は XST で生成された NGC フォーマッ トではなくネイティブ Vivado IP を使用するこ とをお勧めします。

Vivado IDE の使用 148UG893 (v2019.2) 2019 年 10 月 30 日 japan.xilinx.com

Page 149: Vivado Design Suite ユーザー ガイド - Xilinx...Vivado Design Suite ユーザー ガイド Vivado IDE の使用 UG893 (v2019.2) 2019 年 10 月 30 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

付録 B: 入力および出力ファイル

上位ネッ ト リ ス ト • EDIF

• NGC

• Vivado IDE では、EDIF または NGC ネッ ト リ ス トのインポートがサ

ポート されています。

• Vivado IDE では、 複数のネッ ト リ ス ト を使用してデザイン階層を

構築できます。

• 上位ロジッ クを選択する と、 下位モジュールが自動的にイン

ポート されます。 インク リ メンタルなネッ ト リ ス トのインポート

機能では、 どのレベルのデザイン階層のネッ ト リ ス トでも更新で

きます。

• 作成中のフロアプラン制約は、 更新されても保持されます。

ザイ リ ンクス IP および IP

インテグレーター ブロ ッ ク

デザイン

• XCI

• XCIX

• BD

• Vivado IDE には、 IP の XCI ファ イルまたはコア コンテナーの

XCIX ファ イルを使用して、 設定済みのザイ リ ンクス IP をイン

ポートできます。

• また、 Vivado IP インテグレーターを使用して作成したブロ ッ ク デ

ザインを含む BD ファ イルをインポートするこ と もできます。

制約ファイル • XDC

• SDC

• Vivado Design Suite では、 Synopsys デザイン制約 (SDC) およびザイ

リ ンクス デザイン制約 (XDC) ファ イルがサポート されます。

• Vivado IDE では、 複数の制約ファイルをインポートできるので、

物理制約、 I/O 制約、 およびタイ ミ ング制約を分離できます。

その他のファイル • BMM

• ELF

• MIF

• COE

• BMM: ブロ ッ ク RAM メモ リ マップ (BMM) ファ イルは、 個々のブ

ロ ッ ク RAM が連続論理データ空間にどのよ うに割り当てられるか

を構文的に記述したテキス ト ファ イルです。

• ELF: ELF (Executable and Linkable Format) ファ イルは、 CPU で実行

できる CPU コード イ メージを含むバイナリ データ ファ イルです。

• MIF: コア、 セル、 またはシ ミ ュレーシ ョ ン モデルで使用される メ

モ リ内容を記述したファイルです。

• COE: コア生成の際に入力される初期メモ リおよび係数の内容が記

述されたファイルです。

表 B-1: Vivado IDE 入力ファイル (続き)

入力ファイル ファイル タイプ 説明

Vivado IDE の使用 149UG893 (v2019.2) 2019 年 10 月 30 日 japan.xilinx.com

Page 150: Vivado Design Suite ユーザー ガイド - Xilinx...Vivado Design Suite ユーザー ガイド Vivado IDE の使用 UG893 (v2019.2) 2019 年 10 月 30 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

付録 B: 入力および出力ファイル

出力ファイル

Vivado IDE では、 レポート出力ファイルはデフォルトで次のよ うに保存されます。

• Tcl コマンドからの出力は、 Vivado IDE が起動されるディ レク ト リに保存されます。

• GUI からの出力は、 プロジェク ト ディ レク ト リに保存されます。

• 合成またはインプリ メンテーシ ョ ンを実行する と、 出力ファイルはプロジェク トの run ディレク ト リに保存され

ます。

• ジャーナル ファ イルおよびログ ファ イルは、 OS によってデフォルトで保存される場所が異なり ます。

° Windows:

- [スタート ] メニュー : %APPDATA%\Xilinx\Vivado

- コマンド プロンプ ト : Vivado IDE を開いたディ レク ト リ 。

° Linux: Vivado IDE を開いたディ レク ト リ。

注記: Vivado IDE が起動する と、バッ クアップ バージ ョ ンのジャーナル ファ イル (vivado_<id>.backup.jou)

と ログ ファ イル (vivado_<id>.backup.log) が書き出され、 前の run の詳細が保存されます。 <id> は ID 番

号で、 複数のジャーナル ファ イルおよびログ ファ イルのバッ クアップ バージ ョ ンを作成および保存できるよ う

になっています。ジャーナルおよびログ ファ イルの詳細は、 『Vivado Design Suite Tcl コマンド リ ファレンス ガイ

ド』 (UG835) [参照 4] のこのセクシ ョ ンを参照してください。

推奨: Vivado IDE はどのディ レク ト リからでも開く こ とができますが、 ログ ファ イルおよびジャーナル ファ イルは起

動ディ レク ト リに保存されるので、 プロジェク ト ディ レク ト リから実行するこ とをお勧めします。 コマンド プロン

プ トから実行する場合、 プロジェク ト ディ レク ト リから Vivado を起動するか、 vivado -log および -journal オ

プシ ョ ンを使用して、 ディ レク ト リ を指定します。 Windows シ ョート カッ ト を使用する場合は、 シ ョート カッ ト を右

ク リ ッ ク して [プロパティ ] をク リ ッ ク し、 [作業フォルダー ] を変更する必要があ り ます。 または、 プロジェク ト

ファ イル (.xpr) をダブルク リ ッ ク して Vivado IDE を起動する と、 そのプロジェク ト ディレク ト リにログ ファ イル

およびジャーナル ファ イルが保存されます。

Vivado IDE の使用 150UG893 (v2019.2) 2019 年 10 月 30 日 japan.xilinx.com

Page 151: Vivado Design Suite ユーザー ガイド - Xilinx...Vivado Design Suite ユーザー ガイド Vivado IDE の使用 UG893 (v2019.2) 2019 年 10 月 30 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

付録 B: 入力および出力ファイル

表 B-2 に、 Vivado IDE の出力ファイルのファイル タイプと説明を示します。

表 B-2: Vivado IDE 出力ファイル

出力ファイル ファイル タイプ 説明

I/O ピン割り当て CSV • I/O ポート割り当てと関連するパッケージ ピン情

報を含む CSV フォーマッ ト ファ イルです。

• RTL ヘッダー定義なしのポート定義および PCB

回路シンボル生成で使用されます。

I/O ピン割り当て • RTL

• Verilog

• VHDL

• Verilog または VHDL フォーマッ トのファ イルで、

すべての I/O ポートの配置がポート と して定義さ

れています。

• このファ イルは、 RTL ポートのヘッダー定義で

使用されます。

ログ ファ イル • vivado.log

• vivado_<id>.backup.log

• ログ ファ イル (vivado.log) には、 Vivado IDE

のコマンドを実行したと きに生成される メ ッ

セージの内容が含まれます。

• ファ イルを確認するには、 メ イン メニューから

[File] → [Project] → [Open Log File] をク リ ッ ク し

ます。

ジャーナル ファ イル • vivado.jou

• vivado_<id>.backup.jou

• ジャーナル ファ イル (vivado.jou) には、1 つの

セッシ ョ ンの Tcl コマンドすべてが含まれます。

• ファ イルを確認するには、 メ イン メニューから

[File] → [Project] → [Open Journal File] をク リ ッ ク

します。

• ジャーナル ファ イルを再生する と、 前のセッ

シ ョ ンで使用したコマンドを再利用できます。

• Tcl スク リプ トは、 ジャーナル ファ イルからコマ

ンドをコピーして作成できます。

• ジャーナル ファ イルでは、 エラーを含むコマン

ドまたは再生する前の複数のセッシ ョ ンのコマ

ンドを削除する必要がある場合があ り ます。

• 操作によっては、 ジャーナル ファ イルに Tcl コマ

ンドが記述されないものもあ り ます。

Vivado IDE の使用 151UG893 (v2019.2) 2019 年 10 月 30 日 japan.xilinx.com

Page 152: Vivado Design Suite ユーザー ガイド - Xilinx...Vivado Design Suite ユーザー ガイド Vivado IDE の使用 UG893 (v2019.2) 2019 年 10 月 30 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

付録 B: 入力および出力ファイル

エラー ログ ファ イル • vivado_pid<id>.debug

• hs_err_pid<id>.log

• vivado_pid<id>.str

• vivado_pid<id>.zip

• エラー ログ ファ イルには、 Vivado IDE のエラー

をデバッグする と きに役立つ重要な情報が含ま

れます。

• 手順を再現する STR (Step to Reproduce) ファ イル

には、 エラーよ り も前に Vivado IDE で実行され

た操作に関する情報が含まれます。

• 内部例外エラーを示すダイアログ ボッ クスが表

示される と、 エラー ファ イルは保存されます。

• これらのファイルにはデザイン データは含まれ

ていません。

• ザイ リ ンクス テクニカル サポートでケースを開

く場合は、 圧縮ファイルのファイル

(vivado_pid<id>.zip) を含めてください。 圧

縮ファイルが存在しない場合は、 次のファイル

を含めてください。

° ジャーナル ファ イル (vivado.jou)

° ログ ファ イル (vivado.log)

° エラー ログ デバッグ ファ イル (vivado_pid<id>.debug)

° エラー ログ ファ イル (hs_err_pid<id>.log)

° エラー ログ再現ファイル (vivado_pid<id>.str)

DRC 結果 ユーザー定義 • デザイン ルール チェッ ク (DRC) を実行するたび

に、 結果がファイルに書き込まれます。

表データ Excel ファ イル • 表形式で表示されるデータのほとんどは、 スプ

レッ ドシート形式のファイルにエクスポートで

きます。

• データをエクスポートするには、 表形式でデー

タを表示するいずれかのウ ィンド ウでポップ

アップ メニューから [Export to Spreadsheet] をク

リ ッ ク します。

SSN 解析レポート HTML、 CSV • 同時スイ ッチ ノ イズ (SSN) 解析の結果は、

[Report Noise] ダイアログ ボッ クスでファイル名

およびファイルを保存する場所を指定して、

CVS または HTML 形式のレポート ファ イルにエ

クスポートできます。

ス ト ラテジ ファ イル PSG • /Strategy ディ レク ト リには、 指定したデフォ

ルトのコマンド ラ イン オプシ ョ ンが含まれます。

• ス ト ラテジは、 どの run にも適用できます。

• 新しいス ト ラテジを作成したり、 提供されてい

るス ト ラテジをコピーしたりできます。

表 B-2: Vivado IDE 出力ファイル (続き)

出力ファイル ファイル タイプ 説明

Vivado IDE の使用 152UG893 (v2019.2) 2019 年 10 月 30 日 japan.xilinx.com

Page 153: Vivado Design Suite ユーザー ガイド - Xilinx...Vivado Design Suite ユーザー ガイド Vivado IDE の使用 UG893 (v2019.2) 2019 年 10 月 30 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

付録 B: 入力および出力ファイル

環境設定の出力

Vivado IDE ツールでは、 現在のウ ィンド ウ レイアウ トおよびテーマの設定が、 起動時に読み込まれるコンフ ィギュ

レーシ ョ ン ファ イルおよび初期化ファイルに保存されます。 カスタム テーマ、 ウ ィンド ウ レイアウ ト、 run ス ト ラ

テジを保存し、 必要なと きに読み込むこ と もできます。 詳細は、 第 4 章 「環境の設定」 を参照してください。

これらのテーマおよびレイアウ ト を定義するファイルは、 次のディ レク ト リの Vivado IDE 環境フォルダーに保存さ

れます。

• Windows: %APPDATA%\Xilinx\vivado\<version>

• Linux: ~/.Xilinx/vivado/<version>

表 B-3 に、 環境設定ファイルと入力ファイルのファイル名と説明を リ ス ト します。

表 B-3: Vivado IDE 環境設定の出力

環境設定ファイル ファイル名 説明

ツール特有の Tcl 初期化スク リプ ト Vivado_init.tcl

注記: Vivado Design Suite 2016.4 以前の

バージ ョ ンでは、 このファイル名は init.tcl でした。

• ソフ ト ウェア インス トール ディ レク ト リ : installdir/Vivado/version/

scripts/Vivado_init.tcl

• ローカルのユーザー ディ レク ト リ :

° Windows: %APPDATA%/Xilinx/Vivado/

Vivado_init.tcl

° Linux: $HOME/.Xilinx/Vivado/

Vivado_init.tcl

• ソフ ト ウェア インス トール ディ レク ト リに

ファ イルを作成し、 ユーザー間でよ く使用され

る初期化スク リプ ト ファ イルを共有できます。

• ローカル ユーザー ディ レク ト リにファ イルを

作成し、 追加コマンドを指定したり、 コマンド

を上書きした りできます。

注記: 詳細は、 『Vivado Design Suite Tcl コマンド リ ファレ

ンス ガイ ド』 (UG835) [参照 4] のこのセクシ ョ ンを参照

して ください。

表示オプシ ョ ン vivado.xml • ../vivado/<version>/vivado.xml ファ イ

ルには、 表示色および表示オプシ ョ ンなどの

[Tools] → [Settings] の設定がすべて含まれます。

• Vivado IDE を終了する と、 ユーザーの設定が

vivado.xml ファ イルに保存され、 次に起動し

たと きに自動的にインポート されて、 設定が適

用されます。

Vivado IDE の使用 153UG893 (v2019.2) 2019 年 10 月 30 日 japan.xilinx.com

Page 154: Vivado Design Suite ユーザー ガイド - Xilinx...Vivado Design Suite ユーザー ガイド Vivado IDE の使用 UG893 (v2019.2) 2019 年 10 月 30 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

付録 B: 入力および出力ファイル

Vivado IDE テーマ <theme_name>.patheme • *.patheme ファ イルは、 Vivado IDE で表示す

るレイヤーの色および塗りつぶしパターン テー

マをカスタマイズする と作成され、

../vivado/<version>/themes ディ レク ト

リに含まれます。

• 作業中のセッシ ョ ンに使用するテーマ ファ イル

をプルダウン メニューから選択できます。

ビュー レイアウ ト ファ イル <layout_name>.layout • *.layout ファ イルは、 Vivado IDE のレイアウ

ト設定を定義し、

../vivado/<version>/layouts ディ レク

ト リに含まれます。

• カスタム レイアウ トは、 [Layout] → [Save

Layout As] で作成できます。

キーボード シ ョート カッ ト shortcuts.xml • shortcuts.xml ファ イルは、 ツール コマンド

のキーボード シ ョート カッ ト を指定し、

../vivado/<version>/shortcuts ディ レ

ク ト リに含まれます。

• 複数のシ ョート カッ ト を定義および設定できま

す。 これらは、 シ ョート カッ ト ファ イルに保存

されます。

カスタム コマンド commands.paini • commands.paini ファ イルは、 Vivado IDE

に追加されたカスタムの Tcl コマンドが保存

され、 ../vivado/<version>/commands

ディ レク ト リに含まれます。

• カスタム コマンドは、 [Tools] → [Custom

Commands] → [Customize Commands] で作成でき

ます。

表 B-3: Vivado IDE 環境設定の出力 (続き)

環境設定ファイル ファイル名 説明

Vivado IDE の使用 154UG893 (v2019.2) 2019 年 10 月 30 日 japan.xilinx.com

Page 155: Vivado Design Suite ユーザー ガイド - Xilinx...Vivado Design Suite ユーザー ガイド Vivado IDE の使用 UG893 (v2019.2) 2019 年 10 月 30 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

付録 B: 入力および出力ファイル

プロジェク ト データの出力

表 B-4 に、 Vivado IDE プロジェク ト データ出力のファイル名と説明を リ ス ト します。

表 B-4: Vivado IDE プロジェク ト データ出力

プロジェク ト データ出力 ファイル名 説明

プロジェク ト ディ レク ト リ <projectname> • 新しいプロジェク ト を作成する と、 プ

ロジェク ト ファ イル、 プロジェク ト

データ ディ レク ト リ 、 およびインプ リ

メ ンテーシ ョ ン結果を含めるプロジェ

ク ト ディ レク ト リがオプシ ョ ンで作成

されます。

• このプロジェク ト ディ レク ト リには、

New Project ウ ィザードで入力したプロ

ジェク ト名と同じ名前が付けられます。

プロジェク ト ファ イル <projectname>.xpr • 新しいプロジェク ト を作成する と、 プロ

ジェク ト ファ イルが作成されます。

• このプロジェク ト ファ イルには、 New

Project ウ ィザードで入力したプロジェク

ト名と同じ名前が付けられます。

メ ッセージ非表示設定ファイル <projectname>.filter • メ ッセージを非表示に設定した場合、

メ ッセージの非表示規則を含むバイナリ

ファ イルである メ ッセージ非表示設定

ファイルが作成されます。

• このファ イルの名前は、 プロジェク ト

ファ イルと同じにな り ます。

プロジェク ト データ ディレク ト リ <projectname>.data • 新しいプロジェク ト を作成する と、 プロ

ジェク ト メ タデータを含めるためのプ

ロジェク ト データ ディレク ト リが作成

されます。

• このプロジェク ト データ ディ レク ト リ

には、 New Project ウ ィザードで入力し

たプロジェク ト名と同じ名前が付けら

れます。

プロジェク ト データの制約セッ トの

下位ディ レク ト リ

<constraint_set_name> • 制約ファイルセッ ト (デフォルトでは

constrs_1) のメ タデータに対応する メ

インのサブディレク ト リです。

• プロジェク トに制約セッ ト を追加するた

びに、 上位ディレク ト リが作成されま

す (デフォルトでは constrs_2、

constrs_3 など)。

Vivado IDE の使用 155UG893 (v2019.2) 2019 年 10 月 30 日 japan.xilinx.com

Page 156: Vivado Design Suite ユーザー ガイド - Xilinx...Vivado Design Suite ユーザー ガイド Vivado IDE の使用 UG893 (v2019.2) 2019 年 10 月 30 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

付録 B: 入力および出力ファイル

プロジェク ト データ シミ ュレーシ ョ ンの出力

ビヘイビアー シ ミ ュレーシ ョ ンのプロジェク ト シ ミ ュレーシ ョ ン ディ レク ト リの構造は、 次のとおりです。

project_name/project_name.sim/sim_run_name/sim_#

注意: run を リセッ トする と このディレク ト リの内容はデフォルトで削除され、 run を再実行したときに再生成されます。

表 B-5 に、 シ ミ ュレーシ ョ ン run のファイル/ディ レク ト リ名、 シ ミ ュレーシ ョ ン タイプ、 説明を示します。

プロジェク ト ソース ディレク ト リ <projectname>.srcs • プロジェク ト ソース ディレク ト リには、

プロジェク トにインポート された HDL

ソース ファ イルが保存されます。

• ip および bd サブディ レク ト リには、 イ

ンポート された IP、 生成された IP、 お

よびブロ ッ ク デザインからのファイル

が含まれます。

プロジェク ト IP インテグレーター

ディ レク ト リ

<projectname>.srcs/

<source_set>/bd/

<design_name>

• hdl サブディレク ト リには、 上位

HDL ファ イルと ラ ッパーが含まれます。

• ip サブディ レク ト リには、 ブロ ッ ク デ

ザインの各 IP のサブフォルダーが含ま

れます。

• ui サブディ レク ト リには、 ブロ ッ ク デ

ザインのグラフ ィカル レイアウ トの

データ ファ イルが含まれます。

表 B-4: Vivado IDE プロジェク ト データ出力 (続き)

プロジェク ト データ出力 ファイル名 説明

表 B-5: ビヘイビアーおよびタイ ミング シミ ュレーシ ョ ンのファイルおよびディ レク ト リ

ファイル/ディレク ト リ名シミ ュレーシ ョ ン タイプ

説明

exelab.log ビヘイビアー Vivado シ ミ ュレータのコンパイルおよびエラボレーシ ョ ン ロ

グ ファイル。

exelab.pb ビヘイビアー Vivado シ ミ ュレータのコンパイルおよびエラボレーシ ョ ン

メ ッセージ ファ イル。

<testbench>.tcl ビヘイビアー 波形操作のための Vivado シ ミ ュレータ Tcl コマンド。

<testbenc>.prj ビヘイビアー Vivado シ ミ ュレータの XELAB コマンドにコンパイル用に送

信されるライブラ リ関連付けを含むプロジェク ト ファ イルの

リ ス ト 。

<testbench>_behav.wdb ビヘイビアー Vivado シ ミ ュレータで作成される波形データベース ファイル。

xsim.ini ビヘイビアー ライブラ リの論理から物理へのマッピングを含むファイル。

Vivado IDE の使用 156UG893 (v2019.2) 2019 年 10 月 30 日 japan.xilinx.com

Page 157: Vivado Design Suite ユーザー ガイド - Xilinx...Vivado Design Suite ユーザー ガイド Vivado IDE の使用 UG893 (v2019.2) 2019 年 10 月 30 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

付録 B: 入力および出力ファイル

インプリ メンテーシ ョ ンの出力 表 B-6 に、 インプリ メンテーシ ョ ン中に Vivado IDE で作成されるファ イルの説明を示します。

重要: インプリ メンテーシ ョ ン ファ イルは手動で変更しないでください。 これらのファイルは、 Vivado IDE で管理さ

れます。

表 B-6: インプリ メンテーシ ョ ンの出力ファイル

出力ファイル ファイル名 説明

run ディ レク ト リ <projectname>.runs • 初のインプリ メンテーシ ョ ン run に必要なすべての

スク リプ ト、 入力ファイル、 および出力ファイルが含

まれます (デフォルトのディ レク ト リは impl_1)。

• インプリ メンテーシ ョ ン run を作成するたびに、

impl_1 と同じ場所にディ レク ト リが作成されます

(デフォルトでは impl_2、 impl_3 など)。

インプリ メンテーシ ョ ンの実行

と Vivado IDE の run の実行

• <name>.rpt

• <name>.rpx

• <name>.pb

• <top>_<step>.dcp

• runme.log

• run の一部と して生成されるレポート (<name>.rpt

および <name>.rpx) です。 <name>.rpt ファ イルは

Vivado IDE テキス ト エディ ターで表示でき、

<name>.rpx ファ イルは Vivado IDE に読み込むこ と

ができます。

• メ ッセージは <name>.pb ファ イルに保存されます。

• インプリ メンテーシ ョ ン フローの各段階でチェッ ク

ポイン トが記述され、 <top>_<step>.dcp と して保

存されます。

• run のログは runme.log と して保存されます。

起動スク リプ ト • <top>.tcl

• runme.bat、 runme.sh

• vrs_config_<#>.xml

• vivado.begin.rst、vivado.end.rst

• run を実行する と、 起動スク リプ トが自動的に作成さ

れます。 このスク リプ トには、 Vivado IDE のス ト ラテ

ジで指定されたコマンドおよびコマンド ラ イン オプ

シ ョ ンが含まれています。

• vrs_config_<#>.xml ファ イルは /jobs サブディ

レク ト リにあるプロジェク ト run ディ レク ト リに保存

されます。 このファイルは、 run 名、 ディ レク ト リ 、

手順などを定義します。

• vivado.begin.rst には実行された run、

vivado.end.rst には終了した run が記録されます。

Vivado IDE の使用 157UG893 (v2019.2) 2019 年 10 月 30 日 japan.xilinx.com

Page 158: Vivado Design Suite ユーザー ガイド - Xilinx...Vivado Design Suite ユーザー ガイド Vivado IDE の使用 UG893 (v2019.2) 2019 年 10 月 30 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

付録 C

その他のリソースおよび法的通知

ザイリンクス リソース

アンサー、 資料、 ダウンロード、 フォーラムなどのサポート リ ソースは、 ザイ リ ンクス サポート サイ ト を参照して

ください。

ソリューシ ョ ン センター

デバイス、 ツール、 IP のサポートについては、 ザイ リ ンクス ソ リ ューシ ョ ン センターを参照して ください。 デザイ

ン アシスタン ト 、 デザイン アドバイザリ、 ト ラブルシューティングのヒン ト などが含まれます。

Documentation Navigator およびデザイン ハブ

ザイ リ ンクス Documentation Navigator (DocNav) では、 ザイ リ ンクスの資料、 ビデオ、 サポート リ ソースにアクセス

でき、 特定の情報を取得するためにフ ィルター機能や検索機能を利用できます。 DocNav を開くには、 次のいずれか

を実行します。

• Vivado IDE で [Help] → [Documentation and Tutorials] をク リ ッ ク します。

• Windows で [スタート ] → [すべてのプログラム] → [Xilinx Design Tools] → [DocNav] をク リ ッ ク します。

• Linux コマンド プロンプ トに 「docnav」 と入力します。

ザイ リ ンクス デザイン ハブには、 資料やビデオへのリ ンクがデザイン タスクおよびト ピッ クごとにま とめられてお

り、 これらを参照するこ とでキー コンセプ ト を学び、 よ く ある質問 (FAQ) を参考に問題を解決できます。 デザイン

ハブにアクセスするには、 次のいずれかを実行します。

• DocNav で [Design Hubs View] タブをク リ ッ ク します。

• ザイ リ ンクス ウェブサイ トのデザイン ハブ ページを参照します。

注記: DocNav の詳細は、 ザイ リ ンクス ウェブサイ トの Documentation Navigator ページを参照してください。

注意: DocNav からは、 日本語版は参照できません。 ウェブサイ トのデザイン ハブ ページをご利用ください。

Vivado IDE の使用 158UG893 (v2019.2) 2019 年 10 月 30 日 japan.xilinx.com

Page 159: Vivado Design Suite ユーザー ガイド - Xilinx...Vivado Design Suite ユーザー ガイド Vivado IDE の使用 UG893 (v2019.2) 2019 年 10 月 30 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

付録 C: その他のリソースおよび法的通知

参考資料

1. 『Vivado® Design Suite ユーザー ガイ ド : デザイン フローの概要』 (UG892)

2. 『Vivado Design Suite ユーザー ガイ ド : リ リース ノート 、 インス トールおよびライセンス』 (UG973)

3. 『Vivado Design Suite ユーザー ガイ ド : Tcl スク リプ ト機能の使用』 (UG894)

4. 『Vivado Design Suite Tcl コマンド リ ファレンス ガイ ド』 (UG835)

5. 『Vivado Design Suite チュート リ アル: デザイン フローの概要』 (UG888)

6. 『Vivado Design Suite ユーザー ガイ ド : 入門』 (UG910)

7. 『Vivado Design Suite ユーザー ガイ ド : IP を使用した設計』 (UG896)

8. 『Vivado Design Suite ユーザー ガイ ド : IP インテグレーターを使用した IP サブシステムの設計』 (UG994)

9. 『Vivado Design Suite ユーザー ガイ ド : ロジッ ク シ ミ ュレーシ ョ ン』 (UG900)

10. 『Vivado Design Suite ユーザー ガイ ド : 合成』 (UG901)

11. 『Vivado Design Suite ユーザー ガイ ド : 制約の使用』 (UG903)

12. 『Vivado Design Suite ユーザー ガイ ド : インプ リ メンテーシ ョ ン』 (UG904)

13. 『Vivado Design Suite ユーザー ガイ ド : デザイン解析およびクロージャ テクニッ ク』 (UG906)

14. 『Vivado Design Suite ユーザー ガイ ド : プログラムおよびデバッグ』 (UG908)

15. 『Vivado Design Suite ユーザー ガイ ド : システム レベル デザイン入力』 (UG895)

16. 『Vivado Design Suite プロパティ リ ファレンス ガイ ド』 (UG912)

17. 『Vivado Design Suite ユーザー ガイ ド : I/O およびクロ ッ ク プランニング』 (UG899)

18. 『ISE から Vivado Design Suite への移行ガイ ド』 (UG911)

19. 『Vivado Design Suite ユーザー ガイ ド : System Generator を使用したモデル ベースの DSP デザイン』 (UG897)

20. 『Vivado Design Suite ユーザー ガイ ド : 消費電力解析および 適化』 (UG907)

21. Vivado Design Suite の資料

ト レーニング リソース

ザイ リ ンクスでは、 この資料に含まれるコンセプ ト を説明するさまざまな ト レーニング コースおよび QuickTake ビデ

オを提供しています。 次のリ ンクから関連する ト レーニング リ ソースを参照してください。

1. ト レーニング コース : Vivado Design Suite を使用した FPGA の設計 1

2. ト レーニング コース : Vivado Design Suite を使用した FPGA の設計 2

3. ト レーニング コース : Vivado Design Suite を使用した FPGA の設計 3

4. ト レーニング コース : Vivado Design Suite を使用した FPGA の設計 4

5. Vivado Design Suite QuickTake ビデオ: ザイ リ ンクス Tcl Store の紹介

6. Vivado Design Suite QuickTake ビデオ: Vivado タイ ミ ング制約ウ ィザードの使用

7. Vivado Design Suite QuickTake ビデオ: Vivado メ ッセージの理解

8. Vivado Design Suite QuickTake ビデオ チュート リ アル

Vivado IDE の使用 159UG893 (v2019.2) 2019 年 10 月 30 日 japan.xilinx.com

Page 160: Vivado Design Suite ユーザー ガイド - Xilinx...Vivado Design Suite ユーザー ガイド Vivado IDE の使用 UG893 (v2019.2) 2019 年 10 月 30 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

付録 C: その他のリソースおよび法的通知

お読みください: 重要な法的通知本通知に基づいて貴殿または貴社 (本通知の被通知者が個人の場合には 「貴殿」、 法人その他の団体の場合には 「貴社」。 以下同じ ) に開示される情報 (以下 「本情報」 といいます) は、 ザイ リ ンクスの製品を選択および使用するこ とのためにのみ提供されます。 適

用される法律が許容する 大限の範囲で、 (1) 本情報は 「現状有姿」、 およびすべて受領者の責任で (with all faults) とい う状態で提供

され、 ザイ リ ンクスは、 本通知をもって、 明示、 黙示、 法定を問わず (商品性、 非侵害、 特定目的適合性の保証を含みますがこれ

らに限られません)、 すべての保証および条件を負わない (否認する ) ものと します。 また、 (2) ザイ リ ンクスは、 本情報 (貴殿または

貴社による本情報の使用を含む) に関係し、 起因し、 関連する、 いかなる種類 ・ 性質の損失または損害についても、 責任を負わな

い (契約上、 不法行為上 (過失の場合を含む)、 その他のいかなる責任の法理によるかを問わない) ものと し、 当該損失または損害に

は、 直接、 間接、 特別、 付随的、 結果的な損失または損害 (第三者が起こした行為の結果被った、 データ、 利益、 業務上の信用の

損失、 その他あらゆる種類の損失や損害を含みます) が含まれるものと し、 それは、 たとえ当該損害や損失が合理的に予見可能で

あったり、 ザイ リ ンクスがそれらの可能性について助言を受けていた場合であったと しても同様です。 ザイ リ ンクスは、 本情報に

含まれるいかなる誤り も訂正する義務を負わず、 本情報または製品仕様のアップデート を貴殿または貴社に知らせる義務も負いま

せん。 事前の書面による同意のない限り、 貴殿または貴社は本情報を再生産、 変更、 頒布、 または公に展示してはなり ません。 一

定の製品は、 ザイ リ ンクスの限定的保証の諸条件に従う こ と となるので、 https://japan.xilinx.com/legal.htm#tos で見られるザイ リ ンク

スの販売条件を参照してください。 IP コアは、 ザイ リ ンクスが貴殿または貴社に付与したライセンスに含まれる保証と補助的条件

に従う こ とにな り ます。 ザイ リ ンクスの製品は、 フェイルセーフと して、 または、 フェイルセーフの動作を要求するアプリ ケー

シ ョ ンに使用するために、 設計されたり意図されたり していません。 そのよ うな重大なアプリ ケーシ ョ ンにザイ リ ンクスの製品を

使用する場合のリ スク と責任は、 貴殿または貴社が単独で負う ものです。 https://japan.xilinx.com/legal.htm#tos で見られるザイ リ ンク

スの販売条件を参照してください。

自動車用のアプリケーシ ョ ンの免責条項

オートモーティブ製品 (製品番号に 「XA」 が含まれる ) は、 ISO 26262 自動車用機能安全規格に従った安全コンセプ ト または余剰性

の機能 ( 「セーフティ設計」 ) がない限り、 エアバッグの展開における使用または車両の制御に影響するアプリ ケーシ ョ ン ( 「セー

フティ アプリ ケーシ ョ ン」 ) における使用は保証されていません。 顧客は、 製品を組み込むすべてのシステムについて、 その使用

前または提供前に安全を目的と して十分なテス ト を行う ものと します。 セーフティ設計なしにセーフティ アプリ ケーシ ョ ンで製品

を使用する リ スクはすべて顧客が負い、 製品の責任の制限を規定する適用法令および規則にのみ従う ものと します。

© Copyright 2012-2019 Xilinx, Inc. Xilinx、 Xilinx のロゴ、 Artix、 ISE、 Kintex、 Spartan、 Virtex、 Vivado、 Zynq、 およびこの文書に含

まれるその他の指定されたブランドは、 米国およびその他各国のザイ リ ンクス社の商標です。 すべてのその他の商標は、 それぞれ

の保有者に帰属します。

この資料に関するフ ィードバッ クおよびリ ンクなどの問題につきましては、 [email protected] まで、 または各ページの

右下にある [フ ィードバッ ク送信] ボタンをク リ ッ クする と表示されるフォームからお知らせください。 フ ィードバッ クは日本語で

入力可能です。 いただきましたご意見を参考に早急に対応させていただきます。 なお、 このメール アドレスへのお問い合わせは受

け付けており ません。 あらかじめご了承ください。

Vivado IDE の使用 160UG893 (v2019.2) 2019 年 10 月 30 日 japan.xilinx.com