silicon molecular beam epitaxy: 1984-1986 c bean...silicon molecular beam epitaxy: 1984-1986 john c....

10
Journal of Crystal Growth 81 (1987) 411—420 411 North-Holland, Amsterdam SILICON MOLECULAR BEAM EPITAXY: 1984-1986 John C. BEAN AT&T Bell Laboratories, Murray Hill, New Jersey 07974, USA Recent developments in the molecular beam growth of silicon and silicon compounds are reviewed including: techniques for substrate preparation and layer doping; heteroepitaxial growth of metal silicides, insulators and Ge~Si 1 strained layers; growth apparatus. 1. Introduction processing and in-situ reduction of surface oxides by silicon deposition. This paper will provide a brief summary of It has been known for some time that a per- recent studies on silicon molecular beam epitaxy fectly clean silicon surface has a strong affinity for (MBE). Work on the closely lattice matched carbon and that once formed these bonds are materials, germanium silicide, nickel and cobalt extremely hard to break [3]. Chemical cleaning disilicide and calcium fluoride, is also included, procedures are, therefore, aimed at generating a Discussion of GaAs on Si growth will be left to passivating surface oxide layer rather than a clean other authors in this volume. The paper includes silicon surface. These procedures generally employ sections on substrate preparation, doping, solid hot basic or acidic peroxide solutions and go by phase epitaxy, heteroepitaxial growth, and ap- the names RCA clean, Piranha etch, or Henderson paratus. Much of this work was presented in May etch. All yield a surface Si02 layer on the order of 1985 in Toronto, Canada, at the first international 10—30 A thick. In early MBE work it was shown meeting focusing on silicon MBE [1]. A second Si that these oxides can be removed in an MBE MBE symposium will be held in October 1987 [2]. chamber at 800—900°C [4,5].Residual carbon was then diffused away from the surface by a brief “flash” to 1150°C. In 1982, Ishizaki et al. 2. Substrate preparation proposed a modified procedure that has been shown to yield a thin non-stoichiometric oxide [6]. The high quality and cost effectiveness of exist- This sub-oxide has an enhanced volatility and can ing silicon material technologies place severe de- be removed by vacuum anneals at 700° C. When mands on an emerging process such as Si MBE. preceded by multiple nitric acid baths, it was also Although a number of substrate preparation pro- claimed that carbon could be effectively elimi- cedures have proven adequate for research pur- nated. The stated 700°C requirement was critical poses, the challenge is to develop a simple, inex- in that at temperatures below 800°C, silicon pensive technique that will yield consistent, uni- dopant diffusion and wafer deformation are ab- form, large area silicon overgrowth with defect sent. densities of less than 100/cm 2. Recent work has The efficacy of the Ishizaki procedure has been concentrated in two areas: A re-examination of closely examined by Xie et al. [7—9]. In contrast to the established procedures of ex-situ chemical earlier work, highly sensitive deep level transient cleaning and in-situ sputter etching. And develop- spectroscopy (DLTS) and secondary ion mass ments of new procedures such as UV ozone spectrometry (SIMS) were used to examine 0022-0248/87/$03.50 © Elsevier Science Publishers B.V. (North-Holland Physics Publishing Division)

Upload: others

Post on 17-Jun-2020

5 views

Category:

Documents


0 download

TRANSCRIPT

Page 1: SILICON MOLECULAR BEAM EPITAXY: 1984-1986 C Bean...SILICON MOLECULAR BEAM EPITAXY: 1984-1986 John C. BEAN AT&T Bell Laboratories, Murray Hill, New Jersey 07974, USA Recent developments

Journal of Crystal Growth 81 (1987) 411—420 411North-Holland, Amsterdam

SILICON MOLECULAR BEAM EPITAXY: 1984-1986

John C. BEANAT&T Bell Laboratories, Murray Hill, New Jersey 07974, USA

Recent developments in the molecular beam growth of silicon and silicon compounds are reviewed including: techniques forsubstrate preparation and layer doping; heteroepitaxial growth of metal silicides, insulators and Ge~Si1— strained layers; growthapparatus.

1. Introduction processing and in-situ reduction of surface oxidesby silicon deposition.

This paper will provide a brief summary of It has been known for some time that a per-recent studies on silicon molecular beam epitaxy fectly clean silicon surface has a strong affinity for(MBE). Work on the closely lattice matched carbon and that once formed these bonds arematerials, germanium silicide, nickel and cobalt extremely hard to break [3]. Chemical cleaningdisilicide and calcium fluoride, is also included, procedures are, therefore, aimed at generating aDiscussion of GaAs on Si growth will be left to passivating surface oxide layer rather than a cleanother authors in this volume. The paper includes silicon surface. These procedures generally employsections on substrate preparation, doping, solid hot basic or acidic peroxide solutions and go byphase epitaxy, heteroepitaxial growth, and ap- the names RCA clean, Piranha etch, or Hendersonparatus. Much of this work was presented in May etch. All yield a surface Si02 layer on the order of1985 in Toronto, Canada, at the first international 10—30 A thick. In early MBE work it was shownmeeting focusing on silicon MBE [1]. A second Si that these oxides can be removed in an MBEMBE symposium will be held in October 1987 [2]. chamber at 800—900°C[4,5].Residual carbon was

then diffused away from the surface by a brief“flash” to 1150°C. In 1982, Ishizaki et al.

2. Substrate preparation proposed a modified procedure that has beenshown to yield a thin non-stoichiometric oxide [6].

The high quality and cost effectiveness of exist- This sub-oxide has an enhanced volatility and caning silicon material technologies place severe de- be removed by vacuum anneals at 700°C. Whenmands on an emerging process such as Si MBE. preceded by multiple nitric acid baths, it was alsoAlthough a number of substrate preparation pro- claimed that carbon could be effectively elimi-cedures have proven adequate for research pur- nated. The stated 700°Crequirement was criticalposes, the challenge is to develop a simple, inex- in that at temperatures below — 800°C, siliconpensive technique that will yield consistent, uni- dopant diffusion and wafer deformation are ab-form, large area silicon overgrowth with defect sent.densities of less than 100/cm

2. Recent work has The efficacy of the Ishizaki procedure has beenconcentrated in two areas: A re-examination of closely examined by Xie et al. [7—9].In contrast tothe established procedures of ex-situ chemical earlier work, highly sensitive deep level transientcleaning and in-situ sputter etching. And develop- spectroscopy (DLTS) and secondary ion massments of new procedures such as UV ozone spectrometry (SIMS) were used to examine

0022-0248/87/$03.50 © Elsevier Science Publishers B.V.(North-Holland Physics Publishing Division)

Page 2: SILICON MOLECULAR BEAM EPITAXY: 1984-1986 C Bean...SILICON MOLECULAR BEAM EPITAXY: 1984-1986 John C. BEAN AT&T Bell Laboratories, Murray Hill, New Jersey 07974, USA Recent developments

412 J. C. Bean / Silicon molecular beam epitaxy: 1984—1986

epi/substrate boundaries. DLTS results indicate to the chemical oxidation procedure. The aim wasthe existence of traps 0.58 and 0.59 eV below the to enhance the removal of the protective oxide byconduction band edge. These traps persist in sig- using Si to reduce it to volatile silicon monoxide.nificant concentrations in substrates annealed at This was done either by first coating the cool700°C and decline to detection limits only after oxidized wafer with 5—20 A of amorphous Si and950°C processing. SIMS data suggest a corre- heating or by heating the oxidized wafer under alation with residual interfacial carbon that is pre- low intensity silicon flux. Both procedures ap-sumably dispersed by the higher temperature an- peared effective and in particular, minimum an-neal. If the need for 950°C processing is con- nealing temperatures could be reduced to — 800°Cfirmed, this would reintroduce diffusion and de- and yet yield very low defect density (100) growth.formation problems seriously undercutting the ap- On the (111) orientation, Tatsumi et al. couldparent advantage of the Ishizaki proposal. not completely eliminate stacking faults. BuildingWorkers have also re-examined the early argon on the report of Tabe [17], an ozone chemical

sputter cleaning and annealing procedure [10].The cleaning step was added. Tabe had shown asputter step is generally timed to remove — 100 A dramatic reduction in defect densities for bothof material within the MBE chamber. This is (100) and (111) wafers exposed to ozone (pro-followed by an anneal of — 800°Cto remove ion duced by UV illumination of air) and annealed inbeam damage. The procedure has yielded the vacuum at T> 900°C. He speculated that thehighest published MBE silicon minority carrier decrease was due to removal of residual carbon bylifetimes of — 100 its. Nevertheless, doubts per- ozone. Tatsumi et al. employed a modified proce-sist about the possibility of residual ion beam dure wherein ozone was formed by electric dis-damage. charge of high purity oxygen gas and then bub-Hull and co-workers have conducted a detailed bled into the wet chemical oxidizing solution.

examination of sputter cleaned epi/substrate in- When combined with the above in-situ depositionterfaces using transmission electron microscopy of amorphous Si, he reported (100) growth with(TEM) [11—13].For certain samples, sputter times undetectable line and stacking fault densities, andwere shortened to produce removal of as little as (111) growth with line densities of 500—600/cm210 A of material. It was thought that this would and stacking faults of 800—900/cm2.minimize ion beam damage and surface roughen- On a related substrate preparation issue, Kubiaking, and might thus produce the highest quality et al. have investigated the problem of boroninterface. This was not the case. Longer sputter spikes at MBE Si to substrate interfaces [18,19].times produce interfaces and overgrowth free of While these spikes are not believed to degradeobservable defects. Shorter sputter times produce crystallographic quality, they could greatly corn-interfaces with small oxygen bubbles. It is ap- plicate the design of epitaxial devices. SIMS wasparent that ion bombardment knocks a small frac- used to measure spikes in samples prepared by ation of the surface oxygen deeper into the silicon, number of methods and handled according toA number of atomic layers must thus be removed different procedures. Typical areal boron con-before this gradually decaying tail is eliminated. centrations of 10’2/cm2 were observed. The pres-While this suggests the use of the longer sputtering ence of boron correlated most strongly with thetimes, the interfacial bubbles might be turned to air exposure of wafers. It was judged that par-advantage. Surprisingly, these bubbles did not ticulates were not responsible and instead thatnucleate threading dislocations in the overlying boron was generated by outgassing of the waferepi. As such, they might be employed as buried and interaction with chamber materials. The ap-metal gettering centers. parent problem source was the borosilicate glassA number of workers have recently proposed viewports used on the MBE system. Substitution

new or significantly modified sample preparation of quartz viewports reduced the boron level by aprocedures. Kugimiya et al. [14,15] and Tatsumi et factor of 50. The quartz viewports still employ aal. [16] have added in-situ silicon leposition steps borosilicate glass to metal seal, and it has been

Page 3: SILICON MOLECULAR BEAM EPITAXY: 1984-1986 C Bean...SILICON MOLECULAR BEAM EPITAXY: 1984-1986 John C. BEAN AT&T Bell Laboratories, Murray Hill, New Jersey 07974, USA Recent developments

J. C. Bean / Silicon molecular beam epitaxv: 1984—1986 413

learned that the near complete elimination of ing was recently reported by Ostrom and Allenviewports has yielded a further boron reduction [25]. They employed more conventional Knudsen[20]. cells in combination with molecular or alloy boron

materials. In one experiment, B2O3was evaporatedcongruently on heated silicon surfaces where it

3. Doping processes was hoped it would decompose releasing gaseousoxygen to the vacuum system and incorporating

Doping has proven to be the single most dif- free boron. In a second experiment, silicon wasficult problem in silicon molecular beam epitaxy. melted in a boron nitride crucible to produce aOn the basis of energy levels and solid solubilities, boron saturated solution that was then used as aboron, arsenic and phosphorus are clearly the combined silicon and boron evaporation source.dopants of choice in the silicon materials system. Both processes yielded controllable boron dopingUnfortunately, boron has an extremely high melt- on the order of 10’

9/cm2 with bulk carrier mobili-ing point (2300°C),making it difficult to generate ties. SIMS measurements did not show enhancedsufficiently intense vapors without simultaneous oxygen incorporation with B

203 sources. Again,outgassing from conventional evaporation cell critical minority carrier and defect studies havematerials. Arsenic and phosphorus go to the other yet to be reported.extreme with vapor pressures so high that MBE The balance of recent doping studies concernssystems are quickly contaminated to the point that means of overcoming surface re-evaporation andbackground doping levels become unacceptable. segregation problems by the use of low energyAlthough gallium, aluminum and antimony have implantation. In a fairly conventional form,acceptable vapor pressures, very early MBE stud- simultaneous low energy implantation doping hasies [4,21] showed that these species have strongly been used for some years [26—28],and has beentemperature dependent sticking probabilities and shown to yield high quality doping with no needtendencies towards surface segregation. The re- for post-growth damage annealing [29]. However,maining dopant, indium, was recently shown to these studies employed complex and expensivehave similar undesirable tendencies [22]. bolt-on ion implantation systems with provisionsTo overcome the above problems, recent work for mass selection, focusing, beam raster scanning,

has focused on means of either cleanly sourcing and differential pumping. Recent work has beenboron or on implanting dopants a short distance aimed at simplifying this process. In one set ofbelow the growing epitaxial surface. Workers have experiments, Chrenko et al. [30] substituted a gal-taken two approaches to the sourcing of boron, hum liquid metal field emission ion source. ThisThe first, as described by Kubiak et al. [23,24], is compact source could be mounted on a singlethe design of special high temperature Knudsen flange directly on the MBE growth system. Be-evaporation cells. These cells have not been de- cause it employed no carrier plasma gas, massscnbed in detail but are known to pass electrical selection and differential pumping could be de-current directly through a graphite crucible (loaded leted. In preliminary experiments, complex dopingwith elemental boron) to produce temperatures of profiles were controllably generated to doping— 2000°C.The evaporated boron exhibits a tern- levels as high as 10’

9/cm2.perature independent sticking probability (pre- Taking the simplification process one step fur-sumably unity) and doping profiles vary in a ther, Kubiak et al. [31—33]and Jorke et al. [34—36]predictable manner with cell temperature. Com- have produced implantation-like doping by thepanson of C— V and spreading resistance profiles mere application of a bias to the growing siliconindicates bulk carrier mobilities. On the question sample. While there is not yet agreement on theof possible contamination by cell outgassing, a incorporation mechanism, it is probable that sili-critical examination of minority carrier properties con atoms, ionized by the electron beam evapora-and defect densities has yet to be reported. tion source, are accelerated into that growing MBEA second approach to boron evaporation sourc- surface. At the surface these ions collide with

Page 4: SILICON MOLECULAR BEAM EPITAXY: 1984-1986 C Bean...SILICON MOLECULAR BEAM EPITAXY: 1984-1986 John C. BEAN AT&T Bell Laboratories, Murray Hill, New Jersey 07974, USA Recent developments

414 J. C. Bean / Silicon molecular beam epitaxy: 1984—1986

accumulated surface layers of dopant knocking a would lack sufficient energy to migrate or re-fraction of these dopant atoms a short distance evaporate. This would eliminate the need for moreinto the layer. Buried below the growing epi surface complex high temperature Knudsen cells or ionthe dopants can no longer segregate or re- beam sources. On the other hand, one must con-evaporate. This explanation is supported by Jorke’s sider the possibilities that reduced temperaturesexperiments showing a linear dependence of anti- will enhance contaminant incorporation and com-mony incorporation with incoming silicon ion flux, promise crystalline quality.While Kubiak does not rule out this secondary ion The use of an MBE/SPE hybrid has beenimplantation mechanism, he suggests that experi- investigated by Streit, Metzger and Allen [38,39]ments on bias dependence of incorporation might and Casel et al. [40]. After room temperaturealso be interpreted as evidence for an ion induced deposition, doped amorphous layers are heated todecomposition of complex surface dopant mole- temperatures of 530—630°Cproducing a recrys-cules into simpler, more readily incorporated tallization front moving up from the substratespecies. Both groups have produced moderately with a velocity on the order of 1—10 A/s. Streit etwell controlled, high level doping profiles. Kubiak al. reported Ga and Sb doping levels as high asreports bulk mobilities with no increase in etch pit 10 20/cm2. At dopant concentrations of —

densities. 1018/cm2, n and p mobilities were measured atIn an intriguing recent report, Ennen et al. [37] bulk levels. However, at both higher and lower

discussed the use of erbium co-implantation dop- doping concentrations, mobilities fell sharply toing to produce electro-luminescence from Si MBE — 50% of accepted standards. Rutherford back-layers. Light emission occurs as a result of internal scattering and channeling analysis suggested resid-4f—4f electron transitions within the rare earth ual disorder near the epi/substrate interface. Hallion. The light is emitted in the 1.5 ~.tmwavelength effect measurements of Casel et al. also indicatedrange favored for use with fiber optic communica- a 30—50% reduction in p-type mobilities belowtion links. The Si MBE layer serves only to sup- bulk values. Detailed impurity and crystallo-port ions and to generate the pumping electrons graphic analysis will be required to identify theby means of a forward bias p—n junction. External degradation mechanism.quantum efficiencies were estimated at — 1%.While not intense by conventional LED stan-dards, these experiments could open the door to a 5. Heteroepitaxy: insulatorsdirect integration of light emitters on silicon basedcircuits. Towards the end of achieving three-dimen-

sional integrated device structures, the epitaxialsalts (Ca, Ba, Sr)F

2 have been investigated in-4. Solid phase epitaxy tensely since their original MBE synthesis by Far-

row et al. [41] and Ishiwara and Asano [42]. NotSolid phase epitaxy (SPE) involves the thermal only do these materials closely lattice match sili-

recrystallization of amorphous films on a crystal- con, they have the attractive property of evaporat-line substrate. This process has been widely in- ing as stoichiometric molecules. Recent work hasvestigated and would normally fall beyond the dealt primarily with the nature of the insulator/scope of a review on MBE. In recent years, how- silicon interface and the improvement of crystal-ever, this process has been combined with conven- linity by post-growth processing.tional MBE to overcome the above problems of These materials have the so-called CaF2 latticedopant incorporation. Doped amorphous layers structure that is basically identical to that of sili-are deposited on clean silicon substrates by co- con with the addition of four lattice sites. Despiteevaporation of silicon and poorly behaved dopants this similarity, CaF2 has been shown to grow withsuch as antimony an gallium. It was hoped that a 180° rotation from (111) Si substrates. Theduring this room temperature process, dopants situation is further complicated by a multiplicity

Page 5: SILICON MOLECULAR BEAM EPITAXY: 1984-1986 C Bean...SILICON MOLECULAR BEAM EPITAXY: 1984-1986 John C. BEAN AT&T Bell Laboratories, Murray Hill, New Jersey 07974, USA Recent developments

J.C. Bean / Silicon molecular beam epitaxy: 1984—1986 415

of possible lattice terminations. Himpsel et al. interface state densities. These and other Si MBEhave attempted to resolve the interfacial structure device applications will be detailed in a compan-by use of high resolution core level spectroscopy ion review [48].[43]. These Si core level shifts are of oppositepolarity depending on whether the interfacial Siatoms are bonded to Ca or F. In a complex series 6. Epitaxial metalsof arguments considering no less than six alternateinterfacial geometries, Himpsel et al. conclude that The metal silicides NiSi2 and CoSi2 have thedata are most consistent with an interface wherein cubic calcium fluoride lattice structure with roomthe final Si layer bilayer planes have the top Si temperature lattice mismatches to silicon of 0.4row bonded to Ca atoms and the lower Si row to and 1.2% respectively. They can be synthesizedF. either by MBE co-deposition [49] or by metalDespite the relatively small mismatch between deposition and thermal reaction [50]. Early work,

CaF2 and Si (0.6% at 25°C), there have been on (111) silicon substrates, demonstrated a num-persistent problems with crystalline quality. While ber of peculiar properties including multiple epi-the situation has been improved somewhat by taxial relationships (0° and 180° rotations fromoptimization of growth conditions, one of the the substrate) and the presence of small pinholesmost promising strategies appears to be the post- [51]. Subsequent work showed the advantage ofgrowth application of rapid thermal annealing. As predepositing 10—20 A thick metal “template”reported by Pfeiffer, Phillips et al. [44,45],CaF2 on layers to force one epitaxial relationship or to(100) Si has rather poor crystallinity for all growth avoid breakup of (100) interfaces into (111) facetstemperatures but 600°C. At this temperature, [52]. Recent work has been concerned with growthcrystallinity, while improved, is still substandard on other orientations, interfacial characterization,as indicated by a Rutherford backscattering measurement of Schottky barrier heights and de-minimum channeling yields of — 6%. The situa- vice application.tion is drastically altered by 20s post-growth an- With mixed results, Tung et al. [53] have ap-neal at 1100°C. Low channeling yields are pro- plied their “template” technique to metal silicideduced for samples grown over a 100°C substrate growth on (110) silicon. For one, they found thattemperature range with the lowest channeling metal template thickness must be increased toyields approaching the 3% value expected for de- 45—65 A on this orientation to avoid discontinu-fect-free material. ous layers. These thicker template layers haveIn a subsequent publication, Phillips and decidedly poorer crystallinity than the thinner

Augustyniak [46] applied rapid thermal annealing templates successfully employed on the (111) andto CaF2/CoSi2/(111)Si structures. Prior to an- (100) orientations. Additionally, the silicon tonealing, all growth temperatures yielded CaF2 metal template interface has fine scale (111) facetschanneling minima of ? 20%. After rapid thermal producing a serrated interface with line defects atprocessing, yields were lowered to 3—5% for a the peaks and valleys of the serrations. Neverthe-broad range of growth temperatures. Together less, subsequent deposition and reaction of thickthese reports suggest an important role for such NiSi2 layers does produce high quality singleprocessing in those systems where lattice mis- crystal growth with Rutherford backscatteringmatch makes the complete avoidance of defects minimum channeling yields as low as 3%.impossible. The suppression multiple metal silicide/siliconIn addition to these crystallographic studies, epitaxial relationships by metal templates is ex-

Smith et al. [47] have used epitaxial CaF2 as the plained by Tung in the following manner [54].gate dielectric in a “metal epitaxial insulator Labeling the unrotated and 180° rotated in-semiconductor field effect transistor” (MEISFET). terfaces type A and B respectively, Tung cites aTransistor action was produced and the structure body of experimental evidence that the type Bwas used to measure breakdown field strength and interface has the lower intrinsic free energy. This

Page 6: SILICON MOLECULAR BEAM EPITAXY: 1984-1986 C Bean...SILICON MOLECULAR BEAM EPITAXY: 1984-1986 John C. BEAN AT&T Bell Laboratories, Murray Hill, New Jersey 07974, USA Recent developments

416 J. C. Bean / Silicon molecular beam epitaxy: 1984—1986

orientation will therefore be strongly favored when centers on the known tendency of epitaxial metalmetal silicides are grown by near stoichiometric silicides to form small widely spaced pinholes thatco-deposition or by reaction of a few monolayers are filled with silicon when the layer is overgrown.of metal template layer. On the other hand, care- These Si channels will create a parallel “permea-ful consideration of the layer stacking sequence ble base transistor” action by the Schottky barriershows that the movement of a type A NiSi 2/ depletion of carriers from the channels. Rosencher(111)Si interface requires rearrangement of a single notes that he can observe two distinct transistorlayer of Si atoms and the diffusion of one atomic characteristics depending on silicide growth condi-layer of Ni. The movement of the type B interface tions [60], presumably due to either metal base orrequires the simultaneous rearrangement of three permeable base action. On the basis of computeratomic layers. Reaction of thick metal layers di- simulations, Hensel counters that both modes canrectly on Si will therefore involve a competition be produced by permeable base action [61].between type B nucleation and rapid type A prop- Whatever the ultimate explanation, either modeagation yielding local domains of both orienta- may provide an attractive new device technology.tions. This competition is eliminated when prede-position of thin metal templates forces type Bnucleation over the entire surface. 7. Ge1Si~ s/Si heterostructuresBy templating and certain modifications of

growth procedures, predominantly type A or B Until recently, there appeared to be no practi-NiSi2 growth can be produced on (111)Si. This cal way of introducing semiconductor heterostruc-has been exploited by Tung [55] and Hauenstein ture capabilities to the silicon materials system.et al. [56] to study the nature of metal to silicon The few well lattice matched semiconductors comeSchottky barriers. In general, metal/silicon Schot- from the family of Ill—V materials and have thustky barrier heights are surprisingly insensitive to far produced uncontrolled cross doping of adjac-interfacial orientation and composition. This could ent silicon. In the Ge/Si system, Cullis and Bookerbe due to interfacial contamination and imperfec- [62] had shown that problems of lattice mismatchtions, and to averaging over multiple metal grains were compounded by a strong tendency towardsof different orientation. These non-idealities are three dimensional growth. Subsequent work byeliminated in the case of epitaxial metal silicides Kasper et al. [63,64] indicated that this islandingand, indeed, both groups report a clear orientation could be eliminated only for Ge~Si1-x alloys on Sidependence of barrier heights. Tung and Hauen- with Ge fractions of ~ 15—20%. Unfortunately,stein measured, respectively, barriers of 0.65 and data on bulk Ge~Si1— showed that 15—20% alloys0.67 eV for type A interfaces versus 0.79 and 0.77 would have a bandgap only slightly below that ofeV for type B. This clear and consistent depen- silicon [65].dence on fundamental interfacial properties should In the last three years, several discoveries haveprovide a long overdue means of discriminating radically altered the prospects of the Ge~Si1— ~/Sibetween theories on barrier formation. system. For one, we have was shown that withThe relative perfection of metal silicide/silicon careful substrate preparation, Ge~Si1_~/Sigrowth

heterostructures has resurrected the two decade temperatures can be reduced from earlier mini-old idea of building a metal base transistor [48]. mum values of 700°Cto below 500°C[66,67]. AtThis structure is analogous to a conventional all these reduced temperatures, islanding problemssemiconductor bipolar junction transistor but are eliminated for all Ge fractions. Further, it waswould overcome the series resistance problem in- demonstrated that in the Ge~Si1 - s/Si system,herent in narrow base structures. Both Rosencher strained layer epitaxy can be maintained to thick-et al. [57] and Hensel, Tung et al. [58,59] have nesses far beyond the limits predicted by earlierreported transistor action in Si/CoSi 2/Si struc- equilibrium theories [68,69]. Indeed, defect-freetures although they disagree as to whether true strained layer epitaxy can be maintained in dilutemetal base action is responsible. The dispute alloys to thicknesses of over one micron. In more

Page 7: SILICON MOLECULAR BEAM EPITAXY: 1984-1986 C Bean...SILICON MOLECULAR BEAM EPITAXY: 1984-1986 John C. BEAN AT&T Bell Laboratories, Murray Hill, New Jersey 07974, USA Recent developments

J.C. Bean / Siliconmolecular beam epitaxy: 1984—1986 417

concentrated alloys, the critical thickness exceeds sistance power loss mechanisms has required the10 A for Ge fractions of up to 80%. This has use of a dielectric loss term to fit experimentalfacilitated the synthesis of useful superlattice data [90]. The fit implies a dielectric constant 35%structures [29,70] in which the cumulative defect- as large as that found in polar InAs. This wouldfree alloy thickness is fifty times the predicted require a net non-random ordering of Ge—Sicritical value for misfit dislocation formation. bonds. If these results are confirmed this wouldIn addition to facilitating defect-free growth, imply a Ge~Si1~stained layer structure with a

theory [71] and experiment [721 have shown that fundamentally altered crystal symmetry that couldstrain greatly reduces the Ge5Si1 - bandgap. For possess a range of properties normally excluded inx ~ 0.6, the bandgap of strained Ge5Si1 - is actu- diamond structure semiconductors.ally smaller than that of pure bulk Ge [73]. De-pending on the relative allocation of strain be-tween the alloy and Si layers, these reductions in 8. Apparatusbandgap can produce either the so-called “TypeI” or “Type II” heterostructure band alignments. In addition to the equipment features implicitWith Type I alignment, the alloy bandgap falls in the above discussion, the last three years havebetween the Si bandedges and in the first Si seen a significant expansion in the commercialmodulation doping experiments this produced availability of equipment designed specifically fortransfer of holes from doped Si to the adjacent silicon MBE. Systems with 7.5—15 cm wafer capa-alloy [74—76].Subsequent experiments using sym- bility are or will soon be available from VGmetrically strained superlattices produced Type II Semicon, Riber, Physical Electronics, ANELVAalignment and transfer of electrons from doped and possibly Hitachi. At least one vendor, VG,Ge5Si1 -x to undoped Si [77,78]. will also supply add-on ion implantation dopingExploiting the above properties, high quality equipment. These systems require less manual

silicon heterostructure devices have been fabri- sample manipulation than earlier equipment andcated on silicon with layer bandgaps 0.6 to 1.1 eV make increasing use of computer control. As has(at 25°C)[48]. These include p-modulation doped been the pattern for almost a decade in Si MBE,transistors [79,80], n-modulation doped transistors sample mounting requires no modification of[81], 1.3 p~mPIN [82,83] and SAM APD [84—861 standard wafers and direct radiant heating is usedphotodetectors. Among the features of these ex- in place of Ill—V heater block and indium attach-periments are the ability of the p-MODFET to ment schemes.successfully withstand conventional silicon planar Despite the increase in wafer sizes, none of theprocessing, the demonstration of room tempera- above systems allows simultaneous deposition onture enhancement of electron mobilities in the multiple wafers. In contrast to many Ill—V devicen-MODFET, the APD detection of 1.3 ,.Lm light applications, the highly developed state of conven-over 45 km optical links and the demonstration of tional silicon technology makes the add-on cost ofgain bandwidth products of over 48 GHz. Si MBE processing an extremely important con-Beyond these impressive early demonstrations sideration. Economic analysis suggests that it will

of heterostructures silicon possibilities, there is be difficult if not impossible to reduce Si MBEintriguing evidence that strain may induce an costs to acceptable levels without a shift to multi-atomically ordered state in Ge5Si1~alloys. This wafer processing [91,92]. Further, at even the de-was first suggested by electron diffraction expen- vice research level, the uniformity of simultaneousments [87,88] interpreted as evidence for a (111) batch MBE processing would make it much easierlayer stacking sequence of GeGeSiSi. Subsequent to discriminate between variations caused by MBEX-ray diffraction data [89] supported the idea of and post-MBE processing steps.ordering but did not directly confirm the sug- Based on a Bell Labs design concept [93], agested stacking sequence. Most recently, a close prototype batch processing MBE apparatus isexamination of Shubnikov—De Haas magnetore- being developed by VG Semicon. Using a unique

Page 8: SILICON MOLECULAR BEAM EPITAXY: 1984-1986 C Bean...SILICON MOLECULAR BEAM EPITAXY: 1984-1986 John C. BEAN AT&T Bell Laboratories, Murray Hill, New Jersey 07974, USA Recent developments

418 J. C. Bean / Silicon molecular beam epitaxv: 1984—1986

configuration of deposition sources and a flat Referencesrotating platen, it is calculated that this apparatuswill achieve deposition uniformity of better than [1] See Proc. 1st Intern. Symp. on Silicon Molecular Beam5% for samples within — 0.22 meters of the platen Epitaxy, Ed. J.C. Bean, Vol. PV 85—7 (Electrochem. Soc.,

Pennington, NJ, 1985).axis. This would permit batch sizes ranging from [2] The 2nd Intern. Symp. on Silicon Molecular Beam Epi-fifteen 7.5 cm wafers to three 20 cm wafers. The

taxy will be held as part of the 172nd Meeting of thedemonstrated ability to grow Si MBE at rates as Electrochemical Society, October 18—23, 1987 in Honolulu,high as 1/3 ~.tmper minute [28], means that de- Hawaii. For additional information, contact one of theposition times may be as short as the periods following conference committee members: J.C. Bean, H.Ishiwara, E. Kasper, E.H.C. Parker and L.J. Schowalter.required for sample loading, cleaning, cooling and [3] See, for example, R.C. Henderson, J. Electrochern. Soc.unloading. The apparatus exploits this fact by 119 (1972) 772dividing these functions between four in-line [4] G.E. Becker and J.C. Bean, J. App!. Phys. 48 (1977) 3395.chambers allowing four batches to be in process [51Y. Ota, J. Electrochem. Soc. 124 (1977) 1795.simultaneously. It should thus ultimately be possi- [6) A. Ishizaki, K. Nakagawa and Y. Shiraki, in: Proc. Conf.

on Molecular Beam Epitaxy and Clean Surface Tech-ble to attain throughputs as high as forty-five 7.5 niques (Japanese Society of Applied Physics, Tokyo, 1982)cm to nine 20 cm wafers per hour. This apparatus ~. 183.

is due to complete manufacturer’s tests in late [7] Y.H. Xie, Y.Y. Wu and K.L. Wang, ref. [1], p. 93.summer of 1986. [8] Y.H. Xie, Y.Y. Wu and K.L. Wang, Appl. Phys. Letters

48 (1986) 287.[9] Y.H. Xie, PhD Thesis, Dept. of Electrical Engineering,

University of California at Los Angeles (1986).[10) J.C. Bean, G.E. Becker, P.M. Petrof and T.E. Seidel, J.

9. Summary App!. Phys. 48 (1977) 907.(11] R. Hull, J.C. Bean, J.M. Gibson, D.C. Joy and M.E.

Twigg, ref. [1),p. 27.The last three years have seen a rapid expan- [12] M.E. Twigg, R. Hull, J.C. Bean and J.M. Gibson, in: Proc.

Materials Research Society Symp. on Layered Structures,sion of Si MBE in terms of both fundamental Epitaxy and Interfaces, Boston. 1986 (MRS. Pittsburgh,capabilities and level of participation. By the time PA, to be published).

this review is published there may be as many as [13] R. Hull, J.C. Bean, D.C. Joy and M.E. Twigg, Appl. Phys.one hundred research groups active in the field. Letters, to be published.Work has centered in a number of areas. Sample [141 K. Kugimiya, Y. Hirofuji and N. Matsuo, Japan. J. Appl.preparation and layer doping continue to be ac- Phys. 24 (1985) 564.[15] K. Kugimiya, Y. Hirofuji and N. Matsuo, ref. [1], p. 35.tively investigated. While research capabilities ex- [16] T. Tatsumi, N. Aizak and H. Tsuya. Japan. J. AppI. Physist in both areas, workers seek effective, simple 24 (1985) 1227.and inexpensive alternatives. Heteroepitaxial ca- [17] M. Tabe, AppI. Phys. Letters 45 (1984) 1073.pabilities have expanded radically during this [18] W.Y. Leong, R.A.A. Kubiak and E.H.C. Parker, ref. [1],period. A variety of epitaxial metal and insulators P 140.[19] R.A.A. Kubiak, WY. Leong, M.G. Dowsett, D.S. Mc-have been grown on silicon and work has begun Phail, R. Houghton and E.H.C. Parker, J. Vacuum Sci.on both detailed electrical characterization and Technol., submitted.device application. Ge5Si1 — strained layer epi- [201 0G. Marsh, private communication, 1986.taxy has at last added a viable semiconductor [21] J.C. Bean, App!. Phys. Letters 33 (1978) 654.heterostructure capability to the silicon materials [22] J. Knall, J.-E. Sundgren and J.E. Greene, App!. Phys.Letters 45 (1984) 689.system. These alloys have demonstrated a number [23] R.A.A. Kubiak, WY. Leong and E.H.C. Parker, ref. [1],of unique strain induced properties and sophisti- p. 169.cated device applications. Finally, problems of [24] R.A.A. Kubiak, W.Y. Leong and E.H.C. Parker, App!.automation, throughput, and ultimate layer qual- Phys. Letters 44 (1984) 878.ity are being addressed as a variety of labs give [25] R.M. Ostrom and F.G. Allen, Appl. Phys. Letters 48(1986) 221.serious consideration to the commercial applica- [26] Y. Ota, J. Electrocheni. Soc. 126 (1979) 1761tion of Si MBE. [27] Y. Ota, J. App!. Phys. 51 (1980) 1102.

Page 9: SILICON MOLECULAR BEAM EPITAXY: 1984-1986 C Bean...SILICON MOLECULAR BEAM EPITAXY: 1984-1986 John C. BEAN AT&T Bell Laboratories, Murray Hill, New Jersey 07974, USA Recent developments

J. C. Bean / Silicon molecular beam epitaxy: 1984—1986 419

[28] J.C. Bean and E.A. Sadowski, J. Vacuum Sci. Technol. 20 [551R.T. Tung, Phys. Rev. 52 (1984) 461.(1982) 137. [56] R.J. Hauenstein, T.E. Schlesinger, T.C. McGill, B.D. Hunt

[29] J.C. Bean, J. Crystal Growth 70 (1984) 444. and L.J. Schowalter, Appi. Phys. Letters 47 (1985) 853.[30] R.M. Chrenko, L.G. Turner and L.J. Schowalter, ref. [1], [57] E. Rosencher, S. Delage, Y. Campidelli and F. Arnaud LI

p. 179. d’Avitaya, Electron. Letters 20 (1984) 762.[31] R.A.A. Kubiak, WY. Leong and E.H.C. Parker, AppI. [58] J.C. Hensel, A.F.J. Levi, R.T. Tung and J.M. Gibson,

Phys. Letters 46 (1985) 565. Appl. Phys. Letters 47 (1985) 151.[32] R.A.A. Kubiak, W.Y. Leong and E.H.C. Parker, ref. [1], [59] R.T. Tung, A.F.J. Levi and J.M. Gibson, Appi. Phys.

p. 230. Letters 48 (1986) 635.[33] R.A.A. Kubiak, WY. Leong and E.H.C. Parker, J. Elec- [60] F. Arnaud d’Avitaya, private communication, 1986.

trochem. Soc. 132 (1985) 2738. [61] J.C. Hensel, in: Interfaces and Phenomena, Materials[34] H. Jorke and H. Kibbel, ref. [1],p. 194. Research Society Symp. Proc. 54, Eds. RH. Nemanich,[35] H. Jorke, H.-J. Herzog and H. Kibbel, Appi. Phys. Letters P.S. Ho and S.S. Lau (MRS. Pittsburgh, PA, 1986).

47 (1985) 511. [62] AG. Cullis and G.R. Booker. J. Crystal Growth 19 (1971)[36] H. Jorke and H. Kibbel, J. Electrochem. Soc. 133 (1986) 132.

774. [63] E. Kasper, Hi. Herzog and H. Kibbel, App!. Phys. 8[37] H. Ennen, G. Pomrenke, A. Axmann, K. Eisele, W. Haydl (1975) 199.

and J. Schneider, App!. Phys. Letters 46 (1985) 381. [64] E. Kasper and H.J. Herzog, Thin Solid Films 44 (1977)[38] D. Streit, R.A. Metzger and F.G. Allen, App!. Phys. 357.

Letters 44 (1984) 234. [65] R. Braunstein, AR. Moore and R. Herman, Phys. Rev.[39] F.G. Allen, ref. [1], p 3 109 (1958) 695.[40] A. Casel, H. Jorke. E. Kasper and H. Kibbel, Appl. Phys. [66] J.C. Bean, T.T. Sheng, L.C. Feldman, AT. Fiory and R.T.

Letters 48 (1986) 922. Lynch, Appi. Phys. Letters 44 (1984) 102.[41] R.F.C. Farrow, P.W. Sullivan, G.M. Williams, G.R. Jones [67] J.C. Bean, L.C. Feldman, AT. Fiory, S. Nakahara and

and C. Cameron, J. Vacuum Sci. Technol. 19 (1981) 415. 1K. Robinson, J. Vacuum Sci. Technol. A2 (1984) 436.[42] H. Ishiwara and T. Asano, Appi. Phys. Letters 40 (1982) [68] F.C. Frank and J.H. van der Merwe, Proc. Roy. Soc.

66. (London A198 (1949) 205; A198 (1949) 216; A200 (1949)[43] F.J. Himpsel, F.U. Hillebrecht, G. Hughes, J.L. Jordan, 125.

U.0. Karisson, FR. McFeely, J.F. Morar and D. Rieger, [69] J.W. Matthews, J. Vacuum Sci. Technol. 12 (1975) 126,Appi. Phys. Letters 48 (1986) 596. and references therein.

[44] L. Pfeiffer, J. Phillips, T.P. Smith, W.M. Augustyniak and [70] J.C. Bean, Science 230 (1985) 127.K.W. West, Appi. Phys. Letters 46 (1985) 947. [71] R. People, Phys. Rev. B32 (1985) 1405.

[45] J.M. Phillips, L. Pfeiffer, D.C. Joy, T.P. Smith, J.M. [72] DV. Lang, R. People, J.C. Bean and AM. Sergent, Appl.Gibson, W.M. Augustyniak and K.W. West, J. Electro- Phys. Letters 47 (1985) 1333.chem. Soc. 133 (1986) 224. [73] R. People and J.C. Bean, App!. Phys. Letters 48 (1986)

[46] J.M. Phillips and W.M. Augustyniak, Appl. Phys. Letters 538.48 (1986) 463. [74] R. People, J.C. Bean, DV. Lang, A.M. Sergent, H.L.

[47] T.P. Smith, J.M. Phillips, W.M. Augustiniak and P.J. Sfl5rmer, K.W. Wecht, R.T. Lynch and K. Baldwin, Appl.Stiles, Appl. Phys. Letters 45 (1984) 907. Phys. Letters 45 (1984) 1231.

[48] For a review of recent device applications see: J.C. Bean, [75] R. People, J.C. Bean and DV. Lang, J. Vacuum Sci.in: Proc. European Solid State Device Research Conf., Technol. A3 (1985) 846.Cambridge, UK, Sept. 1986, Inst. Phys. Conf. Ser. (Inst. [76] R. People, IC. Bean and DV. Lang, ref. [1], p. 360.Phys., London—Bristol, to be published). [77] H. Jork and H.-J. Herzog, ref. [1], p. 352.

[49] J.C. Bean and J.M. Poate, App!. Phys. Letters 37 (1980) [78] G. Abstreiter, H. Brugger, T. Wolf, H. Jorke and H.-J.643. Herzog, Phys. Rev. Letters 54 (1985) 2441.

[50] 5. Saitoh, H. Ishiwara and S. Furukawa, Appl. Phys. [79] T.P. Pearsall, J.C. Bean, R. People and AT. Fiory, ref. [1],Letters 37 (1980) 203. p. 402.

[51] See, for example: R.T. Tung, J.M. Poate, J.C. Bean, J.M. [80] T.P. Pearsall and J.C. Bean, IEEE Electron Device LettersGibson and D.C. Jacobson, Thin Solid Films 93 (1982) EDL-7 (1986) 308.77, [81] H. Daembkes, H-I. Herzog, H. Jorke, H. Kibbel and E.

[52] R.T. Tung, J.M. Gibson and J.M. Poate, Phys. Rev. Kasper, IEEE Trans. Electron Devices ED-33 (1986) 633.Letters 50 (1983) 429. [82] H. Temkin, T.P. Pearsall, J.C. Bean, R.A. Logan and S.

[53] R.T. Tung, S. Nakahara and T. Boone,Appi. Phys. Letters Luryi, AppI. Phys. Letters 48 (1986) 963.46 (1985) 895. [83] 5. Luiyi, T.P. Pearsall, H. Temkin and J.C. Bean, IEEE

[54] R.T. Tung, in: Silicon Molecular Beam Epitaxy, Eds. E. Electron Device Letters EDL-7 (1986) 104.Kasper and J.C. Bean (CRC Press, Boca Raton, FL, [84] T.P. Pearsall, H. Temkin, J.C. Bean and S. Luryi, IEEE1987). Electron Device Letters EDL-7 (1986) 330.

Page 10: SILICON MOLECULAR BEAM EPITAXY: 1984-1986 C Bean...SILICON MOLECULAR BEAM EPITAXY: 1984-1986 John C. BEAN AT&T Bell Laboratories, Murray Hill, New Jersey 07974, USA Recent developments

420 J. C. Bean / Silicon molecular beam epitaxy: 1984—1986

(85] H. Temkin, NA. Olsson, T.P. Pearsall and IC. Bean, in: [89] W. Lowe and IC. Bean, Am. Phys. Soc. Meeting, LasProc. Optical Fiber Communications Conf., Atlanta, GA, Vegas, March 1986.Feb. 1986. [90] Y.H. Xie, R. People, IC. Bean and K.W. Wecht, Appl.

[86] H. Temkin, A. Antreasyan, NA. Olsson, T.P. Pearsall and Phys. Letters 49 (1986) 283.IC. Bean, AppI. Phys. Letters, to be published. [91] IC. Bean, in: 1981 Intern. Electron Device Meeting Di-

[87] A. Ourmazd and IC. Bean, Phys. Rev, B55 (1985) 765. gest (IEEE, 1981) p. 6.[88] A. Ourmazd and IC. Bean, in: Proc. Materials Research [92] D. Bellevance, in: Silicon MolecularBeam Epitaxy Eds. E.

Society Symp. on Layered Structures, Epitaxy and Inter- Kasper and J.C. Bean (CRC Press, Boca Raton, FL,faces, Boston, 1986 (MRS, Pittsburgh, PA, to be pub- 1987).lished). [93] J.C. Bean and P. Butcher, ref. [1], p. 427.