ricardo costa - [email protected] gustavo r. alves - [email protected]

19
Work-in-progress on a thin IEEE1451.0-architecture to implement reconfigurable weblab infrastructures Ricardo Costa - [email protected] Gustavo R. Alves - [email protected] Mário Zenha-Rela - [email protected] REV’11 Conference Transylvania University, Brasov, Romania June 28 - July 1, 2011

Upload: fisk

Post on 11-Jan-2016

52 views

Category:

Documents


0 download

DESCRIPTION

Work-in-progress on a thin IEEE1451.0-architecture to implement reconfigurable weblab infrastructures. Ricardo Costa - [email protected] Gustavo R. Alves - [email protected] Mário Zenha-Rela - [email protected]. REV’11 Conference Transylvania University, Brasov, Romania - PowerPoint PPT Presentation

TRANSCRIPT

Page 1: Ricardo Costa  -  rjc@isep.ipp.pt Gustavo R. Alves -  gca@isep.ipp.pt

Work-in-progress on a thin IEEE1451.0-architecture to implement

reconfigurable weblab infrastructures

Ricardo Costa - [email protected] Gustavo R. Alves - [email protected]

Mário Zenha-Rela - [email protected]

REV’11 ConferenceTransylvania University,

Brasov, Romania

June 28 - July 1, 2011

Page 2: Ricardo Costa  -  rjc@isep.ipp.pt Gustavo R. Alves -  gca@isep.ipp.pt

2/13

Ricardo Costa - [email protected] - http://www.dee.isep.ipp.pt/~rjc

Presentation outline

Introduction Traditional weblab infrastructures

– Architecture– Status and problems

Proposed solution – Architecture– Previous work– IEEE1451.0 Std. overview– Operational sequence– Development status

Conclusions

Page 3: Ricardo Costa  -  rjc@isep.ipp.pt Gustavo R. Alves -  gca@isep.ipp.pt

3/13

Ricardo Costa - [email protected] - http://www.dee.isep.ipp.pt/~rjc

Introduction

Virtual labs

Traditional labs

RemotelabsA

cces

s ty

pe

Resource type

Hybridlabs

realvirtual

rem

ote

loca

l

… also named as WEBLABSThey are a very important resource for conducting experimental / laboratory work (REMOTE EXPERIMENTATION).

Group activities

Documents

Practical work

Images

Animations

Theoretical work

Etc.Experimental/ Laboratory work

ResearchEtc.

Exercises

Fundamental in S&E courses

1. Availability;2. Reliability;3. Flexibility;4. Reusability/Interoperability;5. Motivation;6. Security;7. Group activities;8. Costs (devices + human actors)...

IntroductionTraditional Weblab infrastructures - Architecture - Status and problems

Conclusions

Proposed solution - Architecture - Previous work - IEEE1451.0 Std. overview - Operational sequence - Development status

Page 4: Ricardo Costa  -  rjc@isep.ipp.pt Gustavo R. Alves -  gca@isep.ipp.pt

4/13

Ricardo Costa - [email protected] - http://www.dee.isep.ipp.pt/~rjc

Traditional weblab infrastructures

Internet

Lab server

Instrumentation bus

Instruments & Modules

(I&M)

Instruments & Modules

(I&M)

Instruments & Modules

(I&M)Robots

Unit(s) Under Test (experiment)Instrumentation

server

Students

Instrumentation Server(connection with I&M, webcams, UUTs).

Administrators/Technicians/

TeachersWork groups

Laboratory Server(pedagogical contents and administrative services (e.g. booking and security access

systems, etc.)).

webcam

Access devices(PC, smart phone, mobile phone, etc.)

Database

- Architecture -

IntroductionTraditional Weblab infrastructures - Architecture - Status and problems

Conclusions

Proposed solution - Architecture - Previous work - IEEE1451.0 Std. overview - Operational sequence - Development status

Page 5: Ricardo Costa  -  rjc@isep.ipp.pt Gustavo R. Alves -  gca@isep.ipp.pt

5/13

Ricardo Costa - [email protected] - http://www.dee.isep.ipp.pt/~rjc

Traditional Weblab infrastructures

Status:•specific and distinct technical implementations

(several hardware and software tools);

•no standard solution for creating weblab infrastructures

Problems:•collaboration among institutions is weak

it is difficult the reuse and interface different instruments/modules (I&M) used by a specific experiment;

•some institutions do not apply weblabs in their courses some institutions do not have the required technical skills;

•costs may be highcreating a weblab infrastructure requires a PC and associated software,

together with several I&M (eventually comprehending several features not required in a specific experiment), and;

•constraints for running several experiments an architecture based on a single PC poses constraints for running several

experiments, requiring scheduling techniques (batch or real-time modes).

- status and problems -

IntroductionTraditional Weblab infrastructures - Architecture - Status and problems

Conclusions

Proposed solution - Architecture - Previous work - IEEE1451.0 Std. overview - Operational sequence - Development status

Page 6: Ricardo Costa  -  rjc@isep.ipp.pt Gustavo R. Alves -  gca@isep.ipp.pt

6/13

Ricardo Costa - [email protected] - http://www.dee.isep.ipp.pt/~rjc

- replaced by FPGA-based board(s);- the I&Ms will be developed using HDLs (Hardware Description Languages) following the IEEE 1451.0 Std..

Proposed Solution

Lab server

Instrumentation bus

Instruments & Modules

(I&M)

Instruments & Modules

(I&M)

Instruments & Modules

(I&M)Robots

Unit(s) Under Test (experiment)

Instrumentation server

( … )

- Architecture -

IntroductionTraditional Weblab infrastructures - Architecture - Status and problems

Conclusions

Proposed solution - Architecture - Previous work - IEEE1451.0 Std. overview - Operational sequence - Development status

Page 7: Ricardo Costa  -  rjc@isep.ipp.pt Gustavo R. Alves -  gca@isep.ipp.pt

7/13

Ricardo Costa - [email protected] - http://www.dee.isep.ipp.pt/~rjc

Proposed Solution- Architecture -

IntroductionTraditional Weblab infrastructures - Architecture - Status and problems

Conclusions

Proposed solution - Architecture - Previous work - IEEE1451.0 Std. overview - Operational sequence - Development status

A/D and D/A converters

Ethernet interface

buttons

LCD display

buttons

JTAG interface

Digital I/0 pins

PHY interfacesFPGA

LEDs indicators

DRAMmemory

E2PROMmemory

Page 8: Ricardo Costa  -  rjc@isep.ipp.pt Gustavo R. Alves -  gca@isep.ipp.pt

8/13

Ricardo Costa - [email protected] - http://www.dee.isep.ipp.pt/~rjc

Proposed Solution- Previous work -

IntroductionTraditional Weblab infrastructures - Architecture - Status and problems

Conclusions

Proposed solution - Architecture - Previous work - IEEE1451.0 Std. overview - Operational sequence - Development status

Function generator

Page 9: Ricardo Costa  -  rjc@isep.ipp.pt Gustavo R. Alves -  gca@isep.ipp.pt

9/13

Ricardo Costa - [email protected] - http://www.dee.isep.ipp.pt/~rjc

Proposed Solution- Previous work -

IntroductionTraditional Weblab infrastructures - Architecture - Status and problems

Conclusions

Proposed solution - Architecture - Previous work - IEEE1451.0 Std. overview - Operational sequence - Development status

Physical interfaces used to control the function generator

Control / monitor web interfaces for

controlling / monitoring the function generator

Developed through a collaboration agreement between CIETI/Laboris and an M.Sc. Student from Heriot-

Watt University (Scotland)

Ricardo Costa, Gustavo Alves, Mário Zenha-Rela, Rob Poley and

Campbell Wishart "

FPGA-based Weblab Infrastructures Guidelines and a prototype implement

ation example

" 3rd IEEE International Conference on e-Learning in Industrial Electronics

(ICELIE'2009), Porto - Portugal, November 3th to 7th 2009.

Page 10: Ricardo Costa  -  rjc@isep.ipp.pt Gustavo R. Alves -  gca@isep.ipp.pt

10/13

Ricardo Costa - [email protected] - http://www.dee.isep.ipp.pt/~rjc

Proposed Solution- Previous work -

IntroductionTraditional Weblab infrastructures - Architecture - Status and problems

Conclusions

Proposed solution - Architecture - Previous work - IEEE1451.0 Std. overview - Operational sequence - Development status

Some difficulties appeared during the collaboration because…

Difficulties to understand/explainall details…

It would be difficult to use the FG on another Weblab infrastructure,

based on the presented architecture…

It was necessary to specify a logical interface !

It defines a set of open, common, network-independent communication interfaces for connecting transducers, will facilitate the implementation and sharing of different instruments/modules, in a compatible weblab infrastructure.

Page 11: Ricardo Costa  -  rjc@isep.ipp.pt Gustavo R. Alves -  gca@isep.ipp.pt

11/13

Ricardo Costa - [email protected] - http://www.dee.isep.ipp.pt/~rjc

Proposed Solution

Defined by another standard (e.g. IEEE1451.2 – connection point-to-point)

Internet TIMTIMTIM

NCAP (…)

Transducer channels

TEDS

Transducer Interface Module (TIM): controls a set of Transducer Channels (TC), implementing commands and protocols, supported on information within Transducer Electronic Data Sheets (TEDS).

Network Capable Application Processor (NCAP):performs network and TIM communications, data conversion and processing functions supported on Application Programming Interfaces (APIs).

- IEEE1451.0 Std. overview -

IntroductionTraditional Weblab infrastructures - Architecture - Status and problems

Conclusions

Proposed solution - Architecture - Previous work - IEEE1451.0 Std. overview - Operational sequence - Development status

IEEE Standard for a Smart Transducer Interface for Sensors and Actuators

Page 12: Ricardo Costa  -  rjc@isep.ipp.pt Gustavo R. Alves -  gca@isep.ipp.pt

12/13

Ricardo Costa - [email protected] - http://www.dee.isep.ipp.pt/~rjc

Proposed Solution

TIM(Transducer Interface Module)

TIMTransducer Interface Module

HTTP API (chapter 12)

Transducer services API (chapter 10)

Module Communication API (chapter 11)

Module Communication API (chapter 11)

Low level commands (chapter 7)

TEDSs - can be placed inside the transducer or

located remotly (chapter 8)

Control, access to the TEDs, control the

Transducer Channels, etc.

(…) Transducer (sensors

and actuators) channels

Defined by another standard (e.g. IEEE 1451.2 – connection point-to-point)

TEDS(Transducer Electronic Data

Sheet)

NCAPNetwork Capable

Application Processor

TEDSTransducer Electronic

Data Sheet

(…)

TIM active

TIM initialization

TIM sleep

Reset or Power On

Sleep command

Timeout

Custom

Wake-Upcommand

a) TIM operating states

Initialization complete

- IEEE1451.0 Std. overview -

IntroductionTraditional Weblab infrastructures - Architecture - Status and problems

Conclusions

Proposed solution - Architecture - Previous work - IEEE1451.0 Std. overview - Operational sequence - Development status

Page 13: Ricardo Costa  -  rjc@isep.ipp.pt Gustavo R. Alves -  gca@isep.ipp.pt

13/13

Ricardo Costa - [email protected] - http://www.dee.isep.ipp.pt/~rjc

Proposed Solution- IEEE1451.0 Std. overview -

IntroductionTraditional Weblab infrastructures - Architecture - Status and problems

Conclusions

Proposed solution - Architecture - Previous work - IEEE1451.0 Std. overview - Operational sequence - Development status

Example of low-level

commands and

HTTP API functions

Page 14: Ricardo Costa  -  rjc@isep.ipp.pt Gustavo R. Alves -  gca@isep.ipp.pt

14/13

Ricardo Costa - [email protected] - http://www.dee.isep.ipp.pt/~rjc

Proposed Solution- IEEE1451.0 Std. overview -

IntroductionTraditional Weblab infrastructures - Architecture - Status and problems

Conclusions

Proposed solution - Architecture - Previous work - IEEE1451.0 Std. overview - Operational sequence - Development status

TEDS: Meta-TEDs; Tranducer Channel TEDs; Calibration TEDs; etc.

Meta-TEDs example

Can be placed inside the TIM or distributed using a text format(there is a XML schema specified by the Std. to define the TEDs )

Example (chapter 8)

Page 15: Ricardo Costa  -  rjc@isep.ipp.pt Gustavo R. Alves -  gca@isep.ipp.pt

15/13

Ricardo Costa - [email protected] - http://www.dee.isep.ipp.pt/~rjc

Internet

TIM(FPGA-based board)

Lab Server

web interfaces

Unit Under Test

users

NCAP

TEDS

TEDS

HDL I&M

Proposed Solution

2. Query available weblabs (IEEE1451 Discovery API / HTTP)

Commands can be monitored (assessment purposes) 3. Control or Upload new I&M (reconfigure)

- Operational sequence -

1. Registration

IntroductionTraditional Weblab infrastructures - Architecture - Status and problems

Conclusions

Proposed solution - Architecture - Previous work - IEEE1451.0 Std. overview - Operational sequence - Development status

Page 16: Ricardo Costa  -  rjc@isep.ipp.pt Gustavo R. Alves -  gca@isep.ipp.pt

16/13

Ricardo Costa - [email protected] - http://www.dee.isep.ipp.pt/~rjc

Proposed Solution

FPGA-based board interfaces

TIM - FPGA

DATA + CTRLR

S23

2

Rx

Tx

TEDScontroller

Status/stateUART

(...) transducer

Decoder /

controller

instrument

IEEE1451.0 infrastructure

Transducer channel

NCAP

IEEE1451.0infrastructure

RS2

32

Transducer Channels

Web

users

TC1

TC2

TC3

TCn transducer

instrument

TIM

Exp

erim

ent

FP

GA

-bas

ed b

oar

d

Mic

ro-w

ebse

rver

- Development status -

IntroductionTraditional Weblab infrastructures - Architecture - Status and problems

Conclusions

Proposed solution - Architecture - Previous work - IEEE1451.0 Std. overview - Operational sequence - Development status

Page 17: Ricardo Costa  -  rjc@isep.ipp.pt Gustavo R. Alves -  gca@isep.ipp.pt

17/13

Ricardo Costa - [email protected] - http://www.dee.isep.ipp.pt/~rjc

FPGA-based board interfaces

TIM - FPGA

DATA + CTRL

RS

232

Rx

Tx

TEDScontroller

Status/stateUART

(...) transducer

Decoder /

controller

instrument

IEEE1451.0 infrastructure

Transducer channel

- Development status -

IntroductionTraditional Weblab infrastructures - Architecture - Status and problems

Conclusions

Proposed solution - Architecture - Previous work - IEEE1451.0 Std. overview - Operational sequence - Development status

TC number

Command (class+function) - Read TEDS segment length

TEDS access code

offset

Reply error message

Reply message success

lengthTEDS contents

Example of low-level commands used to control the TIM module

Proposed Solution

Page 18: Ricardo Costa  -  rjc@isep.ipp.pt Gustavo R. Alves -  gca@isep.ipp.pt

18/13

Ricardo Costa - [email protected] - http://www.dee.isep.ipp.pt/~rjc

Conclusions

Currently, weblabs are well accepted in S&E courses;But… there are specific and distinct technical implementations (no standard !);

The IEEE1451.0 Std. + FPGA technology are possible solutions for creating reconfigurable weblab infrastructures.

Supporting facts:1. The IEEE1451.0 Std. describes hardware and software layers to control and

network-interface transducers (which can also be the I&M used in weblabs);2. FPGAs can be reconfigured with different embedded IEEE1451.0-

compatible instruments described in standard HDL (e.g. Verilog or VHDL).

Main advantages: i) sharing of resources and ii) joint developments.

(increases collaboration, flexibility, reusability/interoperability, reduces costs, simplifies developments, may facilitates access managements, etc.)

IntroductionTraditional Weblab infrastructures - Architecture - Status and problems

Conclusions

Proposed solution - Architecture - Previous work - IEEE1451.0 Std. overview - Operational sequence - Development status

Page 19: Ricardo Costa  -  rjc@isep.ipp.pt Gustavo R. Alves -  gca@isep.ipp.pt

19/13

Ricardo Costa - [email protected] - http://www.dee.isep.ipp.pt/~rjc

Thanks for your attention !

Ricardo Jorge Guedes da Silva Nunes da CostaEmail: [email protected]: http://www.dee.isep.ipp.pt/~rjc

Acknowledgments: