extending the ieee1451.0 std. to serve distributed weblab architectures ricardo costa -...

17
Extending the IEEE1451.0 Std. to serve distributed weblab architectures Ricardo Costa - [email protected] Gustavo R. Alves - [email protected] Mário Zenha-Rela - [email protected] 1st Experiment@ International Conference Lisbon, Portugal 17 th – 18 th , November 2011

Upload: triston-cashmore

Post on 14-Dec-2015

217 views

Category:

Documents


1 download

TRANSCRIPT

Page 1: Extending the IEEE1451.0 Std. to serve distributed weblab architectures Ricardo Costa - rjc@isep.ipp.ptrjc@isep.ipp.pt Gustavo R. Alves - gca@isep.ipp.ptgca@isep.ipp.pt

Extending the IEEE1451.0 Std. to serve distributed weblab architectures

Ricardo Costa - [email protected] Gustavo R. Alves - [email protected]

Mário Zenha-Rela - [email protected]

1st Experiment@ International Conference

Lisbon, Portugal

17th – 18th, November 2011

Page 2: Extending the IEEE1451.0 Std. to serve distributed weblab architectures Ricardo Costa - rjc@isep.ipp.ptrjc@isep.ipp.pt Gustavo R. Alves - gca@isep.ipp.ptgca@isep.ipp.pt

2/16

Ricardo Costa - [email protected] - http://www.dee.isep.ipp.pt/~rjc

LabTEDSOperational sequence- Registration & Discovery & AccessThin implementationConclusions

IntroductionIEEE1451.0 Std. overview- Modules & Layers- TEDS structure - HTTP APIDistributed weblab architecture

Presentation outline

Introduction IEEE1451.0 Std. overview

– Modules & Layers– TEDS structure– HTTP API

Distributed weblab architecture LabTEDS Operational sequence

– Registration– Discovery– Access process (reconfiguration & logging)

Thin implementation Conclusions

Page 3: Extending the IEEE1451.0 Std. to serve distributed weblab architectures Ricardo Costa - rjc@isep.ipp.ptrjc@isep.ipp.pt Gustavo R. Alves - gca@isep.ipp.ptgca@isep.ipp.pt

3/16

Ricardo Costa - [email protected] - http://www.dee.isep.ipp.pt/~rjc

LabTEDSOperational sequence- Registration & Discovery & AccessThin implementationConclusions

IntroductionIEEE1451.0 Std. overview- Modules & Layers- TEDS structure - HTTP APIDistributed weblab architecture

Introduction

Engineering & Sciences courses require the adoption of good Teaching & Learning processes involving experimental and theoretical work components

Theoretical workExperimental work

- Traditional classes- Virtual classes VLE (Virtual Learning Environments)

- Traditional laboratories- Remote Laboratories (Weblabs)

Implemented using diferent architectures and APIs (Application Programming Interfaces)

Difficult Developments & Resource Sharing

Why ?

Global Online Laboratory Consortium

Page 4: Extending the IEEE1451.0 Std. to serve distributed weblab architectures Ricardo Costa - rjc@isep.ipp.ptrjc@isep.ipp.pt Gustavo R. Alves - gca@isep.ipp.ptgca@isep.ipp.pt

4/16

Ricardo Costa - [email protected] - http://www.dee.isep.ipp.pt/~rjc

LabTEDSOperational sequence- Registration & Discovery & AccessThin implementationConclusions

IntroductionIEEE1451.0 Std. overview- Modules & Layers- TEDS structure - HTTP APIDistributed weblab architecture

IEEE1451.0 Std. overview

Transducer Interface Module (TIM): controls a set of Transducer Channels (TCs), implementing commands and protocols, supported on information within Transducer Electronic Data Sheets (TEDSs).

Network Capable Application Processor (NCAP):performs network and TIM communications, data conversion and processing functions supported on Application Programming Interfaces (APIs).

Standard for network interface smart transducers (Sensors & Actuators)

Defined by another standard (e.g. IEEE1451.2 – point-to-point connection)

Internet TIMTIMTIMNCAP (…)

Transducer channels

TEDSTEDS

Main modules

Page 5: Extending the IEEE1451.0 Std. to serve distributed weblab architectures Ricardo Costa - rjc@isep.ipp.ptrjc@isep.ipp.pt Gustavo R. Alves - gca@isep.ipp.ptgca@isep.ipp.pt

5/16

Ricardo Costa - [email protected] - http://www.dee.isep.ipp.pt/~rjc

LabTEDSOperational sequence- Registration & Discovery & AccessThin implementationConclusions

IntroductionIEEE1451.0 Std. overview- Modules & Layers- TEDS structure - HTTP APIDistributed weblab architecture

IEEE1451.0 Std. overview

TIM(Transducer Interface Module)

TIMTransducer Interface Module

HTTP API (chapter 12)

Transducer services API (chapter 10)

Module Communication API (chapter 11)

Module Communication API (chapter 11)

Low level commands (chapter 7)

TEDSs - can be placed inside the transducer or

located remotly (chapter 8)

Control, access to the TEDs, control the

Transducer Channels, etc.

(…) Transducer (sensors

and actuators) channels

Defined by another standard (e.g. IEEE 1451.2 – connection point-to-point)

TEDS(Transducer Electronic Data

Sheet)

NCAPNetwork Capable

Application Processor

TEDSTransducer Electronic

Data Sheet

(…)

Modules & Layers

Page 6: Extending the IEEE1451.0 Std. to serve distributed weblab architectures Ricardo Costa - rjc@isep.ipp.ptrjc@isep.ipp.pt Gustavo R. Alves - gca@isep.ipp.ptgca@isep.ipp.pt

6/16

Ricardo Costa - [email protected] - http://www.dee.isep.ipp.pt/~rjc

LabTEDSOperational sequence- Registration & Discovery & AccessThin implementationConclusions

IntroductionIEEE1451.0 Std. overview- Modules & Layers- TEDS structure - HTTP APIDistributed weblab architecture

Length

Checksum

Type Length Value

(...)

4 octets

2 octets

data block

(n TLV)identification field

number of octets used by the field value

TEDS information

number of octets in the data block + 2 octets of the checksum

used to verify the integrity of each TEDS

IEEE1451.0 Std. overview TEDS structure (Transducer Electronic Data Sheet)

Page 7: Extending the IEEE1451.0 Std. to serve distributed weblab architectures Ricardo Costa - rjc@isep.ipp.ptrjc@isep.ipp.pt Gustavo R. Alves - gca@isep.ipp.ptgca@isep.ipp.pt

7/16

Ricardo Costa - [email protected] - http://www.dee.isep.ipp.pt/~rjc

LabTEDSOperational sequence- Registration & Discovery & AccessThin implementationConclusions

IntroductionIEEE1451.0 Std. overview- Modules & Layers- TEDS structure - HTTP APIDistributed weblab architecture

IEEE1451.0 Std. overview HTTP API

General format:http://<host>:<port>/<path>?<parameters>)

HTTP API:•Discovery: Discovers IEEE1451.x communications modules, TIMs and TCs;•TransducerAccess: Reads/Writes TCs;•TEDSManager: Reads/Writes TEDS and manage NCAP-side cached TEDS.•TransducerManager: Provides control functions over TIM accesses, e.g., send arbitrary low-level commands to it.

HTTP API (chapter 12)

Transducer services API (chapter 10)

Module Communication API (chapter 11)

Module Communication API (chapter 11)

Low level commands (chapter 7)

TEDSs - can be placed inside the transducer or

located remotly (chapter 8)

Control, access to the TEDs, control the

Transducer Channels, etc.

(…)

Defined by another standard (e.g. IEEE 1451.2 – connection point-to-point)

Page 8: Extending the IEEE1451.0 Std. to serve distributed weblab architectures Ricardo Costa - rjc@isep.ipp.ptrjc@isep.ipp.pt Gustavo R. Alves - gca@isep.ipp.ptgca@isep.ipp.pt

8/16

Ricardo Costa - [email protected] - http://www.dee.isep.ipp.pt/~rjc

LabTEDSOperational sequence- Registration & Discovery & AccessThin implementationConclusions

IntroductionIEEE1451.0 Std. overview- Modules & Layers- TEDS structure - HTTP APIDistributed weblab architecture

Distributed weblab architecture

NCAP TIM

NCAP

TIMInternet

LabTEDS

LabServer

TEDS

TIM

UUT

TEDS

UUT

TIMTEDS

LabTEDSaccessURIaccessURI

HDL

web interfaces

users Weblab infrastructures

New TEDS

Thin approach

Represents weblab instruments/modules (I&M) to be reconfigured in TIMs implemented using FPGA-based boards. "Work-in-progress on a thin IEEE1451.0-architecture to implement reconfigurable weblab infrastructures" Vol. 7, No. 3 (2011) of International Journal of Online Engineering (iJOE). ISSN: 1861-2121, November 2011 (already presented at REV'2011).

Map table

Page 9: Extending the IEEE1451.0 Std. to serve distributed weblab architectures Ricardo Costa - rjc@isep.ipp.ptrjc@isep.ipp.pt Gustavo R. Alves - gca@isep.ipp.ptgca@isep.ipp.pt

9/16

Ricardo Costa - [email protected] - http://www.dee.isep.ipp.pt/~rjc

LabTEDSOperational sequence- Registration & Discovery & AccessThin implementationConclusions

IntroductionIEEE1451.0 Std. overview- Modules & Layers- TEDS structure - HTTP APIDistributed weblab architecture

LabTEDS

An experiment may require several weblabs

Implemented as a thin or standard architecture (depends on the adopted APIs)

Weblab URI location

Log file URI location (assessment purposes)

Tex

t-ba

sed

TE

DS

Lab2go Metadata - Reference Model Specification

Page 10: Extending the IEEE1451.0 Std. to serve distributed weblab architectures Ricardo Costa - rjc@isep.ipp.ptrjc@isep.ipp.pt Gustavo R. Alves - gca@isep.ipp.ptgca@isep.ipp.pt

10/16

Ricardo Costa - [email protected] - http://www.dee.isep.ipp.pt/~rjc

LabTEDSOperational sequence- Registration & Discovery & AccessThin implementationConclusions

IntroductionIEEE1451.0 Std. overview- Modules & Layers- TEDS structure - HTTP APIDistributed weblab architecture

Operational Sequence

LabTEDS 1

Weblab infrastructuresLabServer

registration

accessURI 2

LabTEDS 2

accessURI 1

users

(...)

New IEEE1451.0 HTTP API functions and interfaces:

•NCAPRegister, to register or unregister NCAPs (new Register API interface);

•NCAPDiscovery, to discovery NCAPs (Discovery API interface);

•ReadLabTeds and WriteLabTeds, to read and write LabTEDS (TEDS manager API interface);

•ReadTIM and WriteTIM, to reconfigure weblab infrast. (new Reconfiguration API interface) and;

•ReadLog and WriteLog, to read/write a log file for assessment (new Log access API interface).

Overview

Page 11: Extending the IEEE1451.0 Std. to serve distributed weblab architectures Ricardo Costa - rjc@isep.ipp.ptrjc@isep.ipp.pt Gustavo R. Alves - gca@isep.ipp.ptgca@isep.ipp.pt

11/16

Ricardo Costa - [email protected] - http://www.dee.isep.ipp.pt/~rjc

LabTEDSOperational sequence- Registration & Discovery & AccessThin implementationConclusions

IntroductionIEEE1451.0 Std. overview- Modules & Layers- TEDS structure - HTTP APIDistributed weblab architecture

Operational SequenceRegistration

LabServerWeblab Infrastructure

NCAPRegister

pingresponse to ping

HDL

NCAPRegister

register/unregister weblab

Query weblabs is made periodicaly

weblab may be unregistered depending on the ping response

Process of register/unregister weblab infrastructures

LabTEDS 1

Weblab infrastructuresLabServer

registration

accessURI 2

LabTEDS 2

registration

accessURI 1

users

discovery

access(...)

Page 12: Extending the IEEE1451.0 Std. to serve distributed weblab architectures Ricardo Costa - rjc@isep.ipp.ptrjc@isep.ipp.pt Gustavo R. Alves - gca@isep.ipp.ptgca@isep.ipp.pt

12/16

Ricardo Costa - [email protected] - http://www.dee.isep.ipp.pt/~rjc

LabTEDSOperational sequence- Registration & Discovery & AccessThin implementationConclusions

IntroductionIEEE1451.0 Std. overview- Modules & Layers- TEDS structure - HTTP APIDistributed weblab architecture

Operational SequenceDiscovery

Using NCAPDiscovery and ReadLabTeds functions to access registered weblabs infrastructures

users

NCAPDiscovery

LabServerWeblab Infrastructure

IP addressport number

ReadLabTedsresponseData

WeblabAccess

NCAPDiscovery

ReadLabTedsresponseData

getWebpageretriveWebpage

WeblabAccess

a) U

ser

sid

eb

) L

abS

erve

r si

de

LabTEDS 1

Weblab infrastructuresLabServer

registration

accessURI 2

LabTEDS 2

registration

accessURI 1

users

discovery

access(...)

Page 13: Extending the IEEE1451.0 Std. to serve distributed weblab architectures Ricardo Costa - rjc@isep.ipp.ptrjc@isep.ipp.pt Gustavo R. Alves - gca@isep.ipp.ptgca@isep.ipp.pt

13/16

Ricardo Costa - [email protected] - http://www.dee.isep.ipp.pt/~rjc

LabTEDSOperational sequence- Registration & Discovery & AccessThin implementationConclusions

IntroductionIEEE1451.0 Std. overview- Modules & Layers- TEDS structure - HTTP APIDistributed weblab architecture

Operational SequenceAccess (reconfiguration & logging)

Reconfiguring Weblab:• WriteTIM/ReadTIM for accessing the I&M in the TIM

LabTEDS 1

Weblab infrastructuresLabServer

registration

accessURI 2

LabTEDS 2

registration

accessURI 1

users

discovery

access(...)

users LabServerWeblab Infrastructure

ReadLabTedsresponseData (technical information)

get I&MWriteTIM

HDL

ReadTIMI&M response

Log file xml schema contents format

Logging:•Activated in LabTEDS field 13 (WriteLabTeds)•ReadLog and WriteLog functions to read/write the Log file.

Page 14: Extending the IEEE1451.0 Std. to serve distributed weblab architectures Ricardo Costa - rjc@isep.ipp.ptrjc@isep.ipp.pt Gustavo R. Alves - gca@isep.ipp.ptgca@isep.ipp.pt

14/16

Ricardo Costa - [email protected] - http://www.dee.isep.ipp.pt/~rjc

LabTEDSOperational sequence- Registration & Discovery & AccessThin implementationConclusions

IntroductionIEEE1451.0 Std. overview- Modules & Layers- TEDS structure - HTTP APIDistributed weblab architecture

Cross-map functions with low-level commands

HTTP API (chapter 12)

Transducer services API (chapter 10)

Module Communication API (chapter 11)

Module Communication API (chapter 11)

Low level commands (chapter 7)

TEDSs - can be placed inside the transducer or

located remotly (chapter 8)

Control, access to the TEDs, control the

Transducer Channels, etc.

(…)

Defined by another standard (e.g. IEEE 1451.2 – connection point-to-point)

Thin implementation

Page 15: Extending the IEEE1451.0 Std. to serve distributed weblab architectures Ricardo Costa - rjc@isep.ipp.ptrjc@isep.ipp.pt Gustavo R. Alves - gca@isep.ipp.ptgca@isep.ipp.pt

15/16

Ricardo Costa - [email protected] - http://www.dee.isep.ipp.pt/~rjc

LabTEDSOperational sequence- Registration & Discovery & AccessThin implementationConclusions

IntroductionIEEE1451.0 Std. overview- Modules & Layers- TEDS structure - HTTP APIDistributed weblab architecture

Conclusions

Currently there is no standard solution for implementing weblab architectures.

The IEEE1451.0 Std. may be a solution if its features are extended, namely:• Using a new TEDS (LabTEDS) – Provides information about each

weblab infrastructure;• Defining new HTTP API functions and interfaces – Allows accessing

specific weblab features (e.g. access LabTEDS information and logging files, and reconfigure weblab infrastructures);

• Creating a Thin architecture – for single NCAP-TIM implementations it simplifies developments and avoids overloading NCAP/TIM modules.

Therefore, a standard solution based on the proposed architecture, that uses standard APIs and a common architecture, may bring advantages, promoting easier developments & more resources sharing.

Page 16: Extending the IEEE1451.0 Std. to serve distributed weblab architectures Ricardo Costa - rjc@isep.ipp.ptrjc@isep.ipp.pt Gustavo R. Alves - gca@isep.ipp.ptgca@isep.ipp.pt

16/16

Ricardo Costa - [email protected] - http://www.dee.isep.ipp.pt/~rjc

LabTEDSOperational sequence- Registration & Discovery & AccessThin implementationConclusions

IntroductionIEEE1451.0 Std. overview- Modules & Layers- TEDS structure - HTTP APIDistributed weblab architecture

Thanks for your attention !

Ricardo Jorge Guedes da Silva Nunes da CostaEmail: [email protected]: http://www.dee.isep.ipp.pt/~rjc

Acknowledgments:

Page 17: Extending the IEEE1451.0 Std. to serve distributed weblab architectures Ricardo Costa - rjc@isep.ipp.ptrjc@isep.ipp.pt Gustavo R. Alves - gca@isep.ipp.ptgca@isep.ipp.pt

17/16

Ricardo Costa - [email protected] - http://www.dee.isep.ipp.pt/~rjc

LabTEDSOperational sequence- Registration & Discovery & AccessThin implementationConclusions

IntroductionIEEE1451.0 Std. overview- Modules & Layers- TEDS structure - HTTP APIDistributed weblab architecture

Lab server

Instrumentation bus

Instruments & Modules

(I&M)

Instruments & Modules

(I&M)

Instruments & Modules

(I&M)Robots

Unit(s) Under Test (experiment)

Instrumentation server

( … )

- replaced by FPGA-based board(s);- the I&Ms will be developed using HDLs (Hardware Description Languages) following the IEEE1451.0 Std..

Extra slide