presentation title style – option...

147
Confidential. © 2019 Informa Tech LLC 経済産業省 御中

Upload: others

Post on 21-Sep-2020

1 views

Category:

Documents


0 download

TRANSCRIPT

Page 1: Presentation title style – option 1(1)日本、米国、中国、欧州、台湾、韓国等の国・地域における主要な装置、主要原料、主要部品の生産・販売状況の調査

Confidential. © 2019 Informa Tech LLC

経済産業省 御中

Page 2: Presentation title style – option 1(1)日本、米国、中国、欧州、台湾、韓国等の国・地域における主要な装置、主要原料、主要部品の生産・販売状況の調査

Confidential. © 2019 Informa Tech LLC

2

目次

はじめに事業目的及び事業内容・事業目的及び事業内容 P.3

(0)世界電子機器市場の状況(世界的な市場規模と将来予測) P.4・世界の半導体市場規模・供給能力の将来展望 P.5・世界のFoundry市場全体 P.6・半導体産業におけるサプライチェーン P.7・半導体製造装置のフローチャート P.8

(1)日本、米国、中国、欧州、台湾、韓国等の国・地域における主要な装置、主要原料、主要部品の生産・販売状況の調査 P.9半導体装置世界市場 P.10主要半導体製造装置の消費地域別シェア P.11-P.18主要半導体製造装置ベンダ国籍別シェア P.19-P.22主要半導体製造装置の販売状況および生産拠点の状況 P.23-P.33主要原料・主要部品の販売状況および生産拠点の状況 P.34-P.71

(2)主要装置・原料・部品のサプライチェーンの調査 P.72-P.79(3)中国・台湾を含む3ケ国・地域における半導体を中心とする電子デバイス製造産業の現地動向調査 P.80

中国の半導体設計産業 P.81-P.85半導体産業への投資・M&A P.86-P.97製造装置の国産化状況 P.98各国企業と中国企業の技術蓄積状況の比較 P.99最近の韓国・台湾半導体事業環境 P.100-P.103最近の中国の半導体事業環境 P.104-P.105

(4)エレクトロニクス製品に搭載されている部品・素子等の搭載状況に関する調査・分析 P.106-P.146

Page 3: Presentation title style – option 1(1)日本、米国、中国、欧州、台湾、韓国等の国・地域における主要な装置、主要原料、主要部品の生産・販売状況の調査

Confidential. © 2019 Informa Tech LLC

はじめに:事業目的及び事業内容事業目的

本調査では、マイクロエレクトロニクス産業における世界の技術動向や競争環境(開発方向性等。)に関する情報を収集し、将来を見据え、より詳細な研究基盤・生産基盤の把握につなげることを目的とする。

事業内容

以下の項目について、国内外の半導体の製造技術、半導体を用いた機器等のそれぞれの分野における技術動向調査を、文献調査、ヒアリング調査、現地調査、実機調査等により行うものとする。

◼ 日本、米国、中国、欧州、台湾、韓国等の各国・各地域における主要な装置(エッチング、リソグラフィ、パッケージング装置等。)、主要原料(ガス、液体、研磨剤等。)、主要部品(静電チャック、流体制御機器等。)の生産・販売状況の調査を行う。生産状況・販売状況の調査にあたっては、市場単位での各企業の動向も調査する。

◼ 主要原料・主要部品のサプライチェーンを調査する。

◼ 指定した製品について、カタログ等に基づいて各企業の製品上市状況をまとめるとともに、指定した製品に用いられている主要部品の提供者等を調査する。

◼ 中国・台湾を含む3カ国・地域における半導体を中心とする電子デバイス製造産業の、現地調査を実施する。

◼ エレクトロニクス製品に搭載されている部品・素子等の調査・分析し、その結果を踏まえて当該製品を構成する 技術要素に用いられている素子の搭載状況を調査し、実製品に対する各企業の部品の搭載状況を調査する。

3

Page 4: Presentation title style – option 1(1)日本、米国、中国、欧州、台湾、韓国等の国・地域における主要な装置、主要原料、主要部品の生産・販売状況の調査

Confidential. © 2019 Informa Tech LLC

4

世界電子機器市場の状況(市場規模と将来予測)• 世界の電子機器市場は拡大を継続しており、それを支える半導体産業の重要性はますます重要になっている。本調査では、半導体産業における世界の技術動向や競争環境(開発方向性等。)に関する情報を収集し、将来を見据え、より詳細な研究基盤・生産基盤の把握につなげることを目的とする。

出典:インフォーマ

Page 5: Presentation title style – option 1(1)日本、米国、中国、欧州、台湾、韓国等の国・地域における主要な装置、主要原料、主要部品の生産・販売状況の調査

Confidential. © 2019 Informa Tech LLC

5

世界の半導体市場規模・供給能力の将来展望• 世界の半導体市場は2019年にマイナス成長になったが、過去もリーマンショックなどを経験しながらも拡大を継続している。今後は半導体センサーやイメージセンサーのような光半導体の成長が期待されている。

• 近年、メモリは微細化から3D化へ技術開発が変化しているためエッチング技術の重要性が高くなっている。また、ロジックでは7nm以下の微細加工ではEUV露光装置が必要になってきており、レジストなど材料開発の重要性が高くなってきた。

出典:インフォーマ

Page 6: Presentation title style – option 1(1)日本、米国、中国、欧州、台湾、韓国等の国・地域における主要な装置、主要原料、主要部品の生産・販売状況の調査

Confidential. © 2019 Informa Tech LLC

6

世界のFoundry市場全体• 世界のFoundry市場は成長を続け、2023年には、US80,000M$を超えると予想している

• Pure Play Foundry 注1市場は、2019年でUS53,000M$となり、IDM 注2 Foundry市場はUS6,100M$であった。今後はPure play Foundry市場の成長が全体をけん引するとみている。

7,798 M$

73,671 M$

-30.0%

-20.0%

-10.0%

0.0%

10.0%

20.0%

30.0%

40.0%

50.0%

60.0%

0

10,000

20,000

30,000

40,000

50,000

60,000

70,000

80,000

90,000

2007 2008 2009 2010 2011 2012 2013 2014 2015 2016 2017 2018 2019 2020 2021 2022 2023

Total Foundry Revenue

IDM Foundry Revenue Pure Play Foundry Revenue % Growth Total Foundry Revenue

(M$)

Total:US81,469M$

出典:インフォーマ

注1) IDMとは、Integrated Device Manufacturerの略で「垂直統合型デバイスメーカー」

注2) Pure play Foundryとは、 Fab(fabrication facility、製造工場)を持たないメーカーから製造を請け負う生産工場

Page 7: Presentation title style – option 1(1)日本、米国、中国、欧州、台湾、韓国等の国・地域における主要な装置、主要原料、主要部品の生産・販売状況の調査

Confidential. © 2019 Informa Tech LLC

7

シリコンウエハ2018年:119億ドル

半導体製造装置:(前工程)2018年:521億ドル

半導体製造装置:(後工程)2018年:94億ドル

半導体(IC・その他)2018年:4,850億ドル

(その他設備・製品)2018年:30億ドル

半導体製造の一連の流れと出荷金額に見る市場規模

フォトマスク・ぺリクル2018年:40億ドル

半導体材料:2018年:519億ドルガス:バルクガス、特殊材料ガス

前工程材料:レジスト、 CMPスラリ、ターゲット材、etc.

後工程材料:リードフレーム、ボンディングワイヤー etc.

リソグラフィ:110億ドル

熱処理・成膜:161億ドル

エッチング・洗浄:198億ドル

テスタ:37億ドル

半導体産業におけるサプライチェーン

• 半導体産業におけるサプライチェーンでは、製造装置、材料の市場規模が大きい。製造装置では回路形成の中心的なプロセスであるリソグラフィ、成膜・熱処理、エッチング・洗浄装置の規模が特に目立つ。

出典:各社決算等資料をもとにインフォーマ作成

Page 8: Presentation title style – option 1(1)日本、米国、中国、欧州、台湾、韓国等の国・地域における主要な装置、主要原料、主要部品の生産・販売状況の調査

Confidential. © 2019 Informa Tech LLC

半導体製造のフローチャート

工程材料:CMPスラリ・パッドEtc.

電子材料:ボンディングワイヤーリードフレームEtc.

• 半導体製造プロセスではリソグラフィ、成膜・熱処理、エッチングが回路形成の中心的なプロセスとなっている。

• シリコンウエハが基板材料、回路原板であるフォトマスク、その他電子材料、工程材料が各工程で使われる。

電子材料:フォトレジスト

8

Page 9: Presentation title style – option 1(1)日本、米国、中国、欧州、台湾、韓国等の国・地域における主要な装置、主要原料、主要部品の生産・販売状況の調査

Confidential. © 2020 Informat Tech LLC

(1)日本、米国、中国、欧州、台湾、韓国等の各国・各地域における主要な装置、主要原料、主要部品の生産・販売状況の調査

装置:

• ウエハ製造工程

• マスク製造工程

• 前工程

• 後工程

• 自動化設備

• 真空系

• その他

材料:

• 電子材料

• その他部材

9

Page 10: Presentation title style – option 1(1)日本、米国、中国、欧州、台湾、韓国等の国・地域における主要な装置、主要原料、主要部品の生産・販売状況の調査

Confidential. © 2019 Informa Tech LLC

10

(1)半導体製造装置の世界市場(製造装置全体)

0

10

20

30

40

50

60

70

2014 2015 2016 2017 2018

半導体製造装置地域別販売金額

韓国 台湾 中国 日本 北米 欧州 その他

(10億ドル)

• 半導体製造装置市場は645億米ドル(2018年)。

• 消費地としては、韓国、台湾、北米が大きく、中国市場がここ数年間拡大している。

韓国27%

台湾16%

中国20%

日本15%

北米9%

欧州7%

その他6%

半導体製造装置消費地域別シェア

2018年:645億ドル

出典:各社決算等資料をもとにインフォーマ作成

Page 11: Presentation title style – option 1(1)日本、米国、中国、欧州、台湾、韓国等の国・地域における主要な装置、主要原料、主要部品の生産・販売状況の調査

Confidential. © 2019 Informa Tech LLC

11

(1)半導体製造装置の世界市場消費地域別シェア(フォトリソ工程1)

日本7%

米国14%

韓国36%

台湾19%

欧州5%

中国18%

その他

アジア1%

露光装置消費地域別シェア コータ/デベロッパ消費地域別シェア

日本15%

米国12%

韓国35%

台湾12%

欧州7%

中国14%

その他

アジア5%

2018年:2,677億円

2018年:1兆852億円

出典:各社決算等資料をもとにインフォーマ作成

Page 12: Presentation title style – option 1(1)日本、米国、中国、欧州、台湾、韓国等の国・地域における主要な装置、主要原料、主要部品の生産・販売状況の調査

Confidential. © 2019 Informa Tech LLC

12

(1)半導体製造装置の世界市場消費地域別シェア(ウエハ検査工程)

ウエハ欠陥検査装置消費地域別シェア

日本17%

米国13%

韓国30%

台湾12%

欧州8%

中国17%

その他

アジア3%

2018年:4,036億円

出典:各社決算等資料をもとにインフォーマ作成

Page 13: Presentation title style – option 1(1)日本、米国、中国、欧州、台湾、韓国等の国・地域における主要な装置、主要原料、主要部品の生産・販売状況の調査

Confidential. © 2019 Informa Tech LLC

13

(1)半導体製造装置の世界市場消費地域別シェア(成膜工程)

成膜装置(メタルPVD/CVD)消費地域別シェア成膜装置(CVD/ALD)消費地域別シェア

日本14%

米国9%

韓国32%

台湾14%

欧州7%

中国20%

その他

アジア4%

2018年:10,001億円

日本15%

米国8%

韓国29%台湾

15%

欧州6%

中国23%

その他

アジア4%

2018年:4,099億円

出典:各社決算等資料をもとにインフォーマ作成

Page 14: Presentation title style – option 1(1)日本、米国、中国、欧州、台湾、韓国等の国・地域における主要な装置、主要原料、主要部品の生産・販売状況の調査

Confidential. © 2019 Informa Tech LLC

14

(1)半導体製造装置の世界市場消費地域別シェア(熱処理工程)

日本12%

米国10%

韓国33%

台湾14%

欧州5%

中国23%

その他

アジア3%

熱処理装置消費地域別シェア

2018年:1,928億円

出典:各社決算等資料をもとにインフォーマ作成

Page 15: Presentation title style – option 1(1)日本、米国、中国、欧州、台湾、韓国等の国・地域における主要な装置、主要原料、主要部品の生産・販売状況の調査

Confidential. © 2019 Informa Tech LLC

15

(1)半導体製造装置の世界市場消費地域別シェア(エッチング工程・洗浄工程)

日本13%

米国18%

韓国35%

台湾13%

欧州7%

中国11%

その他

アジア3%

日本19%

米国10%

韓国28%

台湾14%

欧州5%

中国19%

その他

アジア5%

エッチング装置消費地域別シェア 洗浄装置消費地域別シェア

2018年:5,200億円

2018年:13,893億円

出典:各社決算等資料をもとにインフォーマ作成

Page 16: Presentation title style – option 1(1)日本、米国、中国、欧州、台湾、韓国等の国・地域における主要な装置、主要原料、主要部品の生産・販売状況の調査

Confidential. © 2019 Informa Tech LLC

16

(1)半導体製造装置の世界市場消費地域別シェア(検査工程2:ICテスト)

日本17%

米国9%

韓国17%

台湾29%

欧州6%

中国14%

その他

アジア8%

日本7%

米国7%

韓国15%

台湾21%

欧州5%

中国27%

その他

アジア18%

ICハンドラ消費地域別シェア ウエハ プローバ消費地域別シェア

2018年:1,066億円

2018年:953億円

出典:各社決算等資料をもとにインフォーマ作成

Page 17: Presentation title style – option 1(1)日本、米国、中国、欧州、台湾、韓国等の国・地域における主要な装置、主要原料、主要部品の生産・販売状況の調査

Confidential. © 2019 Informa Tech LLC

17

(1)半導体製造装置の世界市場消費地域別シェア(検査工程3:ICテスタ)

日本9%

米国10%

韓国17%

台湾25%

欧州5%

中国21%

その他

アジア13%

ICテスタ消費地域別シェア

2018年:4,054億円

出典:各社決算等資料をもとにインフォーマ作成

Page 18: Presentation title style – option 1(1)日本、米国、中国、欧州、台湾、韓国等の国・地域における主要な装置、主要原料、主要部品の生産・販売状況の調査

Confidential. © 2019 Informa Tech LLC

(1)半導体製造装置の世界市場売上高シェア(製造装置全体)

• 1、2位に米国と欧州企業が並び、次いで日本企業が売上高上位に入っている。

• 2018年の日系企業のシェアは32%と推定される。

18

米国A

22%

欧州A

20%

日本A

17%

米国B

17%

米国C

6%

日本B

4%

日本C

3%

その他11%

シリコンウエハ消費地域別シェア

日系企業32%

海外企業68%

日系v外資系販売金額シェア (2018)

2018年:645億ドル

2018年:645億ドル

出典:各社決算等資料をもとにインフォーマ作成

Page 19: Presentation title style – option 1(1)日本、米国、中国、欧州、台湾、韓国等の国・地域における主要な装置、主要原料、主要部品の生産・販売状況の調査

Confidential. © 2019 Informa Tech LLC

19

(1)半導体製造装置の世界市場ベンダ国籍別シェア(2018年)(フォトリソグラフィ)

84%

14%2%

露光装置シェア

EU JP US

10,852

億円

欧州(84%)

日本(14%)

米国(2%)

83%

13%4%

コータ/デベロッパシェア

JP KR

日本(83%)

韓国(14%)その他(2%)

2,677

億円

79%

9%

7%2%

3%

ウエハ欠陥検査シェア

US EU JP IL Others

米国(79%)EU(9%)

アイルランド(2%) その他(3%)

JP(7%)

4,036

億円

61%

35%

4%

外観検査測長SEMシェア

JP US Others

749

億円

日本(61%)米国(35%)

その他(4%)

出典:各社決算等資料をもとにインフォーマ作成

Page 20: Presentation title style – option 1(1)日本、米国、中国、欧州、台湾、韓国等の国・地域における主要な装置、主要原料、主要部品の生産・販売状況の調査

Confidential. © 2019 Informa Tech LLC

20

(1)半導体製造装置の世界市場ベンダ国籍別シェア(2018年)(フォトリソグラフィ)

51%

24%

10%

15%

CVDシェア

US JP EU Others

米国(84%)

日本(24%)

欧州(7%)

その他(15%)

76%

14%

6%4%

メタルPVD/CVDシェア

US JP KR Others

10,001

億円

4,099

億円

50%46%

4%

熱処理装置シェア

US JP Others

米国(76%)

日本(14%)

韓国(6%)その他(4%)

米国(50%)日本(46%)

その他(4%)

1,928

億円

出典:各社決算等資料をもとにインフォーマ作成

Page 21: Presentation title style – option 1(1)日本、米国、中国、欧州、台湾、韓国等の国・地域における主要な装置、主要原料、主要部品の生産・販売状況の調査

Confidential. © 2019 Informa Tech LLC

21

(1)半導体製造装置の世界市場ベンダ国籍別シェア(2018年)(エッチング、洗浄ほか)

64%

32%

4%

エッチング装置シェア

US JP Others

58%25%

13%4%

洗浄装置シェア

JP KR US Others

50%

36%

11%3%

CMPシェア

US JP KR Others

82%

18%

イオン注入装置シェア

US JP

13,893

億円

5,200

億円

2,036

億円

1,575

億円

米国(64%)

その他(4%)

日本(32%)

韓国(25%)

日本(36%)

日本(18%)

米国(50%)

米国(82%)

韓国(11%)

米国(13%)

その他(3%)

出典:各社決算等資料をもとにインフォーマ作成

日本(58%)

Page 22: Presentation title style – option 1(1)日本、米国、中国、欧州、台湾、韓国等の国・地域における主要な装置、主要原料、主要部品の生産・販売状況の調査

Confidential. © 2019 Informa Tech LLC

22

(1)半導体製造装置の世界市場ベンダ国籍別シェア(2018年)(組立工程)

90%

10%

ダイシングソーシェア

JP Others

65%

21%

1%

13%

ダイチップボンディングシェア

EU JP US Others

50%

39%

7%4%

ワイヤボンディングシェア

US EU JP Others

日本(90%)

欧州(58%)

米国(50%)

その他(10%)

日本(21%)

欧州(39%)

日本(7%)

米国(1%)

その他(4%)

その他(13%)

797

億円

1,261

億円

1,809

億円

出典:各社決算等資料をもとにインフォーマ作成

Page 23: Presentation title style – option 1(1)日本、米国、中国、欧州、台湾、韓国等の国・地域における主要な装置、主要原料、主要部品の生産・販売状況の調査

Confidential. © 2019 Informa Tech LLC

23

(1)主要半導体製造装置の販売状況1-1. フォトリソグラフィ工程:露光装置

• リソグラフィ装置には、スキャン、ステッパ、電子ビーム直描装置などがある。微細化の要求からg線(436nm)、i線(365nm)から、KrF(248nm)、ArF(193nm)とより波長の短いエキシマレーザが使用されるようになった。

• 露光方式もレチクルを5分の1に縮小露光するステッパ方式から、レチクルとウエハを縮小倍率の比で走査してスリット露光させるスキャン方式が普及している。ArF液浸が伸びた理由は、32nmノード以降で微細化が進んだためである。

• EUV(Extream Ultra-Violet;13.5nm)は、光源やマスク作成に課題があったが、2019年には量産にこぎ着けた。

露光装置市場;装置別推移 露光装置市場;ベンダー別シェア

出典:各社決算等資料をもとにインフォーマ作成

Page 24: Presentation title style – option 1(1)日本、米国、中国、欧州、台湾、韓国等の国・地域における主要な装置、主要原料、主要部品の生産・販売状況の調査

Confidential. © 2019 Informa Tech LLC

24

• コータ/デベロッパは、ウエハ上にレジストをスピンで塗布し、ベーキング、露光、現像などを連続的に処理する装置である。

• 日本企業のシェアが全体的に高いが、韓国・中国・台湾市場には韓国企業の参入がみられている。

(1)主要半導体製造装置の販売状況フォトリソグラフィ工程:コータ/デベロッパ

コータ/デベロッパ市場推移

0

500

1,000

1,500

2,000

2,500

3,000

2015 2016 2017 2018 2019(予)

(億円)

アジア他 中国 欧州 台湾 韓国 米国 日本

コータ/デベロッパ:消費地域別ベンダシェア

出典:各社決算等資料をもとにインフォーマ作成

Page 25: Presentation title style – option 1(1)日本、米国、中国、欧州、台湾、韓国等の国・地域における主要な装置、主要原料、主要部品の生産・販売状況の調査

Confidential. © 2019 Informa Tech LLC

25

(1)主要半導体製造装置の販売状況成膜装置

• 3D‐NANDメモリや多層ロジックIC向けの需要を中心として、縦型CVDやプラズマCVDでは薄膜化による高精度な膜厚制御、Low-k, high-k材への対応が進んでいる。

• ALDによる均一な成膜の需要も増加している。

出典:各社決算等資料をもとにインフォーマ作成

Page 26: Presentation title style – option 1(1)日本、米国、中国、欧州、台湾、韓国等の国・地域における主要な装置、主要原料、主要部品の生産・販売状況の調査

Confidential. © 2019 Informa Tech LLC

26

(1)主要半導体製造装置の販売状況成膜装置

• ALDに注力している欧州企業のシェアが同カテゴリで高い。

• プラズマCVD、縦型CVDでは米国と日本企業のシェアが高い。

成膜装置;装置別ベンダ売上高 成膜装置;装置別ベンダ売上高シェア

出典:各社決算等資料をもとにインフォーマ作成

Page 27: Presentation title style – option 1(1)日本、米国、中国、欧州、台湾、韓国等の国・地域における主要な装置、主要原料、主要部品の生産・販売状況の調査

Confidential. © 2019 Informa Tech LLC

27

• エッチング装置には絶縁膜エッチング装置、ゲートエッチング装置、メタルエッチング装置がある。

• 2018年のエッチング装置の市場規模は、前年比12%増の1兆3,893億円と成長した。特に、3D NANDへの投資が市場を牽引、2016年比では66%増となった。

• 装置別のメーカーシェアでは、米国企業と日本企業の3社による寡占化が進んでいる。

(1)主要半導体製造装置の販売状況エッチング装置

エッチング装置;装置別推移

0

1,000

2,000

3,000

4,000

5,000

6,000

7,000

絶縁膜エッチング ゲートエッチング メタルエッチング

(億円)

2015 2016 2017 2018 2019(予)

出典:各社決算等資料をもとにインフォーマ作成

Page 28: Presentation title style – option 1(1)日本、米国、中国、欧州、台湾、韓国等の国・地域における主要な装置、主要原料、主要部品の生産・販売状況の調査

Confidential. © 2019 Informa Tech LLC

0 1,000 2,000 3,000 4,000 5,000 6,000 7,000

絶縁膜エッチング

ゲートエッチング

メタルエッチング

(億円)

JP1 US1 US2

28

(1)主要半導体製造装置の販売状況エッチング装置

• ゲートエッチングとメタルエッチングでは米国企業の売上高シェアが高く、日本企業は絶縁膜エッチングでシェアが高い。

エッチング装置;装置別市場

0% 20% 40% 60% 80% 100%

絶縁膜エッチング

ゲートエッチング

メタルエッチング

Total

2018年

JP1 US1 US2 JP2 US3 Other

エッチング装置;装置別ベンダ売上高シェア

出典:各社決算等資料をもとにインフォーマ作成

Page 29: Presentation title style – option 1(1)日本、米国、中国、欧州、台湾、韓国等の国・地域における主要な装置、主要原料、主要部品の生産・販売状況の調査

Confidential. © 2019 Informa Tech LLC

0% 20% 40% 60% 80% 100%

日本

米国

韓国

台湾

欧州

中国

2018年

米国A 日本A 米国B 日本B 米国C その他

29

(1)主要半導体製造装置の販売状況エッチング装置

• 装置別のメーカーシェアでは、多くの消費地において米国企業と日本企業の3社による寡占化が進んでいる。

エッチング装置消費地域別ベンダシェア

日本19%

米国10%

韓国28%

台湾14%

欧州5%

中国19%

その他5%

エッチング装置消費地域別シェア

2018年:

1兆3,893億円

出典:各社決算等資料をもとにインフォーマ作成

Page 30: Presentation title style – option 1(1)日本、米国、中国、欧州、台湾、韓国等の国・地域における主要な装置、主要原料、主要部品の生産・販売状況の調査

Confidential. © 2019 Informa Tech LLC

30

主要企業 生産地

日本 キヤノン 日本で組み立て、納入先企業の拠点で最終調整などエンジニアリング

ニコン 日本で組み立て、納入先企業の拠点で最終調整などエンジニアリング

オランダ ASMLオランダ・米国・台湾で組み立て納入先企業の拠点で最終調整などエンジニアリング

半導体露光装置におけるサプライチェーン:各国企業の生産地

(1)主要装置の生産拠点の状況露光装置

• 主力企業は主に自国内で生産しているが、最大手のASMLは大きな需要地での生産体制を拡充している。

出典:インフォーマ

Page 31: Presentation title style – option 1(1)日本、米国、中国、欧州、台湾、韓国等の国・地域における主要な装置、主要原料、主要部品の生産・販売状況の調査

Confidential. © 2019 Informa Tech LLC

31

主要企業 生産地

米国 KLA-Tencor 米国(モジュールは各国に製造委託)

日本 日立ハイテクノロジーズ 日本

レーザーテック 日本

外観検査装置・測長SEMにおけるサプライチェーン:各国企業の生産地

(1)主要装置の生産拠点の状況ウエハ欠陥検査装置

• 主力企業は自国内で製造、ユーザー企業の拠点の近隣にサポート拠点を持ち、設置およびその後のサポートを行っている。

出典:インフォーマ

Page 32: Presentation title style – option 1(1)日本、米国、中国、欧州、台湾、韓国等の国・地域における主要な装置、主要原料、主要部品の生産・販売状況の調査

Confidential. © 2019 Informa Tech LLC

32

主要企業 生産地

米国 Applied Materials 米国・欧州・シンガポール

LAM Research 米国・欧州・韓国

日本 東京エレクトロン 日本

オランダ ASM International 欧州・シンガポール

半導体成膜装置におけるサプライチェーン:各国企業の生産地

(1)主要装置の生産拠点の状況成膜装置・エッチング装置

• 日本企業は国内で生産し、販売地での設置、その後のサポート体制が主流となっている。

• 海外企業は自国内に加えて、米国やアジアの需要地に近い地域で生産、販売を行っている。

出典:インフォーマ

Page 33: Presentation title style – option 1(1)日本、米国、中国、欧州、台湾、韓国等の国・地域における主要な装置、主要原料、主要部品の生産・販売状況の調査

Confidential. © 2019 Informa Tech LLC

33

主要企業 生産地

米国 Teradyne 米国・日本・中国

Xcerra アジア(ボードアセンブリを中心に委託生産)

日本 アドバンテスト 日本・アジア

半導体テスタ装置におけるサプライチェーン:各国企業の生産地

(1)主要装置の生産拠点の状況半導体テスタ

• 米国の主力企業は自国内に加えて、米国やアジアの需要地に近い地域で生産、販売を行っている。

• 日本企業は日本およびアジアで生産、販売を行っている。

出典:インフォーマ

Page 34: Presentation title style – option 1(1)日本、米国、中国、欧州、台湾、韓国等の国・地域における主要な装置、主要原料、主要部品の生産・販売状況の調査

Confidential. © 2019 Informa Tech LLC

北米29%

欧州3%

日本7%台湾

16%

韓国35%

中国10%

シリコンウエハ消費地域別シェア

34

(1)主要原料・主要部品の販売状況シリコンウエハ

• シリコンウエハ市場の消費地域は、Intel、Samsung、TSMCの製造拠点が含まれる北米、韓国、台湾のシェアが高い。

• 消費面積では2012年以降12インチが相対的に高成長の傾向にある。

2018年:

119億ドル

出典:インフォーマ

2019年以降予測

Page 35: Presentation title style – option 1(1)日本、米国、中国、欧州、台湾、韓国等の国・地域における主要な装置、主要原料、主要部品の生産・販売状況の調査

Confidential. © 2019 Informa Tech LLC

35

(1)主要原料・主要部品の販売状況シリコンウエハ

• シリコンウエハ市場では、日本企業がIntelやSamsungをはじめとした最先端の製造能力を有する 半導体メーカーへハイエンド品を供給しているため、世界市場における合計シェアが50%以上と高い。

日本55%

台湾20%

ドイツ14%

韓国10%

その他1%

シリコンウエハ売上高ベンダ国籍別シェア

出典:インフォーマ

Page 36: Presentation title style – option 1(1)日本、米国、中国、欧州、台湾、韓国等の国・地域における主要な装置、主要原料、主要部品の生産・販売状況の調査

Confidential. © 2019 Informa Tech LLC

36

半導体シリコンウエハにおけるサプライチェーン:各国企業の生産地

(1)主要原料・主要部品の生産拠点の状況シリコンウエハ

主要企業 生産地

日本 信越半導体 日本・マレーシア・台湾・欧州・米国

SUMCO 日本・台湾

フェローテック 中国

ドイツ Siltronic 米国・欧州・シンガポール

韓国 SK Siltron 韓国

台湾 Global Wafers 日本・台湾・米国・欧州

Wafer Works 中国・台湾

• 多くの主力企業は自国内に加えて、米国やアジアの需要地に近い地域で生産を行っている。

出典:インフォーマ

Page 37: Presentation title style – option 1(1)日本、米国、中国、欧州、台湾、韓国等の国・地域における主要な装置、主要原料、主要部品の生産・販売状況の調査

Confidential. © 2019 Informa Tech LLC

0

1000

2000

3000

4000

2012 2013 2014 2015 2016 2017 2018

37

(1)主要原料・主要部品の販売状況フォトマスク

• フォトマスク市場ではIntel、Samsung、TSMCなど大手半導体メーカーの内製が約60%を占め、外販市場は需要全体の40%程度とされる。

• 外販市場では、半導体メーカーと先端品の開発や供給で協業関係を築き、内製能力の不足に対してカバーする能力を持つ日系企業のシェアが高い。

半導体フォトマスク世界市場(百万ドル)

日本A

10%

日本B

10%

米国9%

台湾2%

その他2%

日本C

3%

内製64%

半導体フォトマスク市場世界シェア

2018年:40億ドル

出典:各社決算等資料をもとにインフォーマ作成

Page 38: Presentation title style – option 1(1)日本、米国、中国、欧州、台湾、韓国等の国・地域における主要な装置、主要原料、主要部品の生産・販売状況の調査

Confidential. © 2019 Informa Tech LLC

参入企業:大日本印刷凸版印刷HOYA

Taiwan Mask Corporation

Photronics(大日本印刷提携先)半導体メーカー内製:Intel,

Samsung,

TSMC,

Micron

内製メーカーの生産能力は限定的なため、不足を外販メーカーが供給するケースが多い。

半導体フォトマスク:例:凸版印刷の標準製品

主な部材・製造装置:マスクブランクス:HOYA、信越化学工業、AGC

ぺリクル:三井化学、信越化学工業電子ビーム描画装置:ニューフレアテクノロジー、日本電子

半導体フォトマスクにおけるサプライチェーン

38

(1)主要原料・主要部品における市場参入状況フォトマスク

• 日本企業には、半導体フォトマスクのサプライチェーンにおける製造装置や部材のキーサプライヤーが多い。

• フォトマスク本体は海外大手半導体メーカーによる内製が市場の60%以上を占める。一方、材料であるマスクブランクス、ぺリクル、先端品のパターン描画に不可欠な装置である電子ビーム描画装置などにおいては日本企業のシェアが高い。

出典:各社決算等資料をもとにインフォーマ作成

Page 39: Presentation title style – option 1(1)日本、米国、中国、欧州、台湾、韓国等の国・地域における主要な装置、主要原料、主要部品の生産・販売状況の調査

Confidential. © 2019 Informa Tech LLC

マスクブランクス消費地域別ベンダシェア

39

(1)主要原料・主要装置の販売状況フォトマスク

• 半導体露光用マスクブランクスは多くの地域において日本企業のシェアが高い。

• 半導体露光用フォトマスクは製造装置市場においてもハイエンドを中心として日系企業のシェアが高い。

電子ビーム描画装置地域別シェア(2018)(2018年:6.5億ドル) (2018年:5.4億ドル)

出典:各社決算等資料をもとにインフォーマ作成

Page 40: Presentation title style – option 1(1)日本、米国、中国、欧州、台湾、韓国等の国・地域における主要な装置、主要原料、主要部品の生産・販売状況の調査

Confidential. © 2019 Informa Tech LLC

40

(1)主要原料・主要部品の生産拠点の状況フォトマスク

半導体フォトマスクにおけるサプライチェーン:各国企業の生産地

企業国籍 企業名 生産地

日本 DNP 日本・台湾・中国(Photronics合弁)・イタリア

凸版印刷 日本・米国・台湾・中国・ドイツ・フランス

HOYA 日本

米国 Intel 米国

Photronics 米国・イギリス・ドイツ・台湾・中国(DNP合弁)

韓国 Samsung 韓国

台湾 TSMC 台湾

Taiwan Mask 台湾

中国 无锡中微掩模(ZF Mask) 中国(無錫)

出典:インフォーマ

Page 41: Presentation title style – option 1(1)日本、米国、中国、欧州、台湾、韓国等の国・地域における主要な装置、主要原料、主要部品の生産・販売状況の調査

Confidential. © 2019 Informa Tech LLC

41

(1)主要原料・主要部品の生産拠点の状況EUVフォトマスク

EUV露光用フォトマスクにおけるサプライチェーン:各国企業の生産地

企業国籍 企業名 生産地

日本 DNP 日本

凸版印刷 欧州(ドイツ)

HOYA 日本

米国 Photronics 米国(R&D拠点)

• EUV露光用フォトマスクの多くが日本で生産されている一方、露光装置メーカーや開発プロジェクトの拠点である欧州や大手半導体メーカーの拠点がある米国も中心的な拠点となっている。

注:半導体露光用フォトマスクのうちEUV露光用フォトマスクについて集計

出典:インフォーマ

Page 42: Presentation title style – option 1(1)日本、米国、中国、欧州、台湾、韓国等の国・地域における主要な装置、主要原料、主要部品の生産・販売状況の調査

Confidential. © 2019 Informa Tech LLC

42

(1)主要原料・主要部品の販売状況電子材料-半導体露光用レジスト

出典:各社決算等資料をもとにインフォーマ作成

(百万ドル)

• 半導体フォトレジスト市場では売上高トップ5に日本企業が並び、日本企業の合計シェアが高い。

• 上位企業の多くがフォトレジストの原材料であるポリマーを自社生産しており、高いシェアの要因となっている。

日本A

26%

日本B

25%日本C

17%

日本D

11%

日本E

10%

その他11%

半導体露光用レジストベンダ売上高シェア

2018年:13.8億ドル

Page 43: Presentation title style – option 1(1)日本、米国、中国、欧州、台湾、韓国等の国・地域における主要な装置、主要原料、主要部品の生産・販売状況の調査

Confidential. © 2019 Informa Tech LLC

43

(1)主要原料・主要部品の販売状況電子材料-半導体露光用レジスト

半導体露光用レジスト光源別売上高シェア(2018年、全光源合計13.8億ドル)

• 半導体露光用フォトレジスト市場における各社のシェアは光源によって異なっている。

• 日本企業のシェアは高付加価値製品のArF(液浸を含む)で高く、g線/i線のような旧技術の製品では相対的に低い。

出典:各社決算等資料をもとにインフォーマ作成

Page 44: Presentation title style – option 1(1)日本、米国、中国、欧州、台湾、韓国等の国・地域における主要な装置、主要原料、主要部品の生産・販売状況の調査

Confidential. © 2019 Informa Tech LLC

44

(1)主要原料・主要部品の生産拠点の状況電子材料-半導体露光用レジスト

企業国籍 企業名 生産地

日本 JSR 日本、米国、ベルギー

東京応化工業 日本、韓国

信越化学工業 日本、台湾

住友化学 日本、韓国

米国 Dow Electronic Materials 日本、米国、韓国

韓国 Dongjin Semichem 韓国、台湾

• 日本企業の多くは半導体露光用フォトレジストの生産をまずは日本で行い、需要地である海外に量産拠点を展開しているが、露光装置メーカーや技術開発の拠点が欧州に設けられることから、海外での生産拠点も見られる。

半導体露光用レジストにおけるサプライチェーン:各国企業の生産地

出典:インフォーマ

Page 45: Presentation title style – option 1(1)日本、米国、中国、欧州、台湾、韓国等の国・地域における主要な装置、主要原料、主要部品の生産・販売状況の調査

Confidential. © 2019 Informa Tech LLC

45

(1)主要原料・主要部品の生産拠点の状況電子材料-EUV露光用レジスト

企業国籍 企業名 生産地

日本 JSR 欧州(ベルギー)、日本

東京応化工業 日本

信越化学工業 日本

住友化学 日本

米国 Dow Electronic Materials 米国、韓国(計画)

• EUV露光用レジストは、日本企業、米国企業のいずれも国内生産が多い一方で、露光装置メーカーや技術開発の拠点が欧州に設けられたことや、露光装置のユーザーが海外に拠点を持つことから、海外で量産をスタートする企業も見られる。

EUV露光用レジストにおけるサプライチェーン:各国企業の生産地

出典:インフォーマ

Page 46: Presentation title style – option 1(1)日本、米国、中国、欧州、台湾、韓国等の国・地域における主要な装置、主要原料、主要部品の生産・販売状況の調査

Confidential. © 2019 Informa Tech LLC

46

(1)主要原料・主要部品の販売状況電子材料-CMPスラリ

0

200

400

600

800

1,000

1,200

1,400

2014 2015 2016 2017 2018

CMPスラリ世界市場(百万ドル)

• CMPスラリ市場はメモリや多層のロジックICなどの製造工程における研磨処理の回数増加に伴い、需要が拡大している。

• 地域別市場では、メモリや多層のロジックICの生産が多い台湾や韓国のシェアが高い。

日本9%

米国14%

韓国23%

台湾33%

欧州6%

その他15%

CMPスラリ消費地域別シェア

2018年:12億ドル

出典:各社決算等資料をもとにインフォーマ作成

Page 47: Presentation title style – option 1(1)日本、米国、中国、欧州、台湾、韓国等の国・地域における主要な装置、主要原料、主要部品の生産・販売状況の調査

Confidential. © 2019 Informa Tech LLC

47

(1)主要原料・主要部品の販売状況電子材料-CMPスラリ

• CMPスラリ市場では、米国の電子材料メーカーのシェアが高いが、コロイダルシリカやセリアなどの特定の素材やプロセスにおいて採用を伸ばした日本企業が複数見られる。

2018年:12億ドル

出典:各社決算等資料をもとにインフォーマ作成

米国A

32%

日本A

9%

米国B

8%

米国C

11%

日本B

11%

日本C

13%

日本D

3%

日本E

4%

韓国5%

韓国3%

その他2%

CMPスラリベンダ売上高シェア

Page 48: Presentation title style – option 1(1)日本、米国、中国、欧州、台湾、韓国等の国・地域における主要な装置、主要原料、主要部品の生産・販売状況の調査

Confidential. © 2019 Informa Tech LLC

48

(1)主要原料・主要部品の生産拠点の状況電子材料-CMPスラリ

企業国籍 企業名 生産地

日本 フジミインコーポレーテッド 日本、米国、台湾

日立化成 日本、台湾

富士フィルム 日本、台湾、米国、韓国

米国 Cabot Microelectronics 日本、米国、欧州、韓国、台湾

Dow Chemical 米国、台湾、韓国

• 最大手の米国企業は日本も含めてグローバルの生産拠点を設けている。

• その他の主力企業では、自国拠点に加え、ロジックの層数(=CMPプロセス)が多い需要地である台湾が中心的な製造拠点となっている一方、直近では韓国での生産も見られはじめている。

CMPスラリにおけるサプライチェーン:各国企業の生産地

出典:インフォーマ

Page 49: Presentation title style – option 1(1)日本、米国、中国、欧州、台湾、韓国等の国・地域における主要な装置、主要原料、主要部品の生産・販売状況の調査

Confidential. © 2019 Informa Tech LLC

49

(1)主要原料・主要部品の販売状況電子材料-CMPパッド

(百万ドル)

• CMPパッド市場は一層あたりの研磨時間が短縮される傾向から、需要はゆるやかな増加にとどまっている。

• 研磨工程が多いその他アジア地域や、消耗品の交換頻度が比較的高い日本市場のシェアが高い。

日本36%

米国4%

韓国5%台湾

5%

欧州5%

中国1%

その他

アジア44%

CMPパッド地域別シェア

2018年:322千枚

出典:各社決算等資料をもとにインフォーマ作成

Page 50: Presentation title style – option 1(1)日本、米国、中国、欧州、台湾、韓国等の国・地域における主要な装置、主要原料、主要部品の生産・販売状況の調査

Confidential. © 2019 Informa Tech LLC

50

(1)主要原料・主要部品の販売状況電子材料-CMPパッド

• CMPパッド市場では、米国の大手化学メーカーのシェアがグローバルで突出し、次いで日本企業が並んでいるが、トップとの差が大きい。

米国A

46%

日本A

29%

日本B

13%

日本C

4%

米国B

2%その他

6%

CMPパッドベンダ売上高シェア

2018年:110億ドル

出典:各社決算等資料をもとにインフォーマ作成

Page 51: Presentation title style – option 1(1)日本、米国、中国、欧州、台湾、韓国等の国・地域における主要な装置、主要原料、主要部品の生産・販売状況の調査

Confidential. © 2019 Informa Tech LLC

51

(1)主要原料・主要部品の生産拠点の状況電子材料-CMPパッド

企業国籍 企業名 生産地

日本 フジボウ愛媛 日本、台湾

FILWEL 日本

東レコーデックス 日本

米国 Dow Chemical 米国、台湾、韓国(計画)

Universal Photonics 米国

• 最大手の米国大手化学メーカーは日本も含めた世界各市場に参入し、直近では韓国での生産計画を発表している。

• 日本メーカーは日本での生産が中心だったが、日系最大手企業は需要地として大きな台湾での生産を始めている。

CMPパッドにおけるサプライチェーン:各国企業の生産地

出典:インフォーマ

Page 52: Presentation title style – option 1(1)日本、米国、中国、欧州、台湾、韓国等の国・地域における主要な装置、主要原料、主要部品の生産・販売状況の調査

Confidential. © 2019 Informa Tech LLC

(1)主要原料・主要部品の販売状況その他部材-バルクガス

52

• 酸素、窒素、アルゴン、水素を主とするバルクガスは、鉄鋼など各種産業で使われ、半導体や液晶を中心するエレクトロニクス向けは全需要の10%に満たない。

• エレクトロニクス向けガス市場では液晶、半導体、シリコンウエハの製造拠点が多い、韓国、台湾、中国のシェアが高い。

出典:ガスレビュー、各社決算等資料をもとにインフォーマ作成

韓国30%

台湾25%

中国24%

日本6%

欧米6%

その他11%

エレクトロニクス向けガス地域別シェア

エレクトロニクス向け9%

その他産業向け91%

産業用ガス用途別市場規模

2018年:72億ドル

産業用ガス世界市場:825億ドルうちエレクトロニクス向け:72億ドル

出典:各社決算等資料をもとにインフォーマ作成

Page 53: Presentation title style – option 1(1)日本、米国、中国、欧州、台湾、韓国等の国・地域における主要な装置、主要原料、主要部品の生産・販売状況の調査

Confidential. © 2019 Informa Tech LLC

53

(1)主要原料・主要部品の販売状況その他部材-バルクガス

• 半導体工場でのオンサイト供給が主流となっているため、オンサイトの供給設備への設備投資能力を持つ産業ガスのグローバル企業のプレゼンスが高い。

英国38%

仏国26%

米国12%

日本12%

その他12%

産業ガスベンダ売上高シェア

2018年:72億ドル

出典:各社決算等資料をもとにインフォーマ作成

Page 54: Presentation title style – option 1(1)日本、米国、中国、欧州、台湾、韓国等の国・地域における主要な装置、主要原料、主要部品の生産・販売状況の調査

Confidential. © 2019 Informa Tech LLC

54

(1)主要原料・主要部品の生産拠点の状況その他部材-バルクガス

企業国籍 企業名 生産地

英国 Linde+Praxair 米国、欧州、アジア各国地域の半導体工場にてオンサイト供給

フランス Air Liquide+Airgas 米国、欧州、アジア各国地域の半導体工場にてオンサイト供給

米国 Air Products 米国、欧州、アジア各国地域の半導体工場にてオンサイト供給

日本 大陽日酸 米国、欧州、アジア各国地域の半導体工場にてオンサイト供給

中国 Yingde Gas Group 中国の半導体工場にてオンサイト供給

• バルクガスの中でも生産規模が大きい酸素・窒素・アルゴン・水素は、空気を分解するエアセパレートガスのため半導体工場でのオンサイト供給が主流となっている。M&Aにより規模を拡大したグローバル上位企業を中心に各需要地の半導体メーカーにオンサイト供給施設を建設、ガス供給をしている。

• 中国では欧米の上位企業が多い一方、ローカル企業も参入し始めている。

バルクガスにおけるサプライチェーン:各国企業の生産地

出典:インフォーマ

Page 55: Presentation title style – option 1(1)日本、米国、中国、欧州、台湾、韓国等の国・地域における主要な装置、主要原料、主要部品の生産・販売状況の調査

Confidential. © 2019 Informa Tech LLC

(1)主要原料・主要部品の販売状況その他部材-特殊材料ガス(NF3)

55

(百万ドル)

• エッチングやチャンバークリーニング向けが主な用途のNF3はNAND型フラッシュメモリなどの生産増加に伴い需要が増加している。

• メモリや多層ロジックICの生産が多い韓国および台湾が大きな需要地となっている。

出典:各社決算等資料をもとにインフォーマ作成

注) NF3:3フッ化窒素

日本8%

北米5%

韓国33%

台湾32%

欧州2%

中国17%

その他3%

NF3消費地域別シェア

2018年:

24,000トン

Page 56: Presentation title style – option 1(1)日本、米国、中国、欧州、台湾、韓国等の国・地域における主要な装置、主要原料、主要部品の生産・販売状況の調査

Confidential. © 2019 Informa Tech LLC

56

• 韓国の企業が積極的に設備投資をし、売上高トップである一方、日本企業も増産で需要の拡大に対応している。

(1)主要原料・主要部品の販売状況その他部材-特殊材料ガス(NF3)

韓国A

36%

日本A

19%

米国A

15%

韓国B

11%

日本B

4%

その他15%

NF3ベンダ売上高シェア

2018年:1,370百万ドル

注) NF3:3フッ化窒素

出典:各社決算等資料をもとにインフォーマ作成

Page 57: Presentation title style – option 1(1)日本、米国、中国、欧州、台湾、韓国等の国・地域における主要な装置、主要原料、主要部品の生産・販売状況の調査

Confidential. © 2019 Informa Tech LLC

57

(1)主要原料・主要部品の生産拠点の状況その他部材-特殊材料ガス(NF3)

企業国籍 企業名 生産地

日本 関東電化 日本

三井化学 日本

セントラル硝子 日本

韓国 SK Materials 韓国、中国

Hyosung 韓国

中国 PERIC 中国

米国 Versum Materials 韓国、米国

英国 Linde 南アフリカ

NF3におけるサプライチェーン:各国企業の生産地

出典:インフォーマ

Page 58: Presentation title style – option 1(1)日本、米国、中国、欧州、台湾、韓国等の国・地域における主要な装置、主要原料、主要部品の生産・販売状況の調査

Confidential. © 2019 Informa Tech LLC

(1)主要原料・主要部品の販売状況その他部材-特殊材料ガス(WF6)

58

• 3D-NANDフラッシュメモリをはじめとしたメモリ生産向けを中心とした需要増加が続いている。

(百万ドル)日本19%

北米2%

韓国46%

台湾17%

中国15%

その他1%

WF6消費地域別シェア

2018年:

2,600トン

Page 59: Presentation title style – option 1(1)日本、米国、中国、欧州、台湾、韓国等の国・地域における主要な装置、主要原料、主要部品の生産・販売状況の調査

Confidential. © 2019 Informa Tech LLC

(1)主要原料・主要部品の販売状況その他部材-特殊材料ガス(WF6)

59

• 売上高上位にはNF3と同じ日本と韓国、次いで米国の大手企業が並んでいる。

韓国A

31%

日本A

31%

米国A

21%

日本B

14%

その他3%

WF6ベンダ売上高シェア

2018年:277百万ドル

Page 60: Presentation title style – option 1(1)日本、米国、中国、欧州、台湾、韓国等の国・地域における主要な装置、主要原料、主要部品の生産・販売状況の調査

Confidential. © 2019 Informa Tech LLC

60

(1)主要原料・主要部品の生産拠点の状況その他部材-特殊材料ガス(WF6)

企業国籍 企業名 生産地

日本 関東電化 日本

セントラル硝子 日本

SK Materials 韓国

Foosung 韓国

Versum Materials 米国

Chengdu Taiyu Industrial Gases 中国

浙江博瑞电子科技有限公司注 中国

• シリンダでの運搬が可能なため、主要なベンダは基本的に自国内で生産、各需要地へ販売している。

WF6におけるサプライチェーン:各国企業の生産地

出典:インフォーマ

Page 61: Presentation title style – option 1(1)日本、米国、中国、欧州、台湾、韓国等の国・地域における主要な装置、主要原料、主要部品の生産・販売状況の調査

Confidential. © 2019 Informa Tech LLC

(1)主要原料・主要部品の販売状況その他部材-特殊材料ガス-クリーニングガス(LPCVD)

61

• 半導体市場の拡大に伴い、LPCVDプロセス後のチャンバークリーニングに使われるClF3および20%F2N2ガスの需要が増加しているが、相対的に価格が低い20%F2N2や他のガスの比率が上昇しているため、売上高の成長率は低下傾向にある。

(百万ドル) 日本15%

北米7%

韓国31%

台湾28%

中国11%

欧州・その他8%

クリーニングガス消費地域別シェア

2018年:114トン

Page 62: Presentation title style – option 1(1)日本、米国、中国、欧州、台湾、韓国等の国・地域における主要な装置、主要原料、主要部品の生産・販売状況の調査

Confidential. © 2019 Informa Tech LLC

(1)主要原料・主要部品の販売状況その他部材-特殊材料ガス-クリーニングガス(LPCVD)

62

• 現在主流として多く使われているClF3は日本企業が特許を有しているため、日系ベンダシェアが高い。

日本A

68%

日本B

22%

米国A

7%

日本C

3%

クリーニングガスベンダ売上高シェア

2018年:82百万ドル

Page 63: Presentation title style – option 1(1)日本、米国、中国、欧州、台湾、韓国等の国・地域における主要な装置、主要原料、主要部品の生産・販売状況の調査

Confidential. © 2019 Informa Tech LLC

63

(1)主要原料・主要部品の生産拠点の状況その他部材-特殊材料ガス-クリーニングガス(LPCVD)

企業国籍 企業名 生産地

日本 セントラル硝子 日本

関東電化 日本

昭和電工 日本

米国 Versum Materials 米国

• クリーニングガスは他の特殊ガスと同様、シリンダでの運搬が可能なため、主要なベンダは基本的に自国内で生産、各需要地へ販売している。

クリーニングガスにおけるサプライチェーン:各国企業の生産地

出典:インフォーマ

Page 64: Presentation title style – option 1(1)日本、米国、中国、欧州、台湾、韓国等の国・地域における主要な装置、主要原料、主要部品の生産・販売状況の調査

Confidential. © 2019 Informa Tech LLC

(1)主要原料・主要部品の販売状況その他部材-ターゲット材

64

• ターゲット材市場は、価格競争が激化傾向にあるものの、3D-NANDメモリや配線総数の多い先端ロジックIC向けを中心にゆるやかに需要が拡大している。

• 日本および米国企業が上位にある一方、低価格な製品を中心に中国企業の参入が出始めている。

(百万ドル)

日本A

32%

日本B

21%

米国A

21%

米国B

20%

その他6%

ターゲット材ベンダ売上高シェア

2018年:562百万ドル

Page 65: Presentation title style – option 1(1)日本、米国、中国、欧州、台湾、韓国等の国・地域における主要な装置、主要原料、主要部品の生産・販売状況の調査

Confidential. © 2019 Informa Tech LLC

65

(1)主要原料・主要部品の生産拠点の状況その他部材-ターゲット材

企業国籍 企業名 生産地

日本 JX金属 日本、韓国、台湾

東ソー 日本、米国、韓国、台湾

米国 Honeywell Electronic Materials 米国、中国、ドイツ、日本

Praxair 米国、ドイツ、中国

中国 Konfoong Materials International 中国、マレーシア

• 大手企業は需要地に対応したグローバル拠点で生産。販売を行っている。

• 中国企業は中国に加え、アジアでの生産、販売を拡大している。

ターゲット材におけるサプライチェーン:各国企業の生産地および販売地

出典:インフォーマ

Page 66: Presentation title style – option 1(1)日本、米国、中国、欧州、台湾、韓国等の国・地域における主要な装置、主要原料、主要部品の生産・販売状況の調査

Confidential. © 2019 Informa Tech LLC

(1)主要原料・主要部品の販売状況その他部材-リードフレーム

66

• 車載向けや通信機器向けの需要増加に伴い、ここ数年間市場が拡大している。

• 需要地では比較的集積度の低いICの生産が多い中国やその他アジアのシェアが高い。

(百万ドル)日本10%

中国27%

台湾22%

韓国9%

その他アジア29%

北米1%

欧州・その他2%

リードフレーム消費地域別シェア

2018年:206億個

Page 67: Presentation title style – option 1(1)日本、米国、中国、欧州、台湾、韓国等の国・地域における主要な装置、主要原料、主要部品の生産・販売状況の調査

Confidential. © 2019 Informa Tech LLC

(1)主要原料・主要部品の販売状況その他部材-リードフレーム

67

• コストダウン圧力の強い製品のため、中国やその他アジアで生産能力を豊富に持つ企業のシェアが高い。

中国A

21%

日本A

20%

日本B

17%

シンガポール14%

韓国11%

その他17%

IC用リードフレーム売上高シェア

2018年:2150百万ドル

Page 68: Presentation title style – option 1(1)日本、米国、中国、欧州、台湾、韓国等の国・地域における主要な装置、主要原料、主要部品の生産・販売状況の調査

Confidential. © 2019 Informa Tech LLC

68

(1)主要原料・主要部品の生産拠点の状況その他部材-リードフレーム

企業国籍 企業名 生産地

中国 Chang Wah Electronics 中国、日本、マレーシア、台湾

日本 三井ハイテック 日本、マレーシア、シンガポール、台湾、中国

新光電気工業 日本、マレーシア、中国

大日本印刷 日本

シンガポール ASM Pacific 中国

韓国 Haesung DS 韓国、中国

• コスト競争力が求められる製品の特性上、大手企業は中心的な生産拠点を中国や東南アジアにおいている。車載向けは日本でも対応している。

IC用リードフレームにおけるサプライチェーン:各国企業の生産地

出典:インフォーマ

Page 69: Presentation title style – option 1(1)日本、米国、中国、欧州、台湾、韓国等の国・地域における主要な装置、主要原料、主要部品の生産・販売状況の調査

Confidential. © 2019 Informa Tech LLC

(1)主要原料・主要部品の販売状況その他部材-ボンディングワイヤー

69

• 半導体の生産が増加する一方、フリップチップ実装の普及や、安価な材料へのシフトが売上高の減少要因となるため、市場規模はここ数年間おおむね横ばいの傾向にある。

百万ドル

日本2%

中国39%

台湾20%

韓国11%

その他アジア23%

北米3%

欧州他2%

ボンディングワイヤー消費地域別シェア

2018年:22,640千km

Page 70: Presentation title style – option 1(1)日本、米国、中国、欧州、台湾、韓国等の国・地域における主要な装置、主要原料、主要部品の生産・販売状況の調査

Confidential. © 2019 Informa Tech LLC

(1)主要原料・主要部品の販売状況その他部材-ボンディングワイヤー

70

• 日本企業は地金の調達から加工までの一環対応や、グローバルな生産体制により金線や銅線を中心に高いシェアを維持している。

日本A

38%

ドイツ28%

韓国20%

日本B

14%

その他7%

ボンディングワイヤー売上高シェア

2018年:2,540百万ドル

Page 71: Presentation title style – option 1(1)日本、米国、中国、欧州、台湾、韓国等の国・地域における主要な装置、主要原料、主要部品の生産・販売状況の調査

Confidential. © 2019 Informa Tech LLC

71

(1)主要原料・主要部品の生産拠点の状況その他部材-ボンディングワイヤー

企業国籍 企業名 生産地

日本 田中電子工業 日本、中国、韓国、台湾、マレーシア、シンガポール

日鉄住金マイクロメタル 日本、フィリピン、中国

タツタ電線 日本、マレーシア

ドイツ Heraeus ドイツ、中国、韓国、マレーシア

韓国 MK Electron 韓国、中国

Heesung Metal 韓国(田中貴金属工業との合弁)

• 主力企業の多くが、自国内における開発・生産に加え、半導体後工程の工場が多く所在するアジア各国地域で量産を行っている。

ボンディングワイヤーにおけるサプライチェーン:各国企業の生産地

出典:インフォーマ

Page 72: Presentation title style – option 1(1)日本、米国、中国、欧州、台湾、韓国等の国・地域における主要な装置、主要原料、主要部品の生産・販売状況の調査

Confidential. © 2020 Informat Tech LLC

(2)主要装置・原料・部品のサプライチェーンの調査装置:

• 半導体露光装置

• 半導体成膜装置

• 半導体エッチング装置

原料:

• 半導体露光用レジスト

部品:

• ボンディングワイヤー

72

Page 73: Presentation title style – option 1(1)日本、米国、中国、欧州、台湾、韓国等の国・地域における主要な装置、主要原料、主要部品の生産・販売状況の調査

Confidential. © 2019 Informa Tech LLC

73

(2)主要装置・原料・部品のサプライチェーンの調査主要装置の上市状況-半導体露光装置

• 半導体露光装置の売上高シェア上位企業は、3社(ASML、キャノン、ニコン)によって寡占化されているため、3社のi線ステッパ、KrFスキャナ、ArFスキャナ、ArF液浸スキャナ、EUVの製品ラインアップをあげる。

半導体露光装置売上高シェア上位企業の製品ラインアップ

企業 シリーズ 仕様(方式、解像度、露光フィールド)

ASML TWINSCAN XT:400L i-line Stepper, ≦350/280/220nm, 26X33mm2

TWINSCAN XT:860M KrF Scanner, ≦110nm, 26X33mm2

TWINSCAN XT:1060K KrF Scanner, ≦80nm, 26X33mm2

TWINSCAN XT:1460K ArF Scanner, ≦65nm, 26X33mm2

TWINSCAN

NXT:2000i/1980Di/1970Ci/1965CiArF Immersion Scanner,≦38nm, 26X33mm2

TWINSCAN NXE:3400C/3400B EUV Scanner, ≦13nm, 26X33mm2

キャノン FPA-6300ES6a KrF Scanner, ≦90nm, 26X33mm2

FPA-6300ESW KrF Scanner, ≦130nm, 33X42.2mm2

FPA-3030EX6 KrF Stepper, ≦150nm, 22X22mm2

FPA-5550iZ2 i-line Stepper, ≦350nm, 26X33mm2

FPA-5510iX i-line Stepper, ≦500nm, 52X56mm2

ニコン NSR-S635E/S631E/622D ArF Immersion Scanner, ≦38nm, 26X33mm2

NSR-S322F ArF Scanner, ≦65nm, 26X33mm2

NSR-S220D/S210D KrF Scanner, ≦110nm, 26X33mm2

NSR-SF155 i-line Stepper, ≦280nm, 26X33mm2

Page 74: Presentation title style – option 1(1)日本、米国、中国、欧州、台湾、韓国等の国・地域における主要な装置、主要原料、主要部品の生産・販売状況の調査

Confidential. © 2019 Informa Tech LLC

74

(2)主要装置・原料・部品のサプライチェーンの調査主要装置の上市状況-半導体成膜装置

• 半導体成膜装置(CVD/ALD)は、米国(AMAT、Lam)、日本(TEL、KE)、欧州(ASMI)の5社が上位企業で、製品ラインアップが広い米国と日本企業の製品上市状況をあげる。

半導体成膜装置売上高シェア上位企業の製品ラインアップ

企業 シリーズ 仕様(方式、成膜対象)

Aplied Materials(AMAT) Ultima HDP-CVD 高密度プラズマCVD、STI/PMD/ILD/IMD/USG/PSG/FSG

(プラットフォーム) iSprint ALD/CVD タングステンALD/CVD

• CENTURA Volta CVD cobalt Co CVD

• ENDURA Volta CVD W W CVD

• PRODUCER Optiva CVD 低温CVD(≦200℃)、ポリマー

APF PECVD プラズマCVD、アモルファスカーボン膜

Avila PECVD 低温CVD(≧130℃)、酸化膜、窒化膜

BLOk PECVD BLOK膜(Low-k銅バリア膜)

Black Diamond Black Diamond(ナノ多孔質Low-k膜)

Celera PECVD 歪み誘起窒化膜

DARK PECVD 誘電体反射防止コーティング

Eterna FCVD 誘電体膜によるボイドフリーのギャップ充填

InVia CVD TSVへの誘電体ライナー

XP Precision 3DNANDのゲートスタックの層間膜

eHARP CVD TEOS/オゾンCVDによるSTIフィル(非PECVD)

Page 75: Presentation title style – option 1(1)日本、米国、中国、欧州、台湾、韓国等の国・地域における主要な装置、主要原料、主要部品の生産・販売状況の調査

Confidential. © 2019 Informa Tech LLC

75

(2)主要装置・原料・部品のサプライチェーンの調査主要装置の上市状況-半導体成膜装置

半導体成膜装置売上高シェア上位企業の製品ラインアップ

企業 シリーズ 仕様(方式、成膜対象)

Lam Research (Lam) ALTUSシリーズCVDとALDを組合せることで、タングステンプラグのメタライズ工程においてコンフォーマルな膜を形成する。

SPEEDシリーズ HDP-CVD、酸化膜(ギャップフィル)

Striker ALDシリーズ ALD、極薄誘電体膜

VECTORシリーズ PECVD、絶縁膜

東京エレクトロン(TEL) TELINDYシリーズ縦型CVD/ALD装置、ALD SiO2, SiN, High-k,

CVD/PECVD Si(Poly Si, a-Si), SiO2, SiN

NT333 セミバッチ式ALD装置、SiO2, SiN, High-k

Triase シリーズ 枚葉CVD装置、Ti、TiN、TiON、W

KOKUSAI ELECTRIC(KE) TSURUGI-C2

AdvancedAce-300 LP CVD

VERTEX Revolution LP CVD

Page 76: Presentation title style – option 1(1)日本、米国、中国、欧州、台湾、韓国等の国・地域における主要な装置、主要原料、主要部品の生産・販売状況の調査

Confidential. © 2019 Informa Tech LLC

76

(2)主要装置・原料・部品のサプライチェーンの調査主要装置の上市状況-半導体エッチング装置

• 半導体エッチング装置市場は、米国2社(Lam、AMAT)と日本(TEL、日立ハイテク)の4社がシェア上位となっており、各社多様な装置を展開している。

半導体エッチング装置売上高シェア上位企業の製品ラインアップ

企業 シリーズ 仕様(方式、エッチング対象)

Lam Research(Lam) DSIEシリーズ ディープSiエッチング

FLEXシリーズ ALE、酸化膜エッチング

KIYOシリーズ メタルエッチング

Applied Materials (AMAT) Sym3 シリコンエッチング

(プラットフォーム) Advantage Mesa ICPプラズマ源、STI、埋込型ビット/ワードライン

• CENTRIS Avatar 高アスペクト比の誘電体エッチング

• CENTURA Silvia ディープシリコンエッチング

• PRODUCER

東京エレクトロン(TEL) Tactrasシリーズプラズマエッチング、Low-k、Ox、SiN、Poly、Contact、Trench、SAC、Spacer、DD、ALE

日立ハイテク 9000シリーズ マイクロ波ECR方式、シリコンエッチング

M-8000シリーズ マイクロ波ECR方式、シリコン、高誘電率ゲート絶縁膜エッチング

M-600/6000シリーズ マイクロ波ECR方式、シリコンエッチング

Page 77: Presentation title style – option 1(1)日本、米国、中国、欧州、台湾、韓国等の国・地域における主要な装置、主要原料、主要部品の生産・販売状況の調査

Confidential. © 2019 Informa Tech LLC

77

(2)主要装置・原料・部品のサプライチェーンの調査主要原料の上市状況-半導体露光用レジスト

企業名 シリーズ名 光源ほか仕様

JSR PFRシリーズ g線 i線向け

KrFリーズ KrF向け

ArFシリーズ ArF向け、液浸も含む、トップコート有り/無し

EUVJシリーズ EUV向け

東京応化工業 OFPRシリーズ、TSMRシリーズ g線向け

THMR-iPiN、シリーズ i線向け

TDMR-ARシリーズ i線向け

TDUR-P/N/DPシリーズ KrF向け

TARF-Pシリーズ ArF向け、液浸も含む

TOK EUV-Rシリーズ EUV向け

信越化学工業 SIPRシリーズ g線 i線向け

SEPRシリーズ KrF向け

SAIL KrFシリーズ KrF向け

SAILシリーズ ArF向け、液浸も含む、

SEVRシリーズ EUV向け

Dow Electronic Materials S1800 シリーズ g線向け

SPRシリーズ i線向け

UVシリーズ KrF向け

EPICシリーズ ArF向け、液浸も含む

開発済み製品 EUV向け

• 半導体露光用レジスト市場の売上高シェア上位企業は、g/i線、KrF、ArF、EUVの全ての光源に対応した製品を展開している。

半導体露光用レジスト売上高シェア上位企業の製品ラインアップ

Page 78: Presentation title style – option 1(1)日本、米国、中国、欧州、台湾、韓国等の国・地域における主要な装置、主要原料、主要部品の生産・販売状況の調査

Confidential. © 2019 Informa Tech LLC

78

(2)主要装置・原料・部品のサプライチェーンの調査主要部品の上市状況-ボンディングワイヤー

企業名 シリーズ 素材・スペックなど

田中電子工業 Yシリーズ Au, 耐熱、耐環境(LEDなど)

GSA, GSB Au, 小パッド

GFC, GFD Au, ファインピッチ実装向け

MGQ20 Au, ファインピッチ実装向け

GMG, GMH-2, MGFL1 Au, 高強度、ファインピッチ

GLF AU, 超低ループ対応

GPG, GPG-2, GPG-3, GPH, MGA22 Au, 高信頼性用途(車載など)

GBC Au合金,

GBE Au合金, バンピングワイヤー

CFB-1 高純度銅線

CLR-1A, CA-1 高性能、高信頼性(CA-1)

CP-1 パワーデバイス向け銅線

SEA, SEB, SEC Ag合金、高ボンディング性

TANW, TPBW Alワイヤー、パワーデバイス向け

TABR パワーデバイス向けAlリボン

TABN, TABW Al-Siボンディングワイヤー、高機械的特性、耐環境

ボンディングワイヤー売上高シェア上位企業の製品ラインアップ

Page 79: Presentation title style – option 1(1)日本、米国、中国、欧州、台湾、韓国等の国・地域における主要な装置、主要原料、主要部品の生産・販売状況の調査

Confidential. © 2019 Informa Tech LLC

79

企業名 シリーズ 素材・スペックなど

Heraeus

Au, 4N/3N/2N

Au, パワーデバイス向け

Au, スマートカード向け

Au, バンピングワイヤー

Au, スタンダードタイプ

Ag各種 Ag, 各種合金モデルを展開

AIW-29S Al-Siボンディングワイヤー

Al太線ワイヤー 高強度、高信頼性Al細線ワイヤー 高機械的特性、加工性、耐環境Alボンディングリボン 高強度、高信頼性Cu細線ワイヤー Cu, ファインピッチ実装向け

汎用Cuワイヤー Cu, 高信頼性

PdコートCuワイヤー Cu, 高周波デバイス向け

Ptボンディングリボン Cu, 高周波デバイス向け

日鉄住金マイクロメタル ATシリーズ Au, ファインピッチ、高純度、4N

Tシリーズ Au, 汎用品、高純度、4N

Gシリーズ Au, 2N、高耐久性、長期接合信頼性

EXシリーズ, EX1F, EX1P, EX1 Cu, ファインピッチ実装向け、高信頼性

EXシリーズ EX1R Cu, 高信頼性、耐熱、耐環境(車載)GXシリーズ Ag, 電気特性に優れる、3D-NANDで適用

(2)主要装置・原料・部品のサプライチェーンの調査主要部品の上市状況-ボンディングワイヤー

ボンディングワイヤー売上高シェア上位企業の製品ラインアップ

Page 80: Presentation title style – option 1(1)日本、米国、中国、欧州、台湾、韓国等の国・地域における主要な装置、主要原料、主要部品の生産・販売状況の調査

Confidential. © 2020 Informat Tech LLC

(3)中国・台湾を含む3ケ国・地域における半導体を中心とする電子デバイス製造産業の現地動向調査

• 中国の半導体設計産業

• 半導体産業への投資・M&A

• 製造装置の国産化状況

• 中国半導体産業の実力

• 最近の半導体事業環境

• 中国の半導体事業環境

80

Page 81: Presentation title style – option 1(1)日本、米国、中国、欧州、台湾、韓国等の国・地域における主要な装置、主要原料、主要部品の生産・販売状況の調査

Confidential. © 2019 Informa Tech LLC

81

(3)中国・台湾を含む3カ国・地域における半導体を中心とする電子デバイス製造産業の現地調査:中国の半導体設計産業

中国IC設計企業上位10社の売上高、製品および所在地

出典:インフォーマ

Page 82: Presentation title style – option 1(1)日本、米国、中国、欧州、台湾、韓国等の国・地域における主要な装置、主要原料、主要部品の生産・販売状況の調査

Confidential. © 2019 Informa Tech LLC

(3)中国・台湾を含む3カ国・地域における半導体を中心とする電子デバイス製造産業の現地調査:中国の半導体設計産業

中国IC設計企業20社の事業状況

82

出典:インフォーマ

Page 83: Presentation title style – option 1(1)日本、米国、中国、欧州、台湾、韓国等の国・地域における主要な装置、主要原料、主要部品の生産・販売状況の調査

Confidential. © 2019 Informa Tech LLC

(3)中国・台湾を含む3カ国・地域における半導体を中心とする電子デバイス製造産業の現地調査:中国の半導体設計産業

主要IC設計サービス企業の事業内容

83

出典:インフォーマ

Page 84: Presentation title style – option 1(1)日本、米国、中国、欧州、台湾、韓国等の国・地域における主要な装置、主要原料、主要部品の生産・販売状況の調査

Confidential. © 2019 Informa Tech LLC

84

(3)中国・台湾を含む3カ国・地域における半導体を中心とする電子デバイス製造産業の現地調査:中国の半導体設計産業

中国EDAベンダ企業の事業状況

出典:インフォーマ

Page 85: Presentation title style – option 1(1)日本、米国、中国、欧州、台湾、韓国等の国・地域における主要な装置、主要原料、主要部品の生産・販売状況の調査

Confidential. © 2019 Informa Tech LLC

85

(3)中国・台湾を含む3カ国・地域における半導体を中心とする電子デバイス製造産業の現地調査:中国の半導体設計産業

自社あるいは傘下にIC設計部門を持つ中国大手機器メーカー

出典:インフォーマ

Page 86: Presentation title style – option 1(1)日本、米国、中国、欧州、台湾、韓国等の国・地域における主要な装置、主要原料、主要部品の生産・販売状況の調査

Confidential. © 2019 Informa Tech LLC

(3)中国・台湾を含む3カ国・地域における半導体を中心とする電子デバイス製造産業の現地調査:半導体産業への投資・M&A

中国で高く評価されているIC設計企業の事業内容

86

出典:インフォーマ

Page 87: Presentation title style – option 1(1)日本、米国、中国、欧州、台湾、韓国等の国・地域における主要な装置、主要原料、主要部品の生産・販売状況の調査

Confidential. © 2019 Informa Tech LLC

(3)中国・台湾を含む3カ国・地域における半導体を中心とする電子デバイス製造産業の現地調査:半導体産業への投資・M&A

中国で高く評価されているIC設計企業の事業内容

87

出典:インフォーマ

Page 88: Presentation title style – option 1(1)日本、米国、中国、欧州、台湾、韓国等の国・地域における主要な装置、主要原料、主要部品の生産・販売状況の調査

Confidential. © 2019 Informa Tech LLC

88

(3)中国・台湾を含む3カ国・地域における半導体を中心とする電子デバイス製造産業の現地調査:半導体産業への投資・M&A

中国のベンチャーキャピタルと半導体関連の投資先

出典:インフォーマ

Page 89: Presentation title style – option 1(1)日本、米国、中国、欧州、台湾、韓国等の国・地域における主要な装置、主要原料、主要部品の生産・販売状況の調査

Confidential. © 2019 Informa Tech LLC

89

(3)中国・台湾を含む3カ国・地域における半導体を中心とする電子デバイス製造産業の現地調査:半導体産業への投資・M&A

中国IC投資ファンドの主な投資案件

出典:インフォーマ

Page 90: Presentation title style – option 1(1)日本、米国、中国、欧州、台湾、韓国等の国・地域における主要な装置、主要原料、主要部品の生産・販売状況の調査

Confidential. © 2019 Informa Tech LLC

90

(3)中国・台湾を含む3カ国・地域における半導体を中心とする電子デバイス製造産業の現地調査:半導体産業への投資・M&A

中国国家半導体投資ファンド(CICF)の注力分野

出典:インフォーマ

Page 91: Presentation title style – option 1(1)日本、米国、中国、欧州、台湾、韓国等の国・地域における主要な装置、主要原料、主要部品の生産・販売状況の調査

Confidential. © 2019 Informa Tech LLC

91

(3)中国・台湾を含む3カ国・地域における半導体を中心とする電子デバイス製造産業の現地調査:半導体産業への投資・M&A

中国の地方政府によるファンドの状況

出典:インフォーマ

Page 92: Presentation title style – option 1(1)日本、米国、中国、欧州、台湾、韓国等の国・地域における主要な装置、主要原料、主要部品の生産・販売状況の調査

Confidential. © 2019 Informa Tech LLC

92

(3)中国・台湾を含む3カ国・地域における半導体を中心とする電子デバイス製造産業の現地調査:半導体産業への投資・M&A

中国の地方政府によるファンドの状況

出典:インフォーマ

Page 93: Presentation title style – option 1(1)日本、米国、中国、欧州、台湾、韓国等の国・地域における主要な装置、主要原料、主要部品の生産・販売状況の調査

Confidential. © 2019 Informa Tech LLC

93

(3)中国・台湾を含む3カ国・地域における半導体を中心とする電子デバイス製造産業の現地調査:半導体産業への投資・M&A

半導体および関連事業におけるM&A案件

出典:インフォーマ

Page 94: Presentation title style – option 1(1)日本、米国、中国、欧州、台湾、韓国等の国・地域における主要な装置、主要原料、主要部品の生産・販売状況の調査

Confidential. © 2019 Informa Tech LLC

94

(3)中国・台湾を含む3カ国・地域における半導体を中心とする電子デバイス製造産業の現地調査:半導体産業への投資・M&A

半導体および関連事業におけるM&A案件

出典:インフォーマ

Page 95: Presentation title style – option 1(1)日本、米国、中国、欧州、台湾、韓国等の国・地域における主要な装置、主要原料、主要部品の生産・販売状況の調査

Confidential. © 2019 Informa Tech LLC

95

(3)中国・台湾を含む3カ国・地域における半導体を中心とする電子デバイス製造産業の現地調査:半導体産業への投資・M&A

半導体および関連事業におけるM&A案件

出典:インフォーマ

Page 96: Presentation title style – option 1(1)日本、米国、中国、欧州、台湾、韓国等の国・地域における主要な装置、主要原料、主要部品の生産・販売状況の調査

Confidential. © 2019 Informa Tech LLC

96

(3)中国・台湾を含む3カ国・地域における半導体を中心とする電子デバイス製造産業の現地調査:半導体産業への投資・M&A

半導体および関連事業におけるM&A案件

出典:インフォーマ

Page 97: Presentation title style – option 1(1)日本、米国、中国、欧州、台湾、韓国等の国・地域における主要な装置、主要原料、主要部品の生産・販売状況の調査

Confidential. © 2019 Informa Tech LLC

97

(3)中国・台湾を含む3カ国・地域における半導体を中心とする電子デバイス製造産業の現地調査:半導体産業への投資・M&A

中国企業が関与する半導体関連ジョイントベンチャー

出典:インフォーマ

Page 98: Presentation title style – option 1(1)日本、米国、中国、欧州、台湾、韓国等の国・地域における主要な装置、主要原料、主要部品の生産・販売状況の調査

Confidential. © 2019 Informa Tech LLC

98

(3)中国・台湾を含む3カ国・地域における半導体を中心とする電子デバイス製造産業の現地調査:製造装置の国産化状況

社名 英語名 露光装置 成膜装置 エッチン グ装置

中微半導体設備(上海)有限公司Advanced MicroFabrication Equipment Inc.(AMEC)

◎ 〇

北方華創科技集団股份有限公司 NAURA Technology Group Co.,Ltd. 〇 〇

上海微電子装備(集団)股份有限公司Shanghai Micro Electronics Equipment Co., Ltd(SMEE)

盛美半導体設備(上海)有限公司 ACM Research (Shanghai), Inc. 量産レベルでの採用に至っていない

瀋陽拓荊科技有限公司 Shenyang Piotech Co.,Ltd. ◎

• 中国企業はキープロセスである露光・成膜・エッチングのすべてに参入している。微細化、独自開発力等からみて相応のレベルにはあるものの、最先端プロセスへの対応には至っていない。

• 露光装置の対応線幅は古い世代にとどまっている一方、瀋陽拓荊科技有限公司の成膜装置はすでに14nmに対応しているように、一部企業・装置においては国産装置のレベルが上がっている。

中国の主要半導体製造装置メーカーの参入装置と実現レベル

◎=先端プロセスに対応、 〇=先端ではない量産プロセスに対応、△=レガシープロセスに対応 出典:インフォーマ

Page 99: Presentation title style – option 1(1)日本、米国、中国、欧州、台湾、韓国等の国・地域における主要な装置、主要原料、主要部品の生産・販売状況の調査

Confidential. © 2019 Informa Tech LLC

半導体設計 マスク製造 シリコンウエハ

露光装置 成膜・エッチング

イオン注入・アニール・CMP

パッケージ・テスト

米国企業 ◎ 〇 〇 × ◎ ◎ 〇

欧州企業 〇 △ △ ◎ 〇 △ △

日本企業 △ ◎ ◎ 〇 ◎ ◎ 〇

台湾企業 ◎ ◎ △ △ △ △ △

韓国企業 ◎ ◎ △ △ 〇 〇 〇

中国企業 〇 〇 △ △ △ △ △

99

◎優れている 〇やや優れている △やや遅れている ×遅れている

出典:インフォーマ

(3)各国企業と中国企業の技術蓄積状況の比較

Page 100: Presentation title style – option 1(1)日本、米国、中国、欧州、台湾、韓国等の国・地域における主要な装置、主要原料、主要部品の生産・販売状況の調査

Confidential. © 2019 Informa Tech LLC

(3)最近の韓国半導体製造装置企業

100

韓国の半導体製造装置企業は近年実力も高くなってきており韓国企業、台湾企業への採用が拡大している。しかし、売上規模的には世界のトップ企業と比較してまだ1/10以下の売上規模がほとんどである。

国籍

企業名 URL売上高(億円)(2018年)

分野 装置種類 販売市場

韓国

DI Corporation http://www.di.co.kr/ 140.8 試験/検査装置 バーンインテスタ 韓国、台湾、中国、その他アジア

Eugene Technology http://www.eugenetech.co.kr/ 193.6 半導体製造装置縦型CVD、PECVD、エピタキシャル装置、ALD

韓国、台湾

From 30 Co., Ltd. http://www.from30.co.kr/ 5.0 試験/検査装置 バーンインテスタ 韓国、中国

FST Inc. (Fine SEMITECH. Corp) http://www.fstc.co.kr/ 34.2 半導体製造装置 チラー 韓国

Hanmi Semiconductor Co., Ltd. http://www.hanmisemi.com/ 164.4 半導体製造装置 CSP切断装置 中国、韓国、台湾、その他アジア、米国、日本

Junsung Engineering Co., Ltd. http://www.jseng.com/ 117.5 半導体製造装置 ALD 韓国、中国、台湾、その他アジア、米国、欧州

KC Tech Co., Ltd. http://www.kctech.com/ 378.3 半導体製造装置CMP装置、ウェットステーション

韓国

Mirae Corporation http://www.mirae.com/ 16.6 試験/検査装置 ICハンドラ 韓国、中国、台湾、欧州、米国、その他アジア

PSK Inc. http://www.psk-inc.com/ 224.1 半導体製造装置 アッシング装置 韓国、台湾、中国、米国、その他アジア

SEMES Co., Ltd. http://www.semes.com/ 1,602.1 半導体製造装置コータ/デベロッパ、ドライエッチング装置、枚葉式洗浄装置

韓国、中国、台湾、米国

Techwing Company http://www.techwing.co.kr/ 116.8 試験/検査装置 ICハンドラ 韓国、台湾、中国、欧州、その他アジア

TES Co., Ltd. http://www.hites.co.kr/ 189.1 半導体製造装置 PECVD 韓国

Unisem Co., Ltd. http://www.unisem.co.kr/ 46.6 半導体製造装置 チラー 韓国、中国、その他アジア

Unitest. Inc. http://www.uni-test.com 43.5 試験/検査装置 メモリテスタ 韓国、台湾、中国

Wonik IPS Co., Ltd. http://www.ips.co.kr/ 601.4 半導体製造装置 PECVD、メタルCVD/ALD 韓国、台湾

出典:インフォーマ

Page 101: Presentation title style – option 1(1)日本、米国、中国、欧州、台湾、韓国等の国・地域における主要な装置、主要原料、主要部品の生産・販売状況の調査

Confidential. © 2019 Informa Tech LLC

(3)最近の韓国半導体材料企業

101

韓国の半導体材料企業の実力は古くからの化学企業系列が多いため最先端材料以外の製品は自国生産が可能になっている。しかし、10nm以下の製品に使う材料に関してはほとんどが日本を中心とした海外企業からの輸入に頼っている。

国籍 企業名 URL

AirFirst Co., Ltd. http://www.airfst.com

BASF Korea http://www.basf.com

Dongjin Semichem Co., Ltd. http://www.dongjin.com

Dongwoo Fine-Chem Co., Ltd. http://www.dwchem.co.kr

DUKSAN TECHOPIA http://um-t.kr

ENF Technology http://www.enftech.com

korea development bank http://www.kdb.co.kr

LG Chem http://www.lgchem.com

MTI Corporation http://www.mtisemi.com

韓国

出典:インフォーマ

Page 102: Presentation title style – option 1(1)日本、米国、中国、欧州、台湾、韓国等の国・地域における主要な装置、主要原料、主要部品の生産・販売状況の調査

Confidential. © 2019 Informa Tech LLC

(3)最近の台湾半導体製造装置企業

102

台湾の半導体製造装置企業は近年TSMCなどファウンドリー企業の成長とともに実力も高くなってきているが、韓国企業と比較してもまだ小規模な企業がほとんどである。台湾政府も国産化率50%をめざしているが、実現にはまだ10年以上の時間が必要とみている。

出典:インフォーマ

国籍 企業名 URL

3S Silicon Tech., Inc. http://www.sss-tech.com.tw/index_e

AblePrint Technology Co., Ltd. http://www.ableprint.com.tw

All Ring Tech Co., Ltd. http://www.allring-tech.com.tw

ASCENTEX INDUSTRY Corp. http://www.ascentex.com.tw

Atlas Technology Corporation http://www.atlasgroup.com.tw

Chroma ATE Inc. http://www.chromaate.com

D J Tech Chip Test Co. http://www.djt.com.tw

E and R Engineering Corporation http://www.enr.com.tw

Easy Field Corporation http://www.efctw.com

Gallant Micro. Machining Co., Ltd. http://www.gpmcorp.com.cn

Gallant Precision Machining Co., Ltd. http://www.gpmcorp.com.tw

Hi-Nano Optoelectronics Co.,Ltd. http://www.hinanomms.com

Joen Lih Machinery Co., Ltd. http://www.joenlih.com.tw

Spirox Corporation http://www.spirox.com.tw

TAIWAN SHINCRON TECHNOLOGY CO.,LTD. http://www.shincron.url.tw

Test Research, Inc. (TRI) http://www.tri.com.tw

Wisdom Power International Corporation LTD http://www.wpic.com.tw/

台湾

Page 103: Presentation title style – option 1(1)日本、米国、中国、欧州、台湾、韓国等の国・地域における主要な装置、主要原料、主要部品の生産・販売状況の調査

Confidential. © 2019 Informa Tech LLC

(3)最近の台湾半導体材料企業

103

台湾の半導体材料企業の実力は装置と同様にTSMCの成長とともに高くなってきている。特にWaferではGlobal Wafersは世界第3位になるなど売上でもトップ企業の一角を占める企業が現れている。一方、レジストなど間接材料では韓国同様に先端品は日本企業など海外への依存が高い構造になっている。

国籍 企業名 URL

Cica-Huntek Chemical Technology Taiwan Co., Ltd. http://www.cicahuntek.com.tw

Confederate Technology CO., LTD. http://linde-lienhwa.com.tw

Everlight Chemical Industrial Corporation http://www.ecic.com

GlobalWafers Co., Ltd. http://www.sas-globalwafers.com

IQE Taiwan Corporation http://www.iqep.com

LCY Chemical Corp. http://www.lcygroup.com

Linde LienHwa Industrial Gases Co., Ltd. http://www.linde-lienhwa.com.tw

MacDermid Alpha Electronics Solutions - Taiwan Branch http://www.macdermidalpha.com

Nanmat Technology Co., Ltd. http://www.nanmat.com

台湾

出典:インフォーマ

Page 104: Presentation title style – option 1(1)日本、米国、中国、欧州、台湾、韓国等の国・地域における主要な装置、主要原料、主要部品の生産・販売状況の調査

Confidential. © 2019 Informa Tech LLC

(3)最近の中国半導体事業環境

104

3DNAND❑ 長江ストレージ(YMTC)武漢◇19年6-8月 20KWPM装置搬入、19年Q4より64層品量産開始。◇2020年末で50KWPM計画◇プロセスエンジニアが不足

YMTCジャパンを17年11月に川崎で設立したが、エンジニア採用が進んでいない。

DRAM❑ JHICC(泉州)◇米国政府の禁輸制裁で装置・パーツ輸入停止でワンパスラインの構築が出来ていない。◇2020年代替装置を導入して5KWPM稼働させる予定。

❑ イノトロン(合肥)CXMT

◇プロセスエンジニア不足の状態継続◇装置は月10KWPM対応が入っているが、小規模量産のみ。2020年末で20KWPM計画しており装置搬入は19Q4に行った。

Logic❑ HSMC(武漢)Foundry

◇300㎜ 5KWPM 14nmの試作用装置を20年Q1に導入、Q2にも導入して10KWPMを計画。

❑ HeFeChip(広州)Foundry

◇300㎜ 5KWPM 14nmの試作用装置を20年末に導入、IBMと技術提携、Huaweiが出資しており生産委託を計画。

Page 105: Presentation title style – option 1(1)日本、米国、中国、欧州、台湾、韓国等の国・地域における主要な装置、主要原料、主要部品の生産・販売状況の調査

Confidential. © 2019 Informa Tech LLC

105

(3)最近の半導体事業環境:DRAMとNAND需給バランス• DRAM:2020年前半はまだ供給過剰な状態だが、Samsungを中心に投資も削減。2020年下期に需給バランスはタイトにな

る可能性がある。中国のDRAMメーカーは設備購入・プロセスエンジニア不足から量産立ち上げが遅れており需給に影響を与えるのは2023年以降になる。

• NAND:2020年前半はコロナの影響から需要減が心配されるが、後半はデータセンター、5Gスマホの需要増が要因でタイト感が出始める。設備投資も削減して慎重なスタンスに変更しているため需給バランスが悪化する可能性は低い。

• その後、設備投資増加と量産効率改善による過剰感が予想されるが、24年頃からは積層の限界が予想されるため需給は再びタイトになると予想している。

• 米中貿易摩擦により中国のNAND立上げが遅れ始め、中国からの過剰投資による危機はいったん遠のいた。DRAM同様に設備購入・プロセスエンジニア不足から需給に影響を与えるのは2023年以降とみている。

出典:インフォーマ

Page 106: Presentation title style – option 1(1)日本、米国、中国、欧州、台湾、韓国等の国・地域における主要な装置、主要原料、主要部品の生産・販売状況の調査

Confidential. © 2020 Informat Tech LLC

(4)エレクトロニクス製品に搭載されている部品・素子等の搭載状況に関する調査・分析

1.1 MOBOTIX – Network Camera

1.2 HIKVISION – Network Camera

1.3 HUAWEI - Network Server

1.4 HPE - Network Server

106

エレクトロニクス製品に搭載されている部品・素子等の調査・分析し、その結果を踏まえて当該製品を構成する 技術要素に用いられている素子の搭載状況を調査し、実製品に対する各企業の部品の搭載状況を調査する。ネットワークカメラとネットワークサーバを用いて調査した。

Page 107: Presentation title style – option 1(1)日本、米国、中国、欧州、台湾、韓国等の国・地域における主要な装置、主要原料、主要部品の生産・販売状況の調査

Confidential. © 2019 Informa Tech LLC

107

1.1 MOBOTIX – Network Camera

Target Product : MOBOTIX M16➢ ドイツ本社MOBOTIX社のサーマルセンサー搭載の全天候型カメラM16

➢ CMOSセンサーとのDual構成が選択可能だが、サーマルセンサー(米国FLIR社製)のみモデルを調査した

➢ サーマルセンサー素子は米国FLIR社製の採用実績大のICS0901が活用されている

出典:テカナリエ特別レポート(2020年3月2日)をもとにインフォーマ作成

Page 108: Presentation title style – option 1(1)日本、米国、中国、欧州、台湾、韓国等の国・地域における主要な装置、主要原料、主要部品の生産・販売状況の調査

Confidential. © 2019 Informa Tech LLC

108

1.1 MOBOTIX – Network Camera

出典:テカナリエ特別レポート(2020年3月2日)をもとにインフォーマ作成

1.1-1 M16 製品外観情報

Dimension158 x 210 x 207mm

Weight1.16Kg

EthernetCable

製品情報

Page 109: Presentation title style – option 1(1)日本、米国、中国、欧州、台湾、韓国等の国・地域における主要な装置、主要原料、主要部品の生産・販売状況の調査

Confidential. © 2019 Informa Tech LLC

109

1.1 MOBOTIX – Network Camera1.1-2 分解BOM情報 - Main BOM Table information

出典:テカナリエ特別レポート(2020年3月2日)をもとにインフォーマ作成

Page 110: Presentation title style – option 1(1)日本、米国、中国、欧州、台湾、韓国等の国・地域における主要な装置、主要原料、主要部品の生産・販売状況の調査

Confidential. © 2019 Informa Tech LLC

110

1.1 MOBOTIX – Network Camera1.1-3 分解BOM情報 – Sensor Unit BOM Table information

出典:テカナリエ特別レポート(2020年3月2日)をもとにインフォーマ作成

Page 111: Presentation title style – option 1(1)日本、米国、中国、欧州、台湾、韓国等の国・地域における主要な装置、主要原料、主要部品の生産・販売状況の調査

Confidential. © 2019 Informa Tech LLC

111

1.1 MOBOTIX – Network Camera1.1-4 分解BOM情報 – Sub PCB BOM Table information

出典:テカナリエ特別レポート(2020年3月2日)をもとにインフォーマ作成

Page 112: Presentation title style – option 1(1)日本、米国、中国、欧州、台湾、韓国等の国・地域における主要な装置、主要原料、主要部品の生産・販売状況の調査

Confidential. © 2019 Informa Tech LLC

112

1.1 MOBOTIX – Network Camera1.1-5 製品全体機能チップ情報(1)

MOBOTIXTotal

30chips

出典:テカナリエ特別レポート(2020年3月2日)をもとにインフォーマ作成

Page 113: Presentation title style – option 1(1)日本、米国、中国、欧州、台湾、韓国等の国・地域における主要な装置、主要原料、主要部品の生産・販売状況の調査

Confidential. © 2019 Informa Tech LLC

113

1.1 MOBOTIX – Network Camera

1.1-6 製品全体機能チップ情報(2)

MOBOTIXTotal

30chips出典:テカナリエ特別レポート(2020年3月2日)をもとにインフォーマ作成

Page 114: Presentation title style – option 1(1)日本、米国、中国、欧州、台湾、韓国等の国・地域における主要な装置、主要原料、主要部品の生産・販売状況の調査

Confidential. © 2019 Informa Tech LLC

114

1.1 MOBOTIX – Network Camera1.1-7 全体分析結果

• ドイツMOBOTIX社の監視カメラM16は各種センサーを組み合わせて用途によってラインアップを構成することができるものになっている。CMOSセンサーとサーマルセンサー(米国FLIR社製)の片方、両方の組み合わせが選択できる。報告の機種はサーマルセンサーだけのモデルである。

• 内部は3つの部位で構成される。①インターフェースおよび画像処理②サーマルセンサーおよび画像処理③IRセンサーである。CMOSセンサー付きモデルの場合、上記3つに加えてCMOSセンサーの画像処理基板が加わるものとなる。

• 筐体は硬質樹脂で構成されており、防水加工のパッキンが各部位の隙間に埋め込まれている。特徴としてはコンデンサーマイクロフォンと36mmのスピーカーが搭載されており、監視カメラを介しての会話や録音や警告発信などができるものになっていることである。

• 長期に渡る屋外での利用を想定して錆などでの腐食を避けるためか、金属ネジはほとんど使われず構成されており、各筐体部品が噛み合う形で組み立てられている特徴もある。

• センサーのデーターはセンサーに連結する画像処理基板でFPGAで画像補正処理が行われる。サーマルセンサーはレンズを介して情報を取り込むため歪み補正やエッジ処理、色変換などが必要であるからだ。画像処理したデーターはメイン基板に送られる。センサー近傍のサブ基板で画像処理を行ういわゆるエッジ処理となっているが、今後高速インターフェースを持つサーマルセンサーなどがラインアップされた場合にはエッジでのFPGA処理は省略される可能性もあるものと思われる。MOBOTIX社の監視カメラは内部が日米欧台のチップだけで構成されており、ほとんどが汎用チップという特徴もある。

出典:テカナリエ特別レポート(2020年3月2日)をもとにインフォーマ作成

Page 115: Presentation title style – option 1(1)日本、米国、中国、欧州、台湾、韓国等の国・地域における主要な装置、主要原料、主要部品の生産・販売状況の調査

Confidential. © 2019 Informa Tech LLC

115

1.2 HIKVISION – Network Camera

Target Product : HIKVISION DS-2TD4166T-25

➢ 中国HIKVISION ネットワークサーマル&光学カメラDS-2TD4166-25の分解およびセンサー情報サーマルセンサー(中国RAYTRON社)製は640 x 512解像度を持ちトラッキング可能

➢ 人物検知は最大540m、車両検知1544m、温度測定885mなど機能範囲が広い特徴有

出典:テカナリエ特別レポート(2020年3月2日)をもとにインフォーマ作成

Page 116: Presentation title style – option 1(1)日本、米国、中国、欧州、台湾、韓国等の国・地域における主要な装置、主要原料、主要部品の生産・販売状況の調査

Confidential. © 2019 Informa Tech LLC

116

1.2 HIKVISION – Network Camera

1.2-1 DS-2TD4166T-25 製品外観情報

Weight8.0Kg

EthernetCable

製品情報

DimensionΦ266.6mm x 410.0mm

撮影範囲水平 : 360°垂直 : -15 ~ +90°

出典:テカナリエ特別レポート(2020年3月2日)をもとにインフォーマ作成

Page 117: Presentation title style – option 1(1)日本、米国、中国、欧州、台湾、韓国等の国・地域における主要な装置、主要原料、主要部品の生産・販売状況の調査

Confidential. © 2019 Informa Tech LLC

117

1.2-2 分解BOM情報 - I/F PCB BOM Table Information

1.2 HIKVISION – Network Camera

出典:テカナリエ特別レポート(2020年3月2日)をもとにインフォーマ作成

Page 118: Presentation title style – option 1(1)日本、米国、中国、欧州、台湾、韓国等の国・地域における主要な装置、主要原料、主要部品の生産・販売状況の調査

Confidential. © 2019 Informa Tech LLC

118

1.2-3 分解BOM情報 - Motor PCB BOM Information

1.2 HIKVISION – Network Camera

出典:テカナリエ特別レポート(2020年3月2日)をもとにインフォーマ作成

Page 119: Presentation title style – option 1(1)日本、米国、中国、欧州、台湾、韓国等の国・地域における主要な装置、主要原料、主要部品の生産・販売状況の調査

Confidential. © 2019 Informa Tech LLC

119

1.2-4 分解BOM情報 - Motor PCB BOM Information(1)

1.2 HIKVISION – Network Camera

出典:テカナリエ特別レポート(2020年3月2日)をもとにインフォーマ作成

Page 120: Presentation title style – option 1(1)日本、米国、中国、欧州、台湾、韓国等の国・地域における主要な装置、主要原料、主要部品の生産・販売状況の調査

Confidential. © 2019 Informa Tech LLC

120

1.2-5 分解BOM情報 - Motor PCB BOM Information(2)

1.2 HIKVISION – Network Camera

出典:テカナリエ特別レポート(2020年3月2日)をもとにインフォーマ作成

Page 121: Presentation title style – option 1(1)日本、米国、中国、欧州、台湾、韓国等の国・地域における主要な装置、主要原料、主要部品の生産・販売状況の調査

Confidential. © 2019 Informa Tech LLC

121

1.2-6 分解BOM情報 - Wiper Motor PCB BOM Information

1.2 HIKVISION – Network Camera

出典:テカナリエ特別レポート(2020年3月2日)をもとにインフォーマ作成

Page 122: Presentation title style – option 1(1)日本、米国、中国、欧州、台湾、韓国等の国・地域における主要な装置、主要原料、主要部品の生産・販売状況の調査

Confidential. © 2019 Informa Tech LLC

122

1.2-7 分解BOM情報 - CMOS BOM Information

1.2 HIKVISION – Network Camera

出典:テカナリエ特別レポート(2020年3月2日)をもとにインフォーマ作成

Page 123: Presentation title style – option 1(1)日本、米国、中国、欧州、台湾、韓国等の国・地域における主要な装置、主要原料、主要部品の生産・販売状況の調査

Confidential. © 2019 Informa Tech LLC

123

1.2-8 分解BOM情報 - Thermal Unit BOM Information

1.2 HIKVISION – Network Camera

出典:テカナリエ特別レポート(2020年3月2日)をもとにインフォーマ作成

Page 124: Presentation title style – option 1(1)日本、米国、中国、欧州、台湾、韓国等の国・地域における主要な装置、主要原料、主要部品の生産・販売状況の調査

Confidential. © 2019 Informa Tech LLC

124

1.2-9 製品全体機能チップ情報(1)

1.2 HIKVISION – Network Camera

出典:テカナリエ特別レポート(2020年3月2日)をもとにインフォーマ作成

Page 125: Presentation title style – option 1(1)日本、米国、中国、欧州、台湾、韓国等の国・地域における主要な装置、主要原料、主要部品の生産・販売状況の調査

Confidential. © 2019 Informa Tech LLC

125

1.2-10 製品全体機能チップ情報(2)

1.2 HIKVISION – Network Camera

出典:テカナリエ特別レポート(2020年3月2日)をもとにインフォーマ作成

Page 126: Presentation title style – option 1(1)日本、米国、中国、欧州、台湾、韓国等の国・地域における主要な装置、主要原料、主要部品の生産・販売状況の調査

Confidential. © 2019 Informa Tech LLC

126

1.2-11 全体分析結果000• 中国HIKVISIONのサーマルセンサー付きネットワークカメラDS-2TD4166T-25は極めて重厚な作りとなっている。金属の分厚い筐体(フロントだけで1.3Kg、最厚部4mm)で覆われ簡単には分解できないようになっている。監視カメラとしては完全防御の構造だ。内部は大きく4つ

の部位で構成される。①電源および外部インターフェース②カメラの向きを変えるためのモーター制御③センサーのデーターを画像処理するためのコンピューティング④センサーである。

• センサーは2種搭載される。画像取り込み用のCMOSセンサーと温度感知用のサーマルセンサーである。前者CMOSセンサーはSONY製

のプログレッシブスキャン方式のもの。一度に全画面処理を行うセンサーでいわゆる動体視力に優れたものになっている。監視という動きのある場面を撮影するために最適化されたものである。CMOSセンサーにはインタレース方式という異なる構造のものもあり、上半分下半分などに分割して2回処理を行い画像を生成する。2回に処理を分けることでなめらか画像を作ることができるが、解像度はプログレッシブ方式が勝っており、監視カメラやネットワークカメラでプログレッシブスキャンが主流となっている。DS-2TD4166T-25ではSONY製IMX185

が採用される。本センサーは数多くの監視カメラに採用される定番CMOSセンサーの一つである。

• サーマルセンサーは中国RAYTRON社製。サーマルセンサーチップ上には2014年の年号情報があり比較的新しいチップであることが判明した。チップ上のセンサー素子数は0.328M Pixelと大きい。サーマルセンサーは温度を感知することを目的とするので素子数よりも感度(ドットサイズによって性能が左右されやすい)が重視されるが、RAYTRONのセンサーはドットピッチが17μmと感度が高く、かつドット数も多いので高性能なものとなっている。得られたセンサーのデーターは着色や画像の歪みを補正するISP処理、ノイズ除去などをFPGAで行っている。そのためセンサーのデーターはFPGAで画像処理されてからコンピューター基板に送られCMOSとサーマルセンサーの画像を合成する。米TI社のプロセッサDavintiがメイン処理を行い認識処理はFPGAで行っているものと思われる。モーター制御やシステム制御は米Allegro社、STマイクロ社製など汎用チップが使われている。センサー以外は汎用チップの組み合わせという構成である。

1.2 HIKVISION – Network Camera

出典:テカナリエ特別レポート(2020年3月2日)をもとにインフォーマ作成

Page 127: Presentation title style – option 1(1)日本、米国、中国、欧州、台湾、韓国等の国・地域における主要な装置、主要原料、主要部品の生産・販売状況の調査

Confidential. © 2019 Informa Tech LLC

127

1.3 HUAWEI – Network Server

Target Product : HUAWEI CE6880-24S4Q2CQ-E1➢ 中国HUAWEI社100Gbps対応ネットワークシンクライアントスイッチ

➢ C6880-24S4Q2CQ-E1の分解および主要チップ開封解析

➢ ネットワークプロセッサからホストプロセッサまで処理部はHiSilicon製

➢ 100GE PHYは米BROADCOM社製

出典:テカナリエ特別レポート(2020年3月2日)をもとにインフォーマ作成

Page 128: Presentation title style – option 1(1)日本、米国、中国、欧州、台湾、韓国等の国・地域における主要な装置、主要原料、主要部品の生産・販売状況の調査

Confidential. © 2019 Informa Tech LLC

128

1.3 HUAWEI – Network Server

1.3-1 CE6880-24S4Q2CQ-E1 製品外観情報

Weight8.5Kg

製品情報

Dimension442.0 x 420.0 x 43.6mm

出典:テカナリエ特別レポート(2020年3月2日)をもとにインフォーマ作成

Page 129: Presentation title style – option 1(1)日本、米国、中国、欧州、台湾、韓国等の国・地域における主要な装置、主要原料、主要部品の生産・販売状況の調査

Confidential. © 2019 Informa Tech LLC

129

1.3-2 分解BOM情報 - Main Board BOM Table Information(1)

1.3 HUAWEI – Network Server

出典:テカナリエ特別レポート(2020年3月2日)をもとにインフォーマ作成

Page 130: Presentation title style – option 1(1)日本、米国、中国、欧州、台湾、韓国等の国・地域における主要な装置、主要原料、主要部品の生産・販売状況の調査

Confidential. © 2019 Informa Tech LLC

130

1.3-3 分解BOM情報 - Main Board BOM Table Information(2)

1.3 HUAWEI – Network Server

出典:テカナリエ特別レポート(2020年3月2日)をもとにインフォーマ作成

Page 131: Presentation title style – option 1(1)日本、米国、中国、欧州、台湾、韓国等の国・地域における主要な装置、主要原料、主要部品の生産・販売状況の調査

Confidential. © 2019 Informa Tech LLC

131

1.3-4 分解BOM情報 - Main Board BOM Table Information(3)

1.3 HUAWEI – Network Server

出典:テカナリエ特別レポート(2020年3月2日)をもとにインフォーマ作成

Page 132: Presentation title style – option 1(1)日本、米国、中国、欧州、台湾、韓国等の国・地域における主要な装置、主要原料、主要部品の生産・販売状況の調査

Confidential. © 2019 Informa Tech LLC

132

1.3-5 分解BOM情報 - Power Unit Board-1 BOM Table Information

1.3 HUAWEI – Network Server

出典:テカナリエ特別レポート(2020年3月2日)をもとにインフォーマ作成

Page 133: Presentation title style – option 1(1)日本、米国、中国、欧州、台湾、韓国等の国・地域における主要な装置、主要原料、主要部品の生産・販売状況の調査

Confidential. © 2019 Informa Tech LLC

133

1.3-6 分解BOM情報 - Air Fan PCB BOM Table Information

1.3 HUAWEI – Network Server

出典:テカナリエ特別レポート(2020年3月2日)をもとにインフォーマ作成

Page 134: Presentation title style – option 1(1)日本、米国、中国、欧州、台湾、韓国等の国・地域における主要な装置、主要原料、主要部品の生産・販売状況の調査

Confidential. © 2019 Informa Tech LLC

134

1.3-7 製品全体機能チップ情報(1)

1.3 HUAWEI – Network Server

出典:テカナリエ特別レポート(2020年3月2日)をもとにインフォーマ作成

Page 135: Presentation title style – option 1(1)日本、米国、中国、欧州、台湾、韓国等の国・地域における主要な装置、主要原料、主要部品の生産・販売状況の調査

Confidential. © 2019 Informa Tech LLC

135

1.3-8 製品全体機能チップ情報(2)

1.3 HUAWEI – Network Server

出典:テカナリエ特別レポート(2020年3月2日)をもとにインフォーマ作成

Page 136: Presentation title style – option 1(1)日本、米国、中国、欧州、台湾、韓国等の国・地域における主要な装置、主要原料、主要部品の生産・販売状況の調査

Confidential. © 2019 Informa Tech LLC

136

1.3-9 全体分析結果• HUAWEI社は世界最大級のネットワーク機器の設計開発、製造、販売、保守サービスまでを行う総合メーカーである。今回報告のネットワークスイッチCE6880-24S4Q2CQ-E1は100Gbpsという現在最速のEthernet通信速度を実現できる端子を2基擁し、その他10Gbps

端子を24基、40Gbpsを4基持つ構成となっている。多くのネットワークを接続してスイッチ処理を行える。端子数を2倍化展開した製品もある。おそらく内部は同じ半導体チップが使われているものと思われる。半導体を使うことの最大の利点は拡張性。1つのチップを使っても処理はできるが、2基並列にすれば2倍の処理をすることができる。さらに4つ、8つと増やしていけば飛躍的に性能は向上する。

• そのため多くの半導体メーカーは2倍、4倍、8倍と増え続けるデバイスの追求に余念がない。半導体単体で見れば、メモリーやCMOSセンサー、CPUコアなどがその典型デバイスになる。一方でシステム自体が増殖し続けるものもある。その代表がネットワークやサーバー(いわゆるクラウド側)である。

• HUAWEIおよびHUAWEI傘下の半導体メーカーHiSIlicon社はネットワークの中核のLPU/Packetプロセッサーとシステム管理の8コアプロセッサおよびスイッチコントローラを自前で設計開発しネットワーク機器に採用している。本チップセットは多くの他のHUAWEI製機器にも展開されている。この増殖が2倍、4倍につながっていく。今回報告のCE6880はラックと呼ばれ、ラックを2基、4基と増殖すること

で高度なネットワークシステムを構築できるわけだ。こうしたチップセットを有することはネットワーク市場を支配するために極めて優位である。買ってきたものを単に並べてつなぐだけだと、製品の差別化も価格コントロールも難しい。しかし自前化することで、システムのウィークポイントをハードウェアとしてプロセッサレベルで改造することができ、最適化することができるからだ。100Gbps端子用のPHY

チップは米Broadcom社製。難易度の高いPHY(アナログ回路)をHiSiliconは自前化していない。10Gbpsであれば容易(フィジカルIPも販売されている)だが100Gbpsはタイミング調整に大きな労力とノウハウを必要とするからだ。買ってきたものを使う方がPHYは有利なデバイスである。 PHYチップはシステムの接続部品、あくまで手足であるからである。HUAWEIは自前化をシステム中核の処理部に集中しているわけだ。Make or Buyを選択し、費用対効果を最適化しているものと思われる。

1.3 HUAWEI – Network Server

出典:テカナリエ特別レポート(2020年3月2日)をもとにインフォーマ作成

Page 137: Presentation title style – option 1(1)日本、米国、中国、欧州、台湾、韓国等の国・地域における主要な装置、主要原料、主要部品の生産・販売状況の調査

Confidential. © 2019 Informa Tech LLC

137

1.4 HPE – Network Server

Target Product : HPE SN2010N• 米国HPエンタープライズ社100GbE対応ネットワークシンクライアントスイッチ

• NVIDIA社の買収したイスラエルのMellanox社製の100GbEスイッチチップがメインで採用される。

• ホストプロセッサはインテルAtom-C。ハーブラックサイズを実現。

出典:テカナリエ特別レポート(2020年3月2日)をもとにインフォーマ作成

Page 138: Presentation title style – option 1(1)日本、米国、中国、欧州、台湾、韓国等の国・地域における主要な装置、主要原料、主要部品の生産・販売状況の調査

Confidential. © 2019 Informa Tech LLC

138

1.4-1 CE6880-24S4Q2CQ-E1 製品外観情報

Weight4.53Kg

製品情報

Dimension200.0mm x 508.0mm x 43.9mm

1.4 HPE – Network Server

出典:テカナリエ特別レポート(2020年3月2日)をもとにインフォーマ作成

Page 139: Presentation title style – option 1(1)日本、米国、中国、欧州、台湾、韓国等の国・地域における主要な装置、主要原料、主要部品の生産・販売状況の調査

Confidential. © 2019 Informa Tech LLC

139

1.4 HPE – Network Server

1.4-2 分解BOM情報 - Host BOM Table Information(1)

出典:テカナリエ特別レポート(2020年3月2日)をもとにインフォーマ作成

Page 140: Presentation title style – option 1(1)日本、米国、中国、欧州、台湾、韓国等の国・地域における主要な装置、主要原料、主要部品の生産・販売状況の調査

Confidential. © 2019 Informa Tech LLC

140

1.4 HPE – Network Server 1.4-3 分解BOM情報 - Host BOM Table Information(2)

出典:テカナリエ特別レポート(2020年3月2日)をもとにインフォーマ作成

Page 141: Presentation title style – option 1(1)日本、米国、中国、欧州、台湾、韓国等の国・地域における主要な装置、主要原料、主要部品の生産・販売状況の調査

Confidential. © 2019 Informa Tech LLC

141

1.4 HPE – Network Server

1.4-4 分解BOM情報 - Network Board BOM Table Information(1)

出典:テカナリエ特別レポート(2020年3月2日)をもとにインフォーマ作成

Page 142: Presentation title style – option 1(1)日本、米国、中国、欧州、台湾、韓国等の国・地域における主要な装置、主要原料、主要部品の生産・販売状況の調査

Confidential. © 2019 Informa Tech LLC

142

1.4 HPE – Network Server 1.4-5 分解BOM情報 - Network Board BOM Table Information(2)

出典:テカナリエ特別レポート(2020年3月2日)をもとにインフォーマ作成

Page 143: Presentation title style – option 1(1)日本、米国、中国、欧州、台湾、韓国等の国・地域における主要な装置、主要原料、主要部品の生産・販売状況の調査

Confidential. © 2019 Informa Tech LLC

143

1.4 HPE – Network Server 1.4-6 分解BOM情報 - Power Unit BOM Table Information(2)

出典:テカナリエ特別レポート(2020年3月2日)をもとにインフォーマ作成

Page 144: Presentation title style – option 1(1)日本、米国、中国、欧州、台湾、韓国等の国・地域における主要な装置、主要原料、主要部品の生産・販売状況の調査

Confidential. © 2019 Informa Tech LLC

144

1.4-7 製品全体機能チップ情報(1)

1.4 HPE – Network Server

出典:テカナリエ特別レポート(2020年3月2日)をもとにインフォーマ作成

Page 145: Presentation title style – option 1(1)日本、米国、中国、欧州、台湾、韓国等の国・地域における主要な装置、主要原料、主要部品の生産・販売状況の調査

Confidential. © 2019 Informa Tech LLC

145

1.4 HPE – Network Server

1.4-8 製品全体機能チップ情報(2)

出典:テカナリエ特別レポート(2020年3月2日)をもとにインフォーマ作成

Page 146: Presentation title style – option 1(1)日本、米国、中国、欧州、台湾、韓国等の国・地域における主要な装置、主要原料、主要部品の生産・販売状況の調査

Confidential. © 2019 Informa Tech LLC

146

1.4-9 全体分析結果• HEWLETT PACKARD ENTERPRISE社のネットワーク機器SN2010はアメリカを代表する2つのプロセッサーメーカーのチップで基本構成されている。システムを管理するCPUにインテル、ネットワーク処理を行うPHYおよびスイッチコントローラに米NVIDIAが採用されている。NVIDIAとは言っても2019年に同社が7000億円を超える巨費を投じて買収を行ったイスラエルのEthernet PHY+ネットワークプロセッサを手掛けるMellanox社製である。インテルのCPUはあくまでもシステムの管理を行うものでネットワーク処理を行うわけではない。上位性能のプロセッサでなく、2世代前の22nm(現在最新は10nm、1世代前は14nm)で製造される中位レベルの処理能力を有するAtomコアを用いるもの。多くの機器に採用される汎用プロセッサである。ただし採用されるCPUはAtom-Cという命名が成されており、ネームのCは、CommunicationのCなので主に通信ネットワーク向けに最適化されているモデルになる。具体的には16LaneのPCI

Express端子を有し、ネットワーク機器へのシステム管理をPCI Expressを介して行う構造となっている。

• ネットワークプロセッサはMellanox社のMT52132が採用される。2017年に発表されたSpectrumシリーズの最上位プロセッサになる。100Gbpsを32Lane備えるモンスター級チップである。現在100Gbpsを実現できるチップは市販では5社が供給を行っている。①Broadcom Tomahawkシリーズ②MarvellXpliantシリーズ(2017年にCavium社をMarvellが買収)③Intel RRCシリーズ④NVIDIA

(Mellanox)である。5社のうち2社は2017-19年にいずれも買収によって米国の大手、準大手の一部になっている。米国製半導体を使用しないと100Gbpsは実現できないものかと言えばけっしてそうではない。5社目は中国。中国にも高速PHYネットワークチップを手掛けるメーカーが存在する。2005年創業蘇州Centec社である。Centec社のネットワークプロセッサ”CTC8096”は最大で10Gbpsを80Ports、100Gbpsを4Ports有するネットワークプロセッサだ。すでに量産され多くのネットワーク製品に採用されている。Centec社は他にも多数ネットワークプロセッサを用意しGoldenGateのネームでシリーズ化されている。今後5Gや高速Wi-Fiなどの急激な普及によってネットワークサーバーの高速化と需要は急伸する。上記メーカーの技術動向は今後も定期観察での注視が必要だ。

1.4 HPE – Network Server

出典:テカナリエ特別レポート(2020年3月2日)をもとにインフォーマ作成

Page 147: Presentation title style – option 1(1)日本、米国、中国、欧州、台湾、韓国等の国・地域における主要な装置、主要原料、主要部品の生産・販売状況の調査

© 2019 Informa Tech LLC

Disclaimer

The IHS Markit reports, data and information referenced herein (the "IHS Markit Materials") are the copyrighted property of Informa Tech and its subsidiaries or affiliates (together “Informa Tech”) and represent data, research, opinions or viewpoints published by Informa Tech, and are not representations of fact.

The IHS Markit Materials reflect information and opinions from the original publication date and not from the date of this document. The information and opinions expressed in the IHS Markit Materials are subject to change without notice and Informa Tech does not have any duty or responsibility to update the IHS Markit Materials or this publication as a result.

IHS Markit Materials are delivered on an “as-is” and “as-available” basis. No representation or warranty, express or implied, is made as to the fairness, accuracy, completeness or correctness of the information, opinions and conclusions contained in IHS Markit Materials. To the maximum extent permitted by law, Informa Tech and its affiliates, officers, directors, employees and agents, disclaim

any liability (including, without limitation, any liability arising from fault or negligence) as to the accuracy or completeness or use of the IHS Markit Materials. Informa Tech will not, under any circumstance whatsoever, be liable for any trading, investment, commercial or other decisions based on or made in reliance of the IHS Markit Materials.

The “IHS Markit” brand has been licensed for use by Informa Tech. The “IHS Markit” brand and any third-party trademarks used in the IHS Markit Materials are the property of IHS Markit Group or their respective third party owners.

tech.informa.com