plasma etching: principles, mechanisms, application to micro- and nano-technologies

12
Ž . Applied Surface Science 164 2000 72–83 www.elsevier.nlrlocaterapsusc Plasma etching: principles, mechanisms, application to micro- and nano-technologies Christophe Cardinaud ) , Marie-Claude Peignon, Pierre-Yves Tessier LPCM-Institut des Materiaux Jean Rouxel, 2, Rue de la Houssiniere BP 32229 F-44322 Nantes cedex 03, France ´ ` Abstract Nowadays, plasma-etching processes are asked to produce patterns from the nanometer to the micrometer range with the same efficiency. The very severe requirements in terms of etch rate, selectivity, profile control and surface damage plasma-etching processes lead to, have been at the origin of the development of mechanistic studies by means of plasma diagnostics and surface analysis, as well as the development of new etching devices. We review here the basic concepts of plasma etching, and using examples, we describe more in details important features. We recall, in particular, the important role of the surface layer, the ion bombardment and the substrate temperature. q 2000 Elsevier Science B.V. All rights reserved. Keywords: Plasma etching; Micro-technology; Nano-technology 1. Introduction Plasma etching is one of the basic steps used in semiconductor processing for the fabrication of elec- tronic devices. In principle, accurate transfer of a pattern from the mask into a thin film requires only Ž. two major conditions: i the etching has to be Ž . Ž. directional vertical ; and ii the mask must not Ž suffer any significant design alteration lateral loss, . faceting ... . In fact, semiconductor processing in the sub- quarter micron critical dimension range needs very Ž. severe requirements in terms of: i selectivity with ) Corresponding author. E-mail address: [email protected] Ž . C. Cardinaud . respect to mask and substrate or underlying material; Ž. Ž . ii profile control of the pattern; iii damage to the Ž . material the process opens onto; and iv uniformity and rate of the etching. Moreover, the recent development of micro-tech- nologies for micro-electro-mechanical systems Ž . MEMS and micro-optical electro-mechanical sys- Ž . tems MOEMS , as well as the reduction of critical dimensions in microelectronics towards 100 nm and below, has brought out the need to develop plasma processes able to etch small features with very high Ž . aspect ratio 10–100 . Mechanistic studies have shown that the key pa- rameters of the plasma–surface interaction vary with each material upon the gas mixture and the ion bombardment. Often, the ratio of the neutral flux to ion energy flux is the dominant parameter. Combina- tion of plasma diagnostics and of surface analysis is 0169-4332r00r$ - see front matter q 2000 Elsevier Science B.V. All rights reserved. Ž . PII: S0169-4332 00 00328-7

Upload: christophe-cardinaud

Post on 02-Jul-2016

216 views

Category:

Documents


3 download

TRANSCRIPT

Page 1: Plasma etching: principles, mechanisms, application to micro- and nano-technologies

Ž .Applied Surface Science 164 2000 72–83www.elsevier.nlrlocaterapsusc

Plasma etching: principles, mechanisms, application to micro- andnano-technologies

Christophe Cardinaud), Marie-Claude Peignon, Pierre-Yves TessierLPCM-Institut des Materiaux Jean Rouxel, 2, Rue de la Houssiniere BP 32229 F-44322 Nantes cedex 03, France´ `

Abstract

Nowadays, plasma-etching processes are asked to produce patterns from the nanometer to the micrometer range with thesame efficiency. The very severe requirements in terms of etch rate, selectivity, profile control and surface damageplasma-etching processes lead to, have been at the origin of the development of mechanistic studies by means of plasmadiagnostics and surface analysis, as well as the development of new etching devices. We review here the basic concepts ofplasma etching, and using examples, we describe more in details important features. We recall, in particular, the importantrole of the surface layer, the ion bombardment and the substrate temperature. q 2000 Elsevier Science B.V. All rightsreserved.

Keywords: Plasma etching; Micro-technology; Nano-technology

1. Introduction

Plasma etching is one of the basic steps used insemiconductor processing for the fabrication of elec-tronic devices. In principle, accurate transfer of apattern from the mask into a thin film requires only

Ž .two major conditions: i the etching has to beŽ . Ž .directional vertical ; and ii the mask must not

Žsuffer any significant design alteration lateral loss,.faceting . . . .

In fact, semiconductor processing in the sub-quarter micron critical dimension range needs very

Ž .severe requirements in terms of: i selectivity with

) Corresponding author.E-mail address: [email protected]

Ž .C. Cardinaud .

respect to mask and substrate or underlying material;Ž . Ž .ii profile control of the pattern; iii damage to the

Ž .material the process opens onto; and iv uniformityand rate of the etching.

Moreover, the recent development of micro-tech-nologies for micro-electro-mechanical systemsŽ .MEMS and micro-optical electro-mechanical sys-

Ž .tems MOEMS , as well as the reduction of criticaldimensions in microelectronics towards 100 nm andbelow, has brought out the need to develop plasmaprocesses able to etch small features with very high

Ž .aspect ratio 10–100 .Mechanistic studies have shown that the key pa-

rameters of the plasma–surface interaction vary witheach material upon the gas mixture and the ionbombardment. Often, the ratio of the neutral flux toion energy flux is the dominant parameter. Combina-tion of plasma diagnostics and of surface analysis is

0169-4332r00r$ - see front matter q 2000 Elsevier Science B.V. All rights reserved.Ž .PII: S0169-4332 00 00328-7

Page 2: Plasma etching: principles, mechanisms, application to micro- and nano-technologies

( )C. Cardinaud et al.rApplied Surface Science 164 2000 72–83 73

probably the best strategy to develop to understandthe plasma–surface interaction, in particular, whenthe surface can be analysed in situ and, possibly, inreal-time, or using vacuum transfer in a such a waythat it does not suffer any dramatic chemical orstructural modifications.

This paper presents a short overview of someconcepts and examples concerning plasma–surfaceinteraction mechanisms. Focus is first set on theimportance of the surface layer on the etching mech-anisms. Then, some aspects of plasma-induced dam-age are presented and discussed in relation to thecontrol of the ion energy. Finally, the influence ofthe substrate temperature in surface mechanisms isdescribed. In Section 3, some major issues concern-ing application of micro- and nano-patterning arebriefly discussed.

2. Concepts of plasma–surface interaction

2.1. General concepts

In a low pressure gas, plasma is produced by thedissipation of electrical power to the medium. Elec-trons, to which most of the power is transferred, gainenough energy to initiate under collisions with atomsand molecules, processes such as excitation, ionisa-tion and dissociation. Atoms, radicals and ion species,which are produced in this way, are at the origin offurther reactions and, as a consequence, the plasmaphase is generally a very complex mixture of chemi-cal species.

Ultimately, the plasma species interact with thewalls and the sample surface. Adsorption of specieswill occur depending on the chemical affinity andsurface temperature. Adsorbed species may reactwith the surface to form a product or desorb withoutor before reaction. If the product is volatile, it willdesorb into the plasma phase and, thus, cause etchingof the material; in the discharge, it will either beeliminated through pumping or either participate tothe plasma chemistry. If the product is not volatile, itwill participate to the formation of a thin film at thesurface of the material.

The choice of the plasma chemistry, that is thegas mixture used, is made according to the volatility

and stability of the etch product. Table 1 presents alist of various halogen-, hydride-, and methyl-com-pounds, as well as their respective volatility for themost common elements and materials that plasmaetching deals with presently. For element materials,such as Si, the choice is generally easy and largesince several alternatives exist which allow to tunethe etching efficiency and characteristics to the ap-plication. As an example, when going from fluorine-to chlorine- and bromine-based chemistry, etch ratesdecrease and etching mechanism needs more ionassistance. This behaviour, which is in favour of aneasier profile control, is in part due to the reductionof the etch product volatility. In the case of com-pounds, one has to face the situation that there isgenerally not one etch product for the compound, butone etch product per constituent with a differentvolatility, in addition, it is also frequent to havedifferent etchant species per constituent. This com-plicates the choice of the chemistry and has strongeffects on the etching mechanisms and on the chemi-cal composition of the etched surface.

Ions, electrons and photons also interact with thesurface. In plasma processing, the sample is, ingeneral, negatively biased with respect to the plasmaby means of an external power supply, so positiveions play a very important role in plasma–surfaceinteractions. Ions bring energy to the surface and,thus, can assist chemical reactions and desorption ofweakly volatile species, or induce direct sputtering.A remarkable illustration of the effect of ion bom-

w xbardment is reported in Fig. 1 1 . In this experiment,the plasma environment is simulated by combination

Ž . Ž q.of a gas beam XeF and an ion beam Ar . The2

reaction rate of XeF with Si increases enormously2

upon the simultaneous combination of chemicalŽ . Ž q.species XeF and ions Ar on the surface. Obvi-2

ously, chemical reaction and some sputtering pro-cesses are expected to occur and therefore to beresponsible of the ablation of the material, but thecombined effect of active neutral species and ionbombardment is more efficient than the sum of theindividual processes. Ions are also chemically reac-tive species and their role on etching or depositionmay be non-negligible if their flux is comparable tothat of neutral species.

When patterning features, ions play a fundamentalrole as the bombardment is essentially directional

Page 3: Plasma etching: principles, mechanisms, application to micro- and nano-technologies

()

C.C

ardinaudet

al.rA

ppliedSurface

Science164

200072

–83

74

Table 1Halogen-, hydride- and methyl-compounds and their volatility for elements and materials of interest in micro- and nano-technology applications

Elements Fluorides Boiling Chlorides Boiling Bromides Boiling Hydrides, BoilingŽ . Ž . Ž . Ž .temperature 8C temperature 8C temperature 8C trimethyls temperature 8C

Ž . Ž .Al AlF 1297 subl. AlCl 178 subl. AlBr 2633 3 3

As AsF y63 AsCl 130.2 AsBr 221 AsH y553 3 3 3

AsF y53 AsBr5 5

C CF y128 CCl 77 CBr 189 CH y1644 4 4 4

Cr CrF )1300 CrO Cl 117 CrBr 8422 2 2 2Ž .Cu CuF 1100 subl. CuCl 1490 CuBr 1345

CuF 950 CuCl 993 CuH 55–602 2Ž .Ga GaF 1000 GaCl 201.3 GaBr 278.8 Ga CH 1343 3 3 3 3

Ž .Ge GeF y37 subl. GeCl 84 GeBr 186.5 GeH y88.54 4 4 4Ž . Ž .In InF )1200 InCl 300 subl. In CH 55.73 3 3 3

Mo MoF 213.6 MoCl 2685 5Ž .MoF 35 MoOCl 100 subl.6 3

Ž .MoO F 270 subl.2 2

MoOF 1804

P PF y101.5 PCl 75 PBr 172.9 PH y87.73 3 3 3Ž .PF y75 PCl 162 subl. PBr 1065 5 5

Si SiF y86 SiCl 57.6 SiBr 154 SiH y111.84 4 4 4

Ta TaF 229.5 TaCl 242 TaBr 348.85 5 5Ž .Ti TiF 284 subl. TiCl 136.4 TiBr 2304 4 4

W WF 17.5 WCl 346.76 6

WOF 187.5 WCl 275.6 WBr 3334 5 5

WOCl 227.5 WOBr 3274 4

Page 4: Plasma etching: principles, mechanisms, application to micro- and nano-technologies

( )C. Cardinaud et al.rApplied Surface Science 164 2000 72–83 75

Fig. 1. Ion-assisted gas-surface chemistry using Arq and XeF on2w xsilicon 1 .

and perpendicular to the sample surface. Assumingthe ion flux on the lateral sidewall of the feature tobe zero, anisotropic etching is obtained by biasingthe sample, such that ion-assistance prevails on ther-mal reactions. Fulfilment of this condition means nocollisions for the ions during their travel from theplasma to the surface. Efficiency of the ion bombard-ment, thus, strongly depends on plasma density andpressure.

Chemical species can also play a very importantrole in achieving anisotropic etching. Indeed, speciessuch as atoms and radicals, additional to the etchingagent, are also produced in the plasma phase. Thesevery often create a protective sidewall barrier thatblocks chemical attack the side of the features. Twomechanisms have been advanced to explain this side-wall protection. These species can induce the growthof a protective film, which composition and thick-ness prevent the etchant species to interact with thematerial of the feature. The other mechanism is thatadsorption of radicals changes the chemical reactiv-ity of the sidewall and promotes recombination pro-cesses, which deactivate the incoming etchantspecies. Of course, surfaces submitted to the ionbombardment are kept clear from such layers.

Finally, these additional species are also mostimportant to obtain a selective etching with respectto mask or underlying material.

In conclusion, ideal operation conditions forŽ .plasma processing require a very fine tuning of i

Ž .the composition and flux of neutral species, ii the

Ž .composition, flux and energy of ion species, and iiithe surface temperature in order to control the inter-action between the plasma and the surface. However,

Ž .parameters at disposal for the operator are: i theŽ .power fed to the discharge, ii the nature, pressure

Ž .and flow rate of the feed gas, and iii the samplebias and temperature. Information which allows tomake a link between these external parameters andthe mechanistic parameters is the aim of mechanisticstudies by means of plasma diagnostics and surfaceanalysis.

At this point, it is necessary to recall briefly andvery schematically the two main set-ups used for

Ž .etching. In a capacitively coupled rf diode CC-rfsystem, the sample is usually located on the rf-drivenelectrode. In such a system, sample bias, whichexpresses more or less ion energy, is a function ofthe power applied to sustain the discharge, so thation flux and ion energy are not independent parame-ters. These systems have been used for years butshowed their limit when processing required to oper-ate at low pressure and simultaneously achieve highplasma density and low impact energy at the samplesurface. Indeed, low pressure is desirable to increaseion bombardment efficiency and achieve anisotropicetching. Then, high plasma density is necessary tokeep high production rate of active species and highetch rates, thus, high input power is compulsory.CC-rf systems are then limited by two main phenom-ena. High input power means high bias of the pow-ered electrode, and high uncontrolled ion energy isnot desirable. The electric field, which acceleratesthe electrons is mostly perpendicular to the electrodeŽ .Fig. 2a . Thus, lowering the pressure, to increaseion bombardment efficiency, comes to a practicallimit when the electron-neutral collision mean freepath is of the same order than the gap between theelectrodes, as sustaining the plasma becomes more

Ž .and more difficult. Inductive coupled plasma ICPsystems are one of the high density plasma sourceswhich allow to produce dense plasmas at low pres-

w xsure 2 . Indeed, assuming an ideal inductive cou-pling between the plasma and the antenna throughthe dielectric window, the main electric field is

Ž .azimuthal Fig. 2b . Thus, no important bias is ap-plied between the plasma and the chamber wall, andwithout particular limitation high plasma density canbe obtained at low pressure. Moreover, in this geom-

Page 5: Plasma etching: principles, mechanisms, application to micro- and nano-technologies

( )C. Cardinaud et al.rApplied Surface Science 164 2000 72–8376

Ž . Ž .Fig. 2. a Schematic description of a CC-rf plasma source; bschematic description of an ICP-rf plasma source.

etry, the sample is located on a separate holder. Ionenergy at the sample can be controlled independentlyfrom chamber pressure and plasma generation bybiasing the sample holder.

2.2. Importance of the surface layer

In most cases, because of the complexity of theplasma chemistry, a large number of reactions occur-ring at the surface does not lead to etching. This isparticularly the case with hydrocarbon- and fluoro-carbon-based plasmas, which are used for III–V andSiO materials, respectively. Plasma diagnostics2

show that a very complex chemistry occurs in theplasma phase and at the chamber walls, leading tothe formation of a large variety of by-products in-

w xcluding high molecular weight species 3,4 . Hence,

in a general way, the plasma interacts with thematerial to be etched through a surface layer, whichplays a first important role in the mechanism. Thesurface layer is thus controlled both by the plasmachemistry and by the etching mechanism. Dependingon the dominant process, it can be limited to areactive layer or be constituted of a reactive, plus anadditional overlayer.

A typical example of the latter situation is that ofSi and SiO etching in fluorocarbon plasmas. In this2

particular case, a competition exists at the surfacebetween surface fluorination — which further leadsto etching — and fluorocarbon film deposition. Thesesystems have been extensively studied in the pastyears for their capability to give selective etching of

w xSiO over Si 5 . Today, the subject is still hot, as2

the need to come to high density plasmas withindependent sample bias, to obtain high etch rates atlow and controllable ion energy, has forced to usehighly ‘‘polymerising’’ chemistries to maintain se-

w xlectivity 6 . In this particular case, for a givenplasma chemistry and depending on the sample bias,the dominant process can vary from fluorocarbon

Ž . Ž .deposition at low bias to etching at high bias . Afluorocarbon film is present at the Si surface and thereaction rate is typically inversely proportional to thelayer thickness. This indicates a diffusion mechanismfor the fluorine atoms through this layer in order to

w xreact with the material surface 7,8 . Moreover,thickness and composition of the overlayer is con-trolled by the ion energy flux on the surface, as wellas by the composition and flux of neutral speciesw x8–10 . Situation on SiO is similar, but the release2

of oxygen atoms from the surface during the etchingprocess helps to consume the fluorocarbon layer andleads to a much thinner layer. It is generally admittedthat SiO etching is mainly ion-controlled, however,2

recent results show a significant influence of thecomposition of this overlayer on the SiO etch rate2w x10 .

Such an in-diffusion limited mechanism is ex-pected to control surface etching whenever the plasmaphase contains precursors for film deposition. Ingeneral, the key parameters, which control the equi-librium between etching and deposition, are tworatios: the etchant species flux to deposition speciesflux ratio and the ion energy flux to depositionspecies flux ratio.

Page 6: Plasma etching: principles, mechanisms, application to micro- and nano-technologies

( )C. Cardinaud et al.rApplied Surface Science 164 2000 72–83 77

Obviously, a strong correlation exists betweencomposition and flux of plasma species and compo-sition thickness and role of the surface layer. Chang-ing the nature of walls or changing the wall tempera-ture has a strong influence on the plasma chemistryw x11 and, thus, on the etching mechanism.

As an example, etching tungsten in SF –O re-6 2w xsults in two volatile products WF and WOF 12 .6 4

The dominant detected product varies with the natureof the rf-driven electrode material, as it controls the

w xatomic fluorine concentration in the gas phase 13 .WF is the detected product in fluorine-rich plasmas6

and WOF is that of fluorine-poor plasmas. X-ray4Ž .photoelectron spectroscopy XPS analysis on a W

plate exposed to SF –40% O shows the presence of6 2

WOF compounds in addition to O–W, F–W, and4

SO F species. Moreover, angular XPS analyses in-x y

dicate that these WOF species are located between4

the tungsten surface and the oxyfluoride overlayerw x Ž14 . Reactions between the plasma species F and O

.atoms and the tungsten surface take place at thetungsten–overlayer interface, after diffusion of thesespecies through the overlayer.

The interpretation is that WOF is probably the4

dominant product formed at the tungsten surface inSF –40% O . The nature of the final product des-6 2

orbed in the gas phase depends on the fluorine andoxygen concentration in the gas phase. If these fluxesare high, as in the case of an electrode material as Alinsensitive to the fluorine plasma, the slow desorbingWOF species react with the incoming plasma species4

and the final product is dominantly WF even though6

there is oxygen in the gas phase. This mechanism isaccompanied by the formation of WO F speciesx y

w xwhich maintains the overlayer 15 . If these fluxesare low, as in the case of a plasma-reactive electrodematerial as Si or W, few reactions occur during thediffusion of WOF in the overlayer, and as a result,4

this product desorbs in the gas phase. Here again, theetching mechanism is diffusion-controlled, but thelimiting factor is the out-diffusion of the etch prod-uct and the etching mechanism is very stronglyinfluenced by the environment.

2.3. Plasma-induced damage

Ion bombardment has an important action in etch-ing through the enhancement of chemical processes.

And ‘‘ion-assisted gas-surface chemistry mecha-nism’’ is probably the best terminology to use todescribe the plasma processing of a surface. Becauseion assistance means energetic ions, ion bombard-ment can also cause damage to the film in the courseof etching or to the material the etching opens onto.Chemical damage can also occur, particularly in thecase of compound materials. This damage can con-cern the electrically active areas and, thus, compro-

w xmise the performance of the device 16 . Surfaceanalysis is able to detect structural and chemicaldamage, especially when dealing with monocrys-talline compounds such as III–V materials.

Mechanistic studies of the etching of InP in CH –4

H have shown that the H atoms are the etchant for2

phosphorus and that In etching is related to thew xamount of CH in the discharge 3,17,18 . The high3

diffusion and reactivity of H atoms in the materialand the high volatility of PH lead to phosphorus3

depletion of the surface. Combination of plasmadiagnostics and XPS allows to conclude that the Inremoval mechanism is an ion-activated chemical

w xetching mechanism 18,19 . In any case, the reactivelayer is a phosphorus-depleted material, and the ef-fective etching mechanism of the compound materialis controlled by that of In. In return, the In removalrate controls the effective P depletion depth.

Analysis of the surface after etching shows bothchemical and structural modifications. Based on an-gular XPS measurements, a model has been pro-posed to describe the phosphorus depletion and the

w xion-induced crystalline defects 20 . The damagedlayer located over the monocrystalline material is theresult of the plasma–surface interaction. It consistsof a superficial P-depleted layer and an amorphisedbut stoichiometric InP layer.

Fig. 3a reports the dependency of the etch rate ofInP vs. the sample bias for CC-rf and ICP-rf excita-tion in CH –H mixtures. Both experiments have4 2

been carried out in the same apparatus, using thesame chemistry. In the case of CC-rf excitation,sample bias is varied by changing the excitationpower from 10 to 300 W. For ICP, sample bias isvaried between 0 and 200 V by changing the sample

Ž .rf bias power between 0 and 22 300 W source orŽ .45 W 600 W source , as indicated in Fig. 3.

In ICP processes, higher etch rates are obtained atlower substrate bias power because of the much

Page 7: Plasma etching: principles, mechanisms, application to micro- and nano-technologies

( )C. Cardinaud et al.rApplied Surface Science 164 2000 72–8378

larger plasma density. Chemical and structural dam-age to the substrate can be estimated from XPS

Žanalysis. At comparable etch rates for example, 500˚ .Armin , the overall phosphorus loss appears to be

Žmuch more severe in CC-rf etching PrIn ratios. Ž .0.55 than in ICP 0.8 , except for high bias power

Ž .where heavy damages are observed Fig. 3b . Themore efficient etching process in ICP — due to thecombined effects of a much higher ion to neutralflux ratio and a much larger active species produc-tion rate — prevents the development of a P-de-

˚Žpleted superficial layer at the extreme surface 20 A˚ .for CC-rf and 10 A for ICP; Fig. 3c . In addition, the

low substrate bias allows to keep, as shallow aspossible, the extension of structural damage to values

˚not exceeding 20 A. Considering the previous exam-˚ple, for 500 Armin etching in ICP at 300 W source

— which corresponds to 200 V bias — the damaged˚layer is limited to 15 A, whereas a damaged layer up

˚to 65 A is obtained in CC-rf etching, as similar etchrate performance requires 150 W power supply and

Ž .generates 600 V of sample bias Fig. 3d .This example points out the large interest in high

density plasma systems with separate sample biasdevice for surface processing under controllable sur-face damage.

2.4. Influence of surface temperature

Control of surface temperature is an importantpoint since chemical reactions are temperature de-

Ž .pendent. Operating at low temperature ;200 K isthus an efficient way to improve anisotropy of the

w xetching by means of complementary effects 21 .

Ž . Ž . Ž . Ž .Fig. 3. Comparison between CC-rf and ICP etching. a Etch rates, b surface stoichiometry, c P-depleted layer thickness, and d InPamorphous layer thickness.

Page 8: Plasma etching: principles, mechanisms, application to micro- and nano-technologies

( )C. Cardinaud et al.rApplied Surface Science 164 2000 72–83 79

Fig. 4. Influence of surface temperature and ion energy on etch rate and surface roughness.

Lowering the temperature reduces reaction probabil-ity and chemical reaction rates between the etchantspecies and the material. A second effect is anenhancement of adsorption mechanisms, which re-sults in the formation of a thick adsorbed layer.Situation is then similar to that exposed above, sincethe plasma species active for etching need to diffusethrough this layer. Both these effects lead to reducedchemical etching. A third effect concerns only thesurfaces exposed to the ion bombardment. Becauseof the increase in the fraction of surface sites occu-pied by adsorbed species, ion-induced reactions in-crease. When etching a pattern, the result is thus adecrease in lateral etching and an increase in verticaletching. However, if the temperature is too low, theadsorbed layer becomes too thick to allow the inter-action between the surface and reactive species pro-duced under ion-assisted processes.

These phenomena are illustrated in Fig. 4, whichreports Si etch rates as a function of temperatureunder various ion bombardment conditions in a SF6

w xplasma beam experiment 22 . In this particular case,XPS analysis of the Si surface carried out at various

w xtemperatures 23 and mass spectrometry analysis ofw xthe plasma beam 24 lead to a better knowledge of

the nature of the adsorbing species, and on themodification of the adsorbed layer upon ion-inducedreactions. The etching process at low temperaturecombines two major mechanisms: ion-induced reac-

tions lead to the dissociation of adsorbed species andto their reaction with Si, simultaneously ion-stimu-lated desorption prevents the formation of an ad-sorbed layer too thick to allow diffusion through thelayer and assists diffusion towards the substrate.

The presence of thick adsorbed layers explainswhy the etch rate decreases strongly or even cancelsin SF reactive ion beam etching of silicon at low6

temperatures if the ion energy flux is too low. Inetching conditions, the thickness of the adsorbedlayer is a result of a balance between adsorption ofthe neutral species and their desorption, dependingon the ion energy flux.

Morphology of the etched surface is also deeplyaffected by the combination of low temperature and

Ž .ion energy flux Fig. 4 . In the situation whereion-induced processes allow high etch rate, the sur-face is smooth as in the case of high temperature. Onthe contrary, if the ion energy flux is too low toavoid heavy adsorption, exposure to the plasma beamleads to very high roughness, which is understood asdue to important micro-masking effects.

3. Application to micro- and nano-technologies

In microelectronics, the challenge is to patternfeatures with critical dimensions of 0.2 mm andbelow, with an etching process highly uniform on a

Page 9: Plasma etching: principles, mechanisms, application to micro- and nano-technologies

( )C. Cardinaud et al.rApplied Surface Science 164 2000 72–8380

large scale, highly anisotropic, and highly selectivewith respect to mask and underlying material.Achievement of the required critical dimension con-trol means operating the plasma at low pressure. In asimilar way, deep trenching requires high rate direc-tional etching, which means again, low pressure andhigh density plasma, in addition to high selectivity tothe mask. To obtain an accurate pattern transfer, it istherefore most desirable to avoid any drifts in theetching mechanism as the feature is opened. Thismeans in particular that fluxes of active speciesŽ .atoms, radicals, ions and temperature remain stableat the bottom of the feature in the course of etching.

As an example, deep trenching in Si is obtained inw x Ž .fluorine-based plasmas 25 Fig. 5 , either at low

temperature when using a resist mask, or at roomtemperature when using a hard SiO mask. Situation2

is, however, more complex when opening smallfeatures onto an insulator, such as in polySi gateetching. The use of Cl -based or HBr-based2

chemistries enables to obtain high selectivity withrespect to resist mask and gate oxide and does notrequire a very high bias to be anisotropic. The mostcurrent defect is the formation of a notch during the

Ž .overetching step Fig. 6 on features adjacent to openareas. An explanation commonly put forward, butstill under discussion, is the occurrence of a negativecharging of the resist mask at the pattern aperture

w xand a positive charging of the SiO surface 26,27 ,2

which deviates the ion flux towards the foot of thefeature. Tunneling electron currents at the notchedline will induce gate oxide degradation. The mostefficient solution to this could be to reduce the ion

Fig. 5. High aspect ratio trench etch; etch depth: 85 mm; trenchw xwidth: 3.5 mm 22 .

Ž .Fig. 6. Experimental observation left and schematic descriptionŽ . w xright of notching 23 .

flux during overetching, this would decrease theion-assistance to the etching of the sidewall, butcould have negative effects on the overetching effi-ciency. Up to now, the most commonly encounteredsolutions consist in changing also the plasma chem-istry in addition to the other process parameters. Thelittle drawback is that it implies sidewall passivation.

w xThe process then requires various steps 28,29 inŽ .order to have successively i fast polySi etching

with minimal sidewall passivation film to ensure aŽ .minimal pattern shift, ii infinite polySi over oxide

selectivity with formation of sidewall passivationŽ .limited if possible to prevent oxide breakthroughand notching. Alternatively, the use a low bias fre-

Ž .quency below the ion plasma frequency with apulsed plasma source seems to give interesting re-

w xsults 30,31 , as it could prevent the feature fromcharging, thus, eliminating the problem.

Page 10: Plasma etching: principles, mechanisms, application to micro- and nano-technologies

( )C. Cardinaud et al.rApplied Surface Science 164 2000 72–83 81

Processes requiring energetic ion-assistance, suchas SiO etching, also suffer from differential charg-2

ing since directionality and flux inside the featurewill be affected as the feature depth increases. Devia-tions to the desired profile are known as aspect ratio

Ž .dependent etching phenomena ARDE; Fig. 7 . Some

Fig. 7. Illustration of some aspect ratio dependent etching phe-w xnomena 32 .

Ž .Fig. 8. Transfer of nanometer scale features 50 and 100 nmusing plasma etching. Mask is 25-nm thick evaporated Cr layer.

of these effects are directly related to the deflectionŽof the ions towards the sidewall barrel bowing,

.trenching . Others, such as RIE-lag and etch stop,are due to a too weak ion-assistance of the etching.This phenomenon was expected to be suppressed orconsiderably reduced in high-density processes, and

Ž .with ICP sources in particular, i in reason of theŽ .much higher ion flux striking the surface, and ii in

reason of the low operating pressure, which enhancesion flux directionality. However, the need to go tomore ‘‘polymerising’’ mixtures and to be selectivewith respect to the mask, forces to operate the etch-ing close to the transition between etching and depo-sition. Then, as the effective ion flux density at thebottom of the feature decreases during the etching,the regime drifts from the etching regime towards

w xthe deposition regime 33 . No straightforward solu-tions exist. Going to less ‘‘polymerising’’ chemistry

Page 11: Plasma etching: principles, mechanisms, application to micro- and nano-technologies

( )C. Cardinaud et al.rApplied Surface Science 164 2000 72–8382

will result in a dramatic loss in selectivity, increasingthe ion energy, or the ion energy flux is probably thebest direction to explore.

Yet, in its principle, plasma processes are able toopen features in the nanometer scale. Fig. 8 showsthe transfer of 100 and 50 nm patterns into SiO2

using CHF high density plasma. The process was3

stopped when 500 nm of SiO were removed, but it2

could have been continued. Such a process is thusquite efficient to etch small features but suffers froma weak selectivity with respect to resist materials.Indeed, mask material in this case is metal andpattern is made by evaporation and lift-off technique.

4. Conclusion

Plasma etching is a well-mastered technique forpatterning features larger than 0.2 mm, and for as-pect ratio below 5. The challenge today and in thefuture is to develop efficient processes in the direc-tion of the nano-technologies, thus, decreasing thecritical dimension towards the nanometer scale, aswell as in the direction of the micro-technologies andincrease the etch depth to 100 mm and above. Forparticular applications — deep trenching in Si forexample — progress in the recent years has shownthat such objectives could be attained. On the otherhand, mechanistic studies carried for more than 20years have shown that plasma processes were ex-tremely complex and that process optimisation was

Žextremely dependent upon the application material,.pattern size, device requirements and the technology

Ž .used chemistry, etching apparatus . However,progress in the understanding of the plasma phaseand on the plasma–surface interaction, better controlof the various process parameters and developmentof new etching devices will surely bring solutions tothe present issues.

Acknowledgements

The authors gratefully acknowledge B. Heidariand E.-L. Sarwe at NSC — University of Lund, for

Ž .the metal mask as well as for SEM imaging Fig. 8 .

References

w x Ž .1 J. Coburn, H.F. Winters, J. Appl. Phys. 50 1979 3189.w x Ž .2 W.L. Johnson, in: O. Popov Ed. , High Density Plasma

Sources, Noyes Data Corp., Park Ridge, NJ, 1979.w x3 Y. Feurprier, Ch. Cardinaud, B. Grolleau, G. Turban, Plasma

Ž .Sources Sci. Technol. 6 1997 561.w x4 W.W. Stoffels, E. Stoffels, K. Tachibana, J. Vac. Sci. Tech-

Ž .nol., A 16 1998 87.w x Ž .5 J. Coburn, H.F. Winters, Annu. Rev. Mater. Sci. 13 1983

91.w x6 F.H. Bell, O. Joubert, G.S. Oehrlein, Y. Zhang, D. Vender, J.

Ž .Vac. Sci. Technol., A 12 1994 3095.w x Ž .7 G.S. Oehrlein, Mater. Res. Soc. Symp. Proc. 98 1987 229.w x8 N.R. Rueger, J.J. Beulens, M. Schaepkens, M.F. Doemling,

J.M. Mirza, T.E.F.M. Standaert, G.S. Oehrlein, J. Vac. Sci.Ž .Technol., A 15 1997 1881.

w x Ž .9 Ch. Cardinaud, G. Turban, Appl. Surf. Sci. 45 1990 109.w x10 L. Rolland, M.-C. Peignon, Ch. Cardinaud, G. Turban, Mi-

Ž .croelectronics Engineering 53 2000 359.w x11 H. Sugai, K. Nakamura, Y. Hikosaka, M. Nakamura, J. Vac.

Ž .Sci. Technol., B 13 1995 887.w x12 A. Picard, G. Turban, Plasma Chem. Plasma Process. 5

Ž .1985 333.w x13 M.-C. Peignon, Ch. Cardinaud, G. Turban, J. Appl. Phys. 70

Ž .1991 3314.w x14 M-C. Peignon, These de Doctorat, Universite de Nantes-` ´

ISITEM, Nantes France 1993.w x15 M.-C. Peignon, Ch. Cardinaud, G. Turban, J. Electrochem.

Ž .Soc. 140 1993 505.w x16 W. Greene, J.B. Kruger, G. Kooi, J. Vac. Sci. Technol., B 9

Ž .1991 366.w x17 Y. Feurprier, Ch. Cardinaud, G. Turban, Plasma Sources Sci.

Ž .Technol. 6 1997 334.w x18 Y. Feurprier, Ch. Cardinaud, G. Turban, J. Vac. Sci. Tech-

Ž .nol., B 15 1997 1733.w x19 Y. Feurprier, Ch. Cardinaud, G. Turban, J. Vac. Sci. Tech-

Ž .nol., B 16 1998 1552.w x20 Y. Feurprier, Ch. Cardinaud, G. Turban, J. Vac. Sci. Tech-

Ž .nol., B 16 1998 1823.w x21 S. Tachi, K. Tsujimoto, S. Arai, T. Kure, J. Vac. Sci.

Ž .Technol., A 9 1991 796.w x22 T. Chevolleau, P.-Y. Tessier, Ch. Cardinaud, G. Turban, J.

Ž .Vac. Sci. Technol., A 15 1997 2661.w x23 P.-Y. Tessier, T. Chevolleau, Ch. Cardinaud, B. Grolleau,

Ž .Nucl. Instrum. Methods B 155 1999 280.w x24 T. Chevolleau, P.-Y. Tessier, Ch. Cardinaud, G. Turban, in:

Proceedings of XXIII ICPIG vol. III-901997.w x25 T. Pandhumsoporn, L. Wang, M. Feldbaum, P. Gadgil, M.

Puech, P. Maquin, SPIE Symposium on Smart Structures andMaterials, 1998, San Diego.

w x26 N. Fujiwara, S. Ogino, T. Maruyama, M. Yoneda, PlasmaŽ .Sources Sci. Technol. 5 1996 126.

w x Ž .27 K.P. Giapis, G.S. Hwang, Jpn. J. Appl. Phys. 37 19982281.

w x28 S. Ma, M. Jain, J.D. Chinn, J. Vac. Sci. Technol., A 16Ž .1998 1440.

Page 12: Plasma etching: principles, mechanisms, application to micro- and nano-technologies

( )C. Cardinaud et al.rApplied Surface Science 164 2000 72–83 83

w x29 A.C. Westerheim, R.D. Jones, P.J. Mager, J.H. Dubash, T.J.Dalton, M.W. Goss, S.K. Baum, S.K. Dass, J. Vac. Sci.

Ž .Technol., B 16 1998 2699.w x30 H. Morioka, D. Matsunaga, H. Yagi, J. Vac. Sci. Technol., A

Ž .16 1998 1588.w x31 S. Samukawa, T. Tsukuda, J. Vac. Sci. Technol., A 15

Ž .1997 643.

w x32 M. Armacost, P.D. Hoh, R. Wise, W. Yan, J.J. Brown, J.H.Keller, G.A. Kaplita, S.D. Halle, K.P. Muller, M.D. Naeem,S. Srinivasan, H.Y. Ng, M. Gutsche, A. Gutman, B. Spuler,

Ž .IBM J. Res. Dev. 43 1999 39.w x33 O. Joubert, P. Czuprynski, F.H. Bell, P. Berruyer, R. Blanc,

Ž .J. Vac. Sci. Technol., B 15 1997 629.