photomask technology and euv lithography call for ......contamination • euv process control and...

12
TEL: +1 360 676 3290 · [email protected] · #SPIEphotomaskEUV Conferences: 26-30 September 2021 Exhibition: 28-29 September 2021 Monterey Conference Center Monterey, California, USA CALL FOR PAPERS Photomask Technology and EUV Lithography Submit abstracts by 12 May 2021 PHOTOMASK TECHNOLOGY + EUV LITHOGRAPHY 2021 CALL FOR PAPERS spie.org/PUV21call Co-located Conferences 2021

Upload: others

Post on 22-Apr-2021

27 views

Category:

Documents


3 download

TRANSCRIPT

Page 1: Photomask Technology and EUV Lithography CALL FOR ......contamination • EUV process control and stochastics • EUV patterning and process enhancement • EUV lithography extendibility

TEL: +1 360 676 3290 · [email protected] · #SPIEphotomaskEUV 1

Conferences: 26-30 September 2021Exhibition: 28-29 September 2021

Monterey Conference CenterMonterey, California, USA

CALL FORPAPERS

Photomask Technology and EUV Lithography

Submit abstracts by 12 May 2021 PHO

TOM

ASK

TEC

HN

OLO

GY

+ E

UV

LIT

HO

GR

APH

Y 2

021

CA

LL F

OR

PA

PER

S

spie.org/PUV21call

Co-located Conferences

2021

Page 2: Photomask Technology and EUV Lithography CALL FOR ......contamination • EUV process control and stochastics • EUV patterning and process enhancement • EUV lithography extendibility

SPIE Photomask Technology + Extreme Ultraviolet Lithography 2021 · spie.org/puv21call2

Present your workPresent your research at the premier worldwide technical meeting for photomasks, patterning, metrology, materials, inspection/repair, mask business, extreme UV lithography, and emerging technologies.

Conferences 26-30 September 2021

Exhibition 28-29 September 2021

spie.org/PUV21call

Photomask Technology• Design automation and data prep

(DFM, OPC, SMO)

• Mask write, corrections, process compensation (MPC)

• Mask blanks, defects, and metrology (materials, process, control)

• Mask process (resist, devlop, etch, cleans)

• Metrology (CD, placement, AFM, AIMS)

• Defects and defect control: inspection, repair, verification strategies, pellicles, in fab

• Simulation and imaging: mask transfer to wafer (LER, SWA, surface roughness)

• Nanoimprint lithography tools, mask, transfer, and resists

• Deep learning mask technology applications

EUV Lithography• EUV readiness and insertion in

manufacturing

• EUV tools, including sources and optics

• EUV mask metrology, inspection, and lifetime

• EUV mask and imaging

• EUV mask pellicles

• EUV resist materials/process and contamination

• EUV process control and stochastics

• EUV patterning and process enhancement

• EUV lithography extendibility

Monterey Conference Center Monterey, California, USA

Lorem ipsum

Page 3: Photomask Technology and EUV Lithography CALL FOR ......contamination • EUV process control and stochastics • EUV patterning and process enhancement • EUV lithography extendibility

TEL: +1 360 676 3290 · [email protected] · #SPIEphotomaskEUV 3

Stephen P. Renwick, Nikon Research Corp. of America (USA)2021 Photomask Technology Conference Chair

Bryan S. Kasprowicz, HOYA Corp. (USA)2021 Photomask Technology Conference Co-Chair

We are pleased that SPIE Photomask Technology and the International Conference on Extreme Ultraviolet Lithography will again be co-located in Monterey, California, at the Monterey Conference Center.

SPIE Photomask TechnologyThe 41st Photomask Conference organized by SPIE and BACUS—The international Photomask Group of SPIE—is the global forum for scientists, engineers, and industry leaders to present and discuss key topics related to photomasks. The conference addresses design, fabrication, quality control, and the use of photomasks in the semiconductor industry.

As Conference Chairs, we urge you to participate in our 41st year by submitting your abstract(s), and to encourage your colleagues to do the same. We encourage your company to continue their support for the Photomask Technology symposium.

Plan to Participate

International Conference on Extreme Ultraviolet LithographyThe International Conference on Extreme Ultraviolet Lithography provides a forum to discuss and assess the worldwide status of EUV technology and infrastructure readiness. Scientists, engineers, and industry leaders meet to present and discuss new and unpublished materials.

As Conference Chairs, we urge you to participate by submitting your abstract(s), and to encourage your colleagues to do the same. We encourage your company to continue their support for the Extreme Ultraviolet Lithography conference.

Patrick P. Naulleau, Lawrence Berkeley National Lab. (United States)2021 EUV Lithography Conference Chair

Paolo A. Gargini, Stanford Univ. (United States)2021 EUV Lithography Conference Chair

Kurt G. Ronse, imec (Belgium)2021 EUV Lithography Conference Chair

Toshiro Itani, Osaka Univ. (Japan)2021 EUV Lithography Conference Chair

A home for your researchAs an author, don’t hesitate to submit an abstract. Although much in the world remains uncertain, the one constant is that your work is important. SPIE continues our commitment to providing a forum for information sharing, collaboration, and advancing research that is vital to your community. Prepare your abstract and by doing so you will guarantee that your research is ready to be shared.

Page 4: Photomask Technology and EUV Lithography CALL FOR ......contamination • EUV process control and stochastics • EUV patterning and process enhancement • EUV lithography extendibility

SPIE Photomask Technology + Extreme Ultraviolet Lithography 2021 · spie.org/puv21call4

Photomask Technology (PM21)Conference Chair: Stephen P. Renwick, Nikon Research Corp. of America (United States)Conference Co-Chair: Bryan S. Kasprowicz, HOYA Corp. (United States)Program Committee: Frank E. Abboud, Intel Corp. (United States); Uwe F.W. Behringer, UBC Microelectronics (Germany); Peter Buck, Mentor, a Siemens Business (United States); Byungcheol Cha, SAMSUNG Electronics Co., Ltd. (Korea, Republic of); Thomas Faure, GLOBALFOUNDRIES Inc. (United States); Aki Fujimura, D2S, Inc. (United States); Emily E. Gallagher, imec (Belgium); Naoya Hayashi, Dai Nippon Printing Co., Ltd. (Japan); Henry H. Kamberian, Photronics Inc. (United States); Byung Gook Kim, ESOL, Inc. (Korea, Republic of); Romain Lallement, IBM Thomas J. Watson Research Ctr. (United States); Kent H. Nakagawa, Toppan Photomasks, Inc. (United States); Takahiro Onoue, HOYA Corp. (Japan); Jan Hendrik Peters, BMBG Consult (Germany); Moshe E. Preil, KLA Corp. (United States); Jed H. Rankin, GLOBALFOUNDRIES Inc. (United States); Douglas J. Resnick, Canon Nanotechnologies, Inc. (United States); Thomas Scherübl, Zeiss (Germany); Shalini Sharma, JSR Micro, Inc. (United States); Yuyang Sun, Mentor Graphics Corp. (United States); Bala Thumma, Synopsys, Inc. (United States); Banqiu Wu, Applied Materials, Inc. (United States); Shusuke Yoshitake, NuFlare Technology, Inc. (Japan); Larry S. Zurbrick, Keysight Technologies, Inc. (United States)

SPIE Photomask Technology is a global forum for scientists and engineers to present and discuss photomask design, fabrication, quality control, and applications in the semiconductor industry. Again this year, its co-location with the EUV Lithography Symposium in a single setting presents a unique opportunity to meet and share results, challenges, and potential solutions in the EUV and DUV worlds.

EUV is now used in high-volume manufacturing, in parallel with on-going innovation in 193i lithography to support multiple patterning in critical layers as well as applications in prototyping and larger-feature layers. Research continues to develop new mask materials, EUV pellicles, MDP (OPC, MPC, Fracture) methods, and metrology and inspection capabilities. Additionally, the growth in legacy technology driven by AI as well as 5G, silicon photonics, IoT, MEMS, and similar products is creating a resurgence in demand across nodes, challenging mask makers to not only maintain but expand capacity for established pro-cesses with mature equipment.

This conference is an excellent opportunity for the information exchange that keeps our industry mov-ing. We encourage technologists in the photomask and related fields to attend and share their work. We particularly welcome students to submit papers on their research activities. Scholarship support is avail-able, and the BACUS organization bestows awards for best student paper and best student poster.

Papers addressing aspects of photomask research, development, manufacturing, and use are all solic-ited, including:

• Design automation and data prep (DFM, OPC, SMO), including curvilinear masks

• Mask writing, corrections, process compensation

• Mask blanks, defects, and metrology

• Mask process (resist, develop, etch, cleans)

• Metrology (CD, placement, AFM, AIMS)

• Defects and defect control, including pellicle use

• Simulation and imaging: mask transfer to wafer (LER, SWA, surface roughness)

• Effects of mask error and compensation

• Use of deep learning and machine learning

Submit your abstract today: spie.org/PUV21call

PHOTOMASK TECHNOLOGY + EUV LITHOGRAPHY

Co-Sponsored by:

Page 5: Photomask Technology and EUV Lithography CALL FOR ......contamination • EUV process control and stochastics • EUV patterning and process enhancement • EUV lithography extendibility

TEL: +1 360 676 3290 · [email protected] · #SPIEphotomaskEUV 5

Save the dateAbstracts Due: 12 May 2021

Author Notification: 23 June 2021The contact author will be notified of acceptance by email.

Manuscripts Due: 25 August 2021Please Note: Submission implies the intent of at least one author to register, attend the conference, present the paper as scheduled, and submit a full-length manuscript for publication in the conference proceedings.

Co-Sponsored by:

International Conference on Extreme Ultraviolet Lithography 2021 (EUVL21)Conference Chairs: Patrick P. Naulleau, Lawrence Berkeley National Lab. (United States); Paolo A. Gargini, Stanford Univ. (United States); Toshiro Itani, Osaka Univ. (Japan); Kurt G. Ronse, imec (Belgium)Program Committee: Anuja De Silva, IBM Research - Almaden (United States); Igor V. Fomenkov, Cymer, LLC (United States); Eric Hendrickx, imec (Belgium); Winfried Kaiser, Carl Zeiss SMT GmbH (Germany); Bryan S. Kasprowicz, HOYA Corp. (United States); Takahiro Kozawa, Osaka Univ. (Japan); Marie E. Krysak, Intel Corp. (United States); Ted Liang, Intel Corp. (United States); Chris S. Ngai, Applied Materials, Inc. (United States); Eric M. Panning, Intel Corp. (United States); Moshe E. Preil, KLA Corp. (United States); Satoshi Tanaka, KIOXIA Corp. (Japan)

The International Conference on Extreme Ultravi-olet Lithography provides a forum to discuss and assess the worldwide status of EUV technology and infrastructure readiness. Scientists, engineers, and industry leaders meet to present and discuss new and unpublished materials. They address the main challenges associated with the extendibility of the technology to smaller dimensions.

The EUVL20 Symposium highlighted the readiness of EUV lithography for 5nm node semiconductor device manufacturing in 2020. Excellent progress in 0.33NA EUV scanner performance was report-ed, together with an impressive increase in EUV scanner shipments and adoption in high-volume manufacturing. To further extend the application of this technology, focus areas were also made clear; stochastics in resist materials/processes (which can lead to roughness and failures thereby affecting yield), mask defectivity (including alternative pellicle developments and alternative mask absorber devel-opments). With the high feasibility of high-NA EUV lithography, further infrastructure improvements in these focus areas are viewed as the key in further extending EUV technology beyond the 5nm node.

Papers that address all aspects of EUV lithography are solicited. There is interest in reviewing technol-ogies that are close to manufacturing, but also for papers that address new and exploratory concepts in EUV.

• EUV readiness and insertion in manufacturing

• EUV tools, including sources and optics

• EUV mask metrology, inspection, and lifetime

• EUV mask and imaging

• EUV mask pellicles and alternative absorbers

• EUV resist and underlayer materials/process and contamination

• EUV process control and stochastics

• EUV patterning and process enhancement

• EUV lithography extendibility and high-NA EUV

CALL FOR PAPERS

Joint sessions will be organized with SPIE Photomask for the EUV-mask-related topics. At the same time, the program chairs will actively solicit speakers and presentations in key areas of EUV development that are not mask related, such as novel EUV materials

Page 6: Photomask Technology and EUV Lithography CALL FOR ......contamination • EUV process control and stochastics • EUV patterning and process enhancement • EUV lithography extendibility

SPIE Photomask Technology + Extreme Ultraviolet Lithography 2021 · spie.org/puv21call6

W

PHOTOMASK TECHNOLOGY + EUV LITHOGRAPHY

PHOTOMASK TECHNOLOGY + EUV LITHOGRAPHY CONFERENCE

Zeiss Student AwardsThe Zeiss Award was established to support students working in the fields of EUV lithography and photomasks

Award Committee: Eric Hendrickx, Seong-Sue Kim, Ted Liang, Tony Yen

Three awards:• First Place: $1000• Second Place: $500• Third Place: $500

1ST PLACEAccuracy analysis of a stand-alone EUV spectrometer for the characterization of ultrathin films and nanoscale gratings, Sophia Schroeder, RWTH Aachen Univ. (Germany) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .[115170S]

2ND PLACEImage denoising for electron beam metrology, Luke Long, University of California,Berkeley (USA) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .[115170L]

3RD PLACEEngineering resist-substrate interface: a quantum chemistry study of self-assembled monolayers, Jonathan Ma, University of California, Berkeley (USA) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [115170H]

Awards Sponsored by:

Page 7: Photomask Technology and EUV Lithography CALL FOR ......contamination • EUV process control and stochastics • EUV patterning and process enhancement • EUV lithography extendibility

TEL: +1 360 676 3290 · [email protected] · #SPIEphotomaskEUV 7

W

CALL FOR PAPERS

Photronics Student AwardsEstablished to encourage students working in fields related to photomasks

Award Committee: Program Chairs and Eric Hendrickx, Seong-Sue Kim, Ted Liang, Tony Yen

Four finalists selected from mask related student oral papers• Each finalist is awarded $500• One winner is selected from these finalists• Awarded an additional $500

OVERALL WINNERSilicide EUV pellicle, Ha Neul Kim, Hanyang Univ. (Republic of Korea) . . . . [115170A]

FINALISTSMo Silicide EUV pellicle, Ha Neul Kim, Hanyang Univ. (Republic of Korea) [115170A]

Effects of the illumination NA on EUV mask inspection with coherent diffraction imaging, Ricarda Nebling, Paul Scherrer Institut (Switzerland) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [115170W]

Picometer sensitivity metrology for EUV absorber phase, Stuart Sherwin, University of California, Berkeley (USA) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [1151707]

Resist thickness dependence of line width roughness of chemically amplified resists used for electron beam lithography, Naoki Maeda, Osaka Univ. (Japan) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .[115180D]

Awards Sponsored by:

2020 STUDENT AWARDS

Page 8: Photomask Technology and EUV Lithography CALL FOR ......contamination • EUV process control and stochastics • EUV patterning and process enhancement • EUV lithography extendibility

SPIE Photomask Technology + Extreme Ultraviolet Lithography 2021 · spie.org/puv21call8

SUBMISSION GUIDELINES

Present your research at SPIE Photomask Technology + EUV LithographyFollow these instructions to develop a successful abstract and accompanying manuscript for the conference and for publication in the Proceedings of SPIE in the SPIE Digital Library.

How to submit an abstract• Browse the conference topics online or view the Call PDF. • Choose one conference that most closely matches the topics of your abstract.

Important: each abstract may be submitted to one conference only.• Click “Submit an Abstract” from within that online conference. You’ll be prompted to sign in

to your spie.org account to complete the submission wizard.

What you will need to submit• Title• Author information• 500-word abstract for technical review• 100-word summary for the program• Keywords used in search for your paper (optional)• Your decision on publishing your presentation recording to the SPIE Digital Library• Some conferences may indicate additional requirements in the Call for Papers

Note: Only original material should be submitted. Commercial papers, papers with no new research/development content, and papers with proprietary restrictions will not be accepted for presentation.

Submission agreementPresenting authors, including keynote, invited, oral, and poster presenters, agree to the following conditions by submitting an abstract. An author or coauthor will:• Register and attend the meeting.• Present as scheduled.• Publish a 4- to 20-page manuscript 4-page minimum for Nanoscience + Engineering in the Proceedings of SPIE in

the SPIE Digital Library.• Obtain funding for registration fees, travel, and accommodations, independent of SPIE, through their sponsoring

organizations.• Ensure that all clearances, including government and company clearance, have been obtained to present and publish.

If you are a DoD contractor in the USA, allow at least 60 days for clearance.

Important Dates

Review and program placement• To ensure a high-quality conference, all submissions will be assessed by the Conference Chair/Editor for technical

merit and suitability of content.• Conference Chairs/Editors reserve the right to reject for presentation any paper that does not meet content or

presentation expectations.• Final placement in an oral or poster session is subject to Chair discretion.

Publication of Proceedings in the SPIE Digital Library• Conference Chairs/Editors may require manuscript revision before approving publication and reserve the right to

reject for publication any paper that does not meet acceptable standards for a scientific publication.• Conference Chair/Editor decisions on whether to allow publication of a manuscript are final.• Authors must be authorized to transfer copyright of the manuscript to SPIE, or they must provide a suitable

publication license.• Only papers presented at the conference and received according to publication guidelines and timelines will be

published in the conference Proceedings of SPIE in the SPIE Digital Library.• Oral presentations are recorded, and the slides are synced with the presenter’s audio. Only those presentations with

author permission will be published in the SPIE Digital Library.• SPIE partners with relevant scientific databases to enable researchers to find the papers in the Proceedings of SPIE

easily. The databases that abstract and index these papers include Astrophysical Data System (ADS), Ei Compendex, CrossRef, Google Scholar, Inspec, Scopus, and Web of Science Conference Proceedings Citation Index.

• More publication information is available on the SPIE Digital Library.

Contact informationFor questions about submitting an abstract, or the meeting,please contact the Program Coordinator.

Page 9: Photomask Technology and EUV Lithography CALL FOR ......contamination • EUV process control and stochastics • EUV patterning and process enhancement • EUV lithography extendibility

TEL: +1 360 676 3290 · [email protected] · #SPIEphotomaskEUV 9

Full program, housing, travel, and registration information will be online in July 2021.

TEL: +1 360 676 3290 · [email protected] · #SPIEphotomaskEUV 9

HotelSPIE will arrange discounted rates at the Monterey Marriott.

ExhibitLearn more about exhibiting and sponsoring by visiting the “For Exhibitors” section of the website, or call SPIE Sales at +1 360 676 3290

Find full information online: spie.org/puv21call

The EUVL Conference Steering Committee Student Scholarship Award aims to encourage student contributions to the conference. This scholarship opportunity is open to any student contributing an abstract in the area of EUVL. Application information will be provided on the SPIE abstract submission site, and selection will be based on abstract quality. Awarded scholarships will include full coverage of registration fees and possible coverage of travel expenses.

spie.org/EUVL-grant

Apply for a travel grant

STUDENT TRAVELGRANT

Page 10: Photomask Technology and EUV Lithography CALL FOR ......contamination • EUV process control and stochastics • EUV patterning and process enhancement • EUV lithography extendibility

SPIE Photomask Technology + Extreme Ultraviolet Lithography 2021 · spie.org/puv21call10

A key technical meetingThe premier worldwide technical meeting for photomasks, patterning, metrology, materials, inspection/repair, mask business, extreme UV lithography, and emerging technologies.

Monterey Conference Center Monterey, California, USA

Conferences

26–30 September 2021

The mask-making industry’s premier eventJoin us as an exhibitor or walk the floor to meet key suppliers of mask components, software, and manufacturing equipment.

Two co-located conferences

Photomask TechnologiesAddressing key topics related to photomasks.

Extreme Ultraviolet LithographyAddressing the worldwide status of EUV technology and infrastructure readiness.

Exhibition

28–29 September 2021

Submit your abstract today: spie.org/PUV21call

Page 11: Photomask Technology and EUV Lithography CALL FOR ......contamination • EUV process control and stochastics • EUV patterning and process enhancement • EUV lithography extendibility

TEL: +1 360 676 3290 · [email protected] · #SPIEphotomaskEUV 11

GET LASTING VISIBILITY FOR

YOUR RESEARCH

Present and publish with SPIE.When you share your research at an SPIE conference and publish in the SPIE Digital Library, you are opening up opportunities for networking, collaborating, and promoting your work.

Proceedings of SPIE are covered by major scientific indexes and search services, including Web of Science, Scopus, Inspec, Ei Compendex, Astrophysical Data Service (ADS), CrossRef, and Google Scholar.SPIE supports

Your paper becomes globally available

to the research community.

spie.org/proceedings

Nicole Quist presented “Effect of molecular side groups and local nanoenvironment on photodegradation and its reversibility,” 105290Z (2018), doi: 10.1117/12.2291065. Authored by Nicole Quist, Mark Li, Ryan Tollefsen, Michael Haley, John Anthony, Oksana Ostroverkhova.

Page 12: Photomask Technology and EUV Lithography CALL FOR ......contamination • EUV process control and stochastics • EUV patterning and process enhancement • EUV lithography extendibility

Watch more than 20,000 conference presentations on the SPIE Digital Library

SEARCH ›Enter your search term

SPIEDigitalLibrary.org/videos

See the talks you missed.

16 August 2019Frontiers of applications of petawatt laser physics (Conference Presentation)Ulrich Schramm

23 August 2019Light-induced permeabilization of liposomesPaula Enzian, et al.

22 April 2019Chromatic line confocal technology in high-speed 3D surface-imaging applicationsKarri Niemelä