phd thesis huhang
TRANSCRIPT
High-κ Dielectric MIM Capacitors
for Silicon RF and Analog Applications
HU HANG
(M. Sc., Jilin University)
A thesis submitted in partial fulfillment of the requirements for the degree of Doctor of Philosophy
Electrical and Computer Engineering Department National University of Singapore
Singapore
December, 2003
Abstract
ABSTRACT
Metal-insulator-metal (MIM) capacitors in silicon integrated circuits have
attracted great attention due to their high conductive electrodes and low parasitic
capacitance. The conventional MIM capacitors using SiO2 and Si3N4 usually provide
low capacitance density, which is far from the requirement predicted by ITRS
roadmap. Therefore, to adopt high-κ materials is an unavoidable choice to improve the
overall electrical performance by using physically thicker dielectric films.
In this thesis, a thorough research has been done for high-κ MIM capacitors
using HfO2 based dielectrics for the first time. Various fabrication methods such as
pulsed-laser deposition, sputtering, and atomic-layer-deposition have been employed
to prepare high-κ dielectrics, and different dielectric structures like laminate, stack,
sandwich, etc, have also been explored as well.
Extensive electrical characterization was conducted to evaluate HfO2 based
high-κ MIM capacitors. DC properties in terms of leakage, voltage coefficients,
reliability etc, have been analyzed which are strongly correlated to the preparation
methods and material properties. In addition, well behaved RF characteristics of these
dielectrics have been demonstrated showing the almost invariable dielectric constants
of HfO2 based dielectrics in RF regime. As a result, all the experimental results justify
the suitability of HfO2 based dielectrics for MIM capacitors application.
Mechanisms with regard to the electronic conduction in high-κ dielectrics,
voltage coefficients of capacitance (VCCs) dependency, oxide degradation etc., have
been discussed and clarified. A good understanding of process-structure-property
I
Abstract
correlation is thus been achieved for high-κ dielectrics fabrication in back-end of line
process, and the information obtained in this thesis is paramount for the operation of
MIM capacitor devices.
Finally, a free carrier injection model has been employed to understand VCCs’
mechanism of MIM capacitors. The results reveal that, the thickness (t) dependence of
quadratic VCCs is an intrinsic problem due to electrical field enhancement in the
scaled dielectric film, which exhibits a relation of (n~2). Besides, the frequency
dependence of VCCs, and the stress modified VCCs could also been well interpreted
using this model.
nt−∝α
II
Table of Contents
III
TABLE OF CONTENTS
Page No.
CHPATER 1
INTRODUCTION OF HIGH-Κ MIM TECHNOLOGY
1.1. Capacitors in Si technology………………………...…………………………..1
1.2. Review of the literature………………………………………………………...4
1.2.1. Motivation of metal-insulator-metal (MIM) technology……………………….4
1.2.2. Current status of MIM technology……………………………………………..5
1.2.3. High-κ dielectrics for MIM capacitors application…………………………….7
1.2.4. Challenges and unsolved problems…………………………………………...12
1.3. Contribution of this thesis…………………………………………………….12
1.4. Thesis outline………………….……………………………………………….13
References…………………………………………………………………………….15
CHAPTER 2
HFO2 MIM CAPACITORS BY PULSED-LASER DEPOSITION (PLD)
2.1. Introduction………………..………………………………………………….22
2.2. Experiments…………………………………………………………………...24
2.3. Results and discussion………………………………………………………25
2.3.1. Physical characterization of PLD processed HfO2…………………………………………..25
2.3.2. Electrical characterization of HfO2 MIM capacitor………………………….35
2.4. Limitations of PLD for thin film fabrication………………………………….43
Table of Contents
IV
2.5. Conclusion……………………………………………………………….……46
References…………………………………………………………………………….48
CHAPTER 3
CHARACTERIZATION OF HFO2 MIM CAPACITORS FOR RF
APPLICATION
3.1. Introduction…………………………………………………………………...53
3.2. Experiments…………………………………………………………………...54
3.2.1. RF MIM capacitor fabrication………………………………………………54
3.2.2. S-parameters for RF characterization…………………………………………57
3.3. Results and discussion………………………………………………………58
3.3.1. RF characterization……………………………………………………………58
3.3.2. DC and low frequency measurements……...…………………………………63
3.4. Conclusion…………………………………………………………………….70
References…………………………………………………………………………….72
CHAPTER 4
HFALOX MIM CAPACITORS BY ATOMIC-LAYER-DEPOSITION (ALD)
4.1. Introduction…………………………………………………………………...76
4.1.1. ALD method for thin films fabrication………………………………………76
4.1.2. Characteristics of ALD processed HfO2 and Al2O3…………………………..77
4.2. Experiments…………………………………………………………………...80
4.3. Electrical characterization of HfO2-Al2O3 laminated MIM capacitors………81
4.3.1. RF characteristics of laminated MIM capacitors….…………………………82
4.3.2. Leakage and breakdown characteristics of laminated MIM capacitors………84
Table of Contents
V
4.3.3. VCCs dependence and reliability of laminated MIM capacitors……………93
4.4. Effects of dielectric structures on the electrical properties………………….100
4.5. Conclusion…………………………………………………………………...105
Reference…………………………………………………………………………….106
CHPATER 5
UNDERSTANDING VOLTAGE COEFFICIENTS OF HIGH-Κ MIM
CAPACITORS
5.1. Introduction………………………………………………………………….112
5.2. Theory………………………………………………………………………..113
5.3. Results and discussion……………………………………………………….115
5.3.1. Thickness dependence of VCCs for HfO2 MIM capacitor…………………..115
5.3.2. Frequency dependence of VCCs…………………………………………..123
5.3.3. Electrical stress modified VCCs……………………………………………..125
5.3.4. Prediction of VCCs…………………………………………………………..126
5.4. Conclusion………………………………………………………………...…129
References………………………………………………………………………….130
CHAPTER 6
Summary and future works………………………………………………………134
6.1. Summary……………………………………………………………………..134
6.2. Future works…………………………………………………………………135
List of Figures
VI
LIST OF FIGURES
Figure 1.1 Dielectric constant κ versus band gap for oxides…………………..….8
Figure 2.1 Experimental configuration of pulsed-laser deposition system in this
work…………………………………………………………………...23
Figure 2.2 XRD patterns of HfO2 thin films deposited on Si(100) substrates at
various substrate temperatures………………………………………..26
Figure 2.3 Deposition rates of HfO2 thin films deposited on Si substrates at various
substrate temperatures………………………………………………...27
Figure 2.4 Three dimensional AFM images of HfO2 thin films deposited on Si
substrates at various substrate temperatures of (a). 25, (b). 200, (c). 300,
and (d). 500oC respectively…………………………………………...28
Figure 2.5 Spectral dependence of refractive indexes of HfO2 films deposited at (a)
various substrate temperatures (oxygen pressure: 50 mTorr) and (b)
various deposition pressures (all deposited at room temperature)……32
Figure 2.6 Spectral dependence of extinction coefficients of HfO2 films deposited
at (a) various substrate temperatures (oxygen pressure: 50 mTorr) and
(b) various deposition pressures (all deposited at room temperature)...34
Figure 2.7 TEM photos of 56 nm HfO2 MIM capacitor fabricated at 200oC…….36
Figure 2.8 Current-voltage characteristic of HfO2 MIM capacitors prepared at 200,
300, and 400oC respectively…………………………………………..37
Figure 2.9 Capacitance versus frequency at zero bias for HfO2 MIM capacitors
prepared at 200, 300, and 400oC respectively………………………...38
List of Figures
VII
Figure 2.10 Normalized capacitance of HfO2 MIM capacitors prepared at (a) 200, (b)
300, and (c) 400oC as a function of voltage applied at a frequency of 1
kHz, 10 kHz, 100 kHz, and 1 MHz respectively………………………39
Figure 2.11 Normalized capacitance of HfO2 MIM capacitor prepared at 200oC as a
function of temperature…………………...…………………………..42
Figure 2.12 SEM top views of HfO2 film surfaces prepared with the laser fluence of
(a) 4.0 and (b) 7.0 J/cm2 respectively (fabricated at room
temperature)…………………………………………………………...44
Figure 3.1 Major fabrication steps and schematic top views of RF HfO2 MIM
capacitor and open dummy structure………………………………….56
Figure 3.2 The definition of S-parameters for a two-port network……………….57
Figure 3.3 The equivalent circuit model for capacitor simulation at RF regime…59
Figure 3.4 The measured and simulated S-parameters for (a) HfO-1 and (b) HfO-2.
(Simulation and parameter extractions were done by ICCAP.)………60
Figure 3.5 High frequency response of PVD HfO2 MIM capacitors from 50 MHz
to 20 GHz for HfO-1 and HfO-2……………………………………..62
Figure 3.6 The frequency dependence of capacitance density for PVD HfO2 MIM
capacitors HfO-1 and HfO-2…………………………………………62
Figure 3.7 Stress induced leakage currents (SILCs) characteristics of (a) HfO-1
and (b) HfO-2 under the constant voltage stress at 1.5 V…………….64
Figure 3.8 Stress time dependence of (a) the quadratic voltage coefficients and (b)
the linear voltage coefficients for HfO-1 under the constant voltage
stress at 1.5 V…………………………………………………………66
List of Figures
VIII
Figure 3.9 Stress time dependence of (a) the quadratic voltage coefficients and (b)
the linear voltage coefficients for HfO-2 under the constant voltage
stress at 1.5 V…………………………………………………………68
Figure 3.10 The equivalent circuit for HfO2 MIM capacitors after stress. The added
branch stands for the generated trapped states in MIM capacitor after
stress…………………………………………………………………..69
Figure 4.1 The growth rates dependence on deposition cycles for ALD processed
(a) HfO2 and (b) Al2O3………………………………………………79
Figure 4.2 TEM cross section of 13 nm HfO2-Al2O3 laminated dielectric……….81
Figure 4.3 Measured and simulated S-parameters for (a) 13 nm, (b) 31 nm and (c)
43 nm laminated MIM capacitors……………………………………83
Figure 4.4 The capacitance density dependence on frequency for laminate
capacitors with three thicknesses, the inset shows high frequency
response of laminate MIM capacitors from 50 MHz to 20 GHz……...84
Figure 4.5 J-V characteristics of 13, 31 and 43 nm laminated capacitors measured
at 125oC.……………………………..……………………………….85
Figure 4.6 J-V characteristics of 13 nm laminated MIM capacitor as a function of
temperature……………………………...…………………………….85
Figure 4.7 Conduction mechanisms for the 13 nm laminated MIM capacitor: (a)
Poole-Frenkel mechanism occurring at high electric field, exhibiting a
shift to lower electric field with increasing the temperature, (b)
Schottky emission fitting at low electric field……………..………….87
Figure 4.8 The characteristics of leakage current versus stress time under 4V stress
for the 13 nm laminated MIM capacitor. Square and round symbols
List of Figures
IX
represent the 1st stress and the 2nd stress after an interruption of 10
hours, respectively…………………………………...……………….90
Figure 4.9 I-V measurements showing the hysteresis loop of 13 nm laminated
MIM capacitor……………………………………......………………90
Figure 4.10 (a) The typical breakdown characteristics of 13 nm laminate under
different constant voltage stress; (b) the cumulative probability
dependence on breakdown voltage for the laminated MIM capacitors
with different thicknesses…..…………………………………………92
Figure 4.11 (a) The voltage-dependent normalized capacitance (∆C/C0) at 1 MHz
for 13, 31 and 43 nm laminated capacitors, fitted by a second order
polynomial equation; and (b) the corresponding plot of ∆C/C0 versus
electric field (E)…………………………...…………………………..94
Figure 4.12 Frequency dependences of α for 13, 31 and 43 nm laminated capacitors,
showing a linear fitting in log-log scale……………...……………….95
Figure 4.13 Thickness dependence of quadratic VCC (α) for laminated MIM
capacitors.……………………………………………………………..95
Figure 4.14 Temperature dependences of α and β at 100 kHz for 13, 31 and 43 nm
laminated capacitors……………………………………………..…..96
Figure 4.15 The dependence of α/α0 on stress time at 10 kHz, 100 kHz and 1 MHz.
The inset shows stress time dependence of β/β0 at the same frequencies.
α0 and β0 represent the data before voltage stress (β0 is of negative
sign.), α and β denote the data after different time stress…………….97
Figure 4.16 (a) Cumulative TDDB curves under various constant voltages stress for
13 nm laminated MIM capacitor measured at room temperature, (b)
List of Figures
X
lifetime projection of 13 nm laminated MIM capacitor, using 50%
failure time as the criteria………………..……...……...……………99
Figure 4.17 Illustrations of five different HfO2-Al2O3 material structures for
electrical characteristics comparison………..……………………….101
Figure 4.18 Typical J-V characteristics for MIM capacitors with different dielectric
structures at 125oC. The inset shows the corresponding breakdown
characteristics obtained at the same temperature. (Device area: 10-4
µm2)………………………..……………………………………….101
Figure 4.19 TEM photos of (a) 13 nm HfO2-Al2O3 laminate, (b) 10 nm HfO2 and
(3) 30 nm HfO2 films, illustrating the amorphous structure of laminate
film and improved crystallinity with the increase of HfO2
thicknesses…………………………………………………………...102
Figure 4.20 Evolution of C0 at zero DC bias with stress time, illustrating the highest
stability for the laminated capacitor compared to other dielectric
structures…………………….……………………………………….104
Figure 5.1 Schottky plot of 30 nm HfO2 MIM capacitor. The inset shows the
typical J-V curve……………………………………………………..116
Figure 5.2 Measured and simulated normalized capacitance as a function of
voltage. n0 and µ are extracted by fitting the measured data.……..…117
Figure 5.3 Carrier concentration pre-factor (n0) dependence on thickness……...118
Figure 5.4 Simulated normalized capacitance as a function of voltage for different
thickness of 20, 30, 40, 50, and 60 nm…………..…………………..119
Figure 5.5 The simulated VCCs as a function of thickness with and without taking
account of the change of pre-factor (n0) with thickness……….…….120
List of Figures
XI
Figure 5.6 Linear voltage coefficients versus the capacitance density for HfO2
based high-κ dielectrics at 100 kHz……………...…………………..121
Figure 5.7 Normalized capacitance versus DC bias measured at 100 kHz, showing
good symmetrical CV characteristics (small linear coefficients β) for
sandwich and laminate structures……………………………………122
Figure 5.8 The measured VCCs for 30 nm HfO2 MIM capacitor together with the
extracted carrier mobility at frequencies of 10k, 100k, 500k, 1
MHz……………………………………………………………….…124
Figure 5.9 Simulated normalized capacitance as a function of voltage for 30 nm
HfO2 MIM capacitors at frequencies of 10k, 100k, 500k, and
1MHz………………………………………………………………...124
Figure 5.10 (a) The simulated VCCs of HfO2 MIM capacitors as a function of
thickness with different carrier concentration pre-factor (n0), and (b) the
simulated VCCs as a function of thickness with different carrier
mobility in dielectric film……………………………...…………….127
List of Tables
XII
LIST OF TABLES
Table 1.1 Mixed-signal capacitor technology requirements ― Short-term…...….2
Table 1.2 Mixed-signal capacitor technology requirements ― Long-term...…….2
Table 1.3 Integration of MIM capacitors into Al BEOL ― Current status…..…6
Table 1.4 Integration of MIM capacitors into Cu BEOL ― Current status…........6
Table 2.1 The ratios of SIMS intensities O/Hf for HfO2 thin films prepared at
various substrate temperatures (oxygen pressure: 50 mTorr)……...…30
Table 2.2 The ratios of SIMS intensities O/Hf for HfO2 thin films prepared at
various oxygen pressures (All samples are prepared at room
temperature 25oC.)………………………………………………….....30
Table 2.3 Voltage linearity coefficients as a function of frequency for HfO2 MIM
capacitors prepared at 200, 300, and 400oC respectively……………..41
Table 4.1 ALD process conditions for the deposition of HfO2 and Al2O3……....77
Table 4.2 Variations of VCCs and leakage current under different condition
(frequency: 100 kHz; stress voltage: 4V; area: 1×10-4 cm-2)…………98
Table 4.3 Comparison of various high capacitance density MIM capacitors using
high-κ dielectrics (year 2002-2003)…………………………………100
Table 5.1 Different structural HfO2-Al2O3 high-κ MIM capacitors prepared by
ALD method……………………………………………..………..…122
Acknowledgments
XIII
ACKNOWLEDGMENTS
I would like to take this opportunity to express my gratitude to all the people
who make it possible to complete this thesis work.
First and foremost, I would like to give my great thanks to my principle
supervisor, Dr. Zhu Chunxiang, who provides me with an interesting project, constant
direction, valuable advice, and most of all, for providing me with opportunity. He has
my tremendous appreciation and respect.
I am deeply indebted to my co-supervisors, Dr. Lu Yongfeng currently in
University of Nebraska Lincoln and Dr. Subhash Chander Rustagi from Institute of
Microelectronics, Singapore, for being a constant source of help and advice; I truly
appreciate the time, support and encouragement they have given me during the course
of my PhD study.
I owe most thanks to Prof. Li Ming-Fu, A/P Cho Byung Jin, A/P Yoo Won
Jong, Prof. Albert Chin from National Chiao Tung University, Taiwan, and Prof. Lee-
Dim Kwong from UT at Austin, for their always available helping hands, many great
conversations. My special thanks go to Dr. Ding Shi-Jin, I feel privileged to have had
the opportunity to work with him during my time in the PhD program, lots of
collaboration work and fruitful discussions contribute to my thesis development.
I would like to thank my peers with Silicon Nano Device Laboratory in
alphabetical sequence: Chen Jingde, Chen Jinghao, Chen Xiaoyu, Joo Moon Sig, Kim
Sun Jung, Loh Wei Yip, Park Chang Seo, Poon Chyiu Hyia, Debora, Ren Chi, Tan
Kian Ming, Wang Yingqian, Wu Nan, Yang Tian, Yeo Chia Ching, Yu Xiongfei, and
Acknowledgments
XIV
Zhang Qingchun. I have benefited the collaboration work with them, and their
friendship makes my stay in NUS more enjoyable.
Last, and certainly the most, I would like to thank my parents for their love and
support. I can never forget their inspiration and encouragement during my education
years, their constant love and support made the long hours and frustrations bearable.
Chapter 1 Introduction of High-κ MIM Technology
1
Chapter 1
Introduction of High-κ MIM Technology
1.1. Capacitors in Si technology
Basic passive devices including capacitor, inductor, and resistor are
indispensable elements in Si integrated circuits (ICs). Intuitively, passive devices can
only consume or store energy, where active device like metal-oxide-semiconductor
field effect transistor (MOSFET) can also provide amplification. A precise definition
of passive elements was given by Desoer et. al [1]. Given a one-port with port voltage
)(tv and port current )(ti , the one-port is said to be passive if
∫ ≥+t
ttdttitv
0
0)(')'()'( 0ε (1.1)
where )( 0tε is the energy stored by the one-port at time 0t . Similarly, with the aid of
the scattering matrix usually used for high frequency measurement, one could also
deduce that the definition of passivity implies
10 ≤≤ ijS (1.2) [2].
Compared to active devices such as MOSFET in the ultra large scale integrated
circuit (ULSI) technology, passive devices played a relatively minor role. However,
the recent advances in wired and wireless communication trigger demands for high
quality passive devices for radio frequency (RF) and mixed signal applications, and
Chapter 1 Introduction of High-κ MIM Technology
2
therefore spawned a revival interest in passive devices. A good introduction of passive
component technology could be found elsewhere given by R. K. Ulrich [3].
Among the basic passive devices, capacitor is one of the essential elements,
which may find its wide applications in RF circuits for oscillators and phase-shift
networks, in various configurations of analog ICs such as the converters and filters,
and decoupling capacitance in microprocessor units (MPUs), and so on.
Table 1.1 Mixed-signal capacitor technology requirements ― Short-term [4]
Year of Production 2001 2002 2003 2004 2005 2006 2007 Density (fF/µm2) 2 3 3 3 4 4 4 Q (1/KQ2•/µm2•GHz) 200 300 300 300 450 450 450
Voltage linearity (ppm/V2) 100 100 100 100 100 100 100
Leakage (fA[pF•V]) 7 7 7 7 7 7 7
Analog capacitor
3 σ Matching (%•µm2) 4.5 3 3 3 2.5 2.5 2.5 Density (fF/µm2) 7 7.5 8 9 10 11 12 Q (1/KQ2•/µm2•GHz) 22 25 25 29 30 30 30 RF bypass
capacitor Voltage linearity (ppm/V) 1000 1000 1000 1000 1000 1000 1000
Table 1.2 Mixed-signal capacitor technology requirements ― Long-term [4]
Year of Production 2010 2013 2016
Density (fF/µm2) 7 10 15 Q (1/KQ2•/µm2•GHz) 700 1000 1500
Voltage linearity (ppm/V2) 100 100 100
Leakage (fA[pF•V]) 7 7 7
Analog capacitor
3 σ Matching (%•µm2) 2 1.5 1 Density (fF/µm2) 17 20 23 Q (1/KQ2•/µm2•GHz) 35 40 40 RF bypass
capacitor Voltage linearity (ppm/V) 1000 1000 1000
Manufacturable Solutions Exist, and Are Being Optimized
Manufacturable Solutions are Known
Manufacturable Solutions are Not Known
Based on the international technology roadmap for semiconductors (ITRS
roadmap) [4], the main requirements and specifications for capacitors are summarized
Chapter 1 Introduction of High-κ MIM Technology
3
in Table 1.1 and Table 1.2, where aggressive projections have been extent to year 2016
with ever increasing performance requirements.
According to Table 1.1 and 1.2, capacitors are categorized into analog and RF
bypass capacitors by ITRS roadmap, and it is believed that the requirements of analog
capacitor are more difficult to be achieved compared to RF bypass capacitor. Here, we
may detail generally the above technique specifications as follows:
1. Capacitance density
One of the main issues for capacitors is to increase the capacitance per unit
area in order to improve the integration level and reduce the system cost.
2. Leakage current
The requirement of low leakage is obvious. However, it may be relaxed to
some extent at very high clock frequency [4].
3. Quality (Q) factor
Q factor is a measure for parasitic effects including the distributed resistance
and inductance, which could be computed by )(/)( CapCap ZrealZimagQ = [5]
4. Voltage coefficients of capacitance (VCCs)
VCC can be approximated by C(V) = C0 (αV2+βV+1) [6],
where C0 is the capacitance at zero volt and α, β are the quadratic and linear
voltage coefficients of the capacitance respectively.
5. Temperature coefficients of capacitance (TCCs)
TCC can be usually defined as: CppmdTdC
TTCC o/106
= [3, 7]
6. Compatibility to back end of line (BEOL) integration
Chapter 1 Introduction of High-κ MIM Technology
4
The capacitors’ fabrication needs to be compatible to existing ULSI backend
technology. Thus, high quality dielectric must be formed at a very low
temperature of ~400oC limited by backend process.
1.2. Review of the literature
1.2.1. Motivation of metal-insulator-metal (MIM) technology
Traditionally, metal-insulator-silicon (MIS) [8, 9] structure has been used in Si
ICs. However, this structure was replaced by polysilicon-oxide-polysilicon (double-
poly) capacitor since the electrical performance of double-poly structure was superior
in terms of small VCCs and stray capacitance [10], where the capacitors’ precision is
paramount for those of applications such as A/D converter. Accordingly, double-poly
structure was established as a mature analog component. In addition, the improved
capacitor structures like metal-ploy have also been reported [11, 12].
Though the polysilicon structure could be tailored in many ways to yield good
electrical properties making it suitable for many analog applications, it suffered from
limited RF capability in multi-GHz range [13]. The limitations in the quality factor are
primarily due to the large resistive loss from the electrodes, and the parasitic
capacitance because of the proximity to the lossy silicon substrate [14]. Therefore,
metal-insulator-metal (MIM) structures have been proposed as the next generation
capacitor structure due to their high conductive electrodes and low parasitic
capacitances. In addition, the intrinsic depletion free MIM structures would provide
better voltage linearity property [15].
Chapter 1 Introduction of High-κ MIM Technology
5
Except the applications in Si ULSI circuits, MIM capacitor is also a key
element in GaAs based monolithic microwave integrated circuits (MMICs) [16, 17]. In
addition, the above mentioned problems are also anticipated by dynamic random
access memories (DRAM) that use MIS structure as the charge storage cell. Therefore,
advanced DRAM cell with MIM structures have also been studied [18, 19, 20]. It is
possible to implement MIM for DRAM application beyond the 90 nm node in 2004,
according to ITRS roadmap [4]. In this work, our focus is on high-κ MIM capacitors
integrated into BEOL process for Si RF and analog applications, which is much
different with the requirements of MIM capacitors in MMICs and DRAM cells in
terms of materials, structures, process flow, and other aspects.
1.2.2. Current status of MIM technology
As an emerging technology, MIM capacitors draw great attentions among
semiconductor industry companies in the very recent years. Based on the literature
survey, we summarize the reported MIM capacitors technology from several major
semiconductor companies, which are presented in Table 1.3 and Table 1.4 for Al and
Cu BEOL integration respectively. As can be seen, SiO2 and Si3N4 are usually chosen
as the dielectric materials for MIM capacitors fabrication in the current technology
node. In comparison, Si3N4 has a higher dielectric constant (κ) of 7 compared to SiO2
(~3.9), which usually provides relatively higher capacitance density than SiO2 MIM
capacitors. In addition, Si3N4 could also be used to serve as a good Cu diffusion barrier
[21], therefore eliminating Cu barrier metal stacks usually required in Cu BEOL
process [21, 22]. However, the frequency dependence of capacitance and voltage
linearity for Si3N4 capacitors may degrade the capacitors’ accuracy, which was
Chapter 1 Introduction of High-κ MIM Technology
6
proposed to be originated from bulk traps in nitride films [23]. Low temperature
deposited Si3N4 was reported to show higher relaxation recovery voltage than oxide
[24]. When compared to LPCVD SiO2, the breakdown field strength of Si3N4 is lower,
and both its voltage and temperature coefficients are usually higher. Therefore,
schemes such as nitrous oxide plasma treatment [25], silicon oxynitride [26], SiO2-
Si3N4 stacks [27] have also been explored to combine the merits of SiO2 and Si3N4.
Though SiO2 and Si3N4 MIM capacitors with excellent electrical performance have
been successfully demonstrated in Al and Cu BEOL process; the capacitance density is
still low, usually ≤ 2 fF/µm2.
Table 1.3 Integration of MIM capacitors into Al BEOL ― Current status
Conexant system [15] IBM [28] Toshiba [29]
Dielectric PECVD Nitride (30~60 nm)
Single/multi layers (SiO2/Si3N4, 50-125 nm)
(Ta2O5, 50 nm) (Si3N4, 50 nm)
Bottom electrode Ti/TiN/AlCu/Ti/TiN TiN/AlCu/TiN WSi2
C (fF/µm2) 1.0-1.9 for 30-60 nm thick film 0.44-1.40 4.36
1.01 Leakage (A/cm2) ~1E-10 A/mm2 for 50
nm Ta2O5
Remark Life time: 106 and 103 years for 60 and 30 nm films.Q >80 at 2 GHz
T50> 10-7 hours, phase improved by 2× compared
with MOS
Good leakage property obtained after 300oC
furnace annealing
Table 1.4 Integration of MIM capacitors into Cu BEOL ― Current status
Lucent [21] IBM [22] Motorola [30] TSMC [31] Dielectric Si3N4 (30 nm) SiO2 (48 nm) Si3N4 (40 nm) Si3N4
Bottom plate Direct on Cu A conductive metal stack Sputtering-TaN
C (fF/µm2) 0.72 1.6 1
VCC (ppm/V) 150 TTC= -40 ppm/oC <15 VCC= 60 ppm/V TCC= 50 ppm/oC
Leakage (A/cm2) 10-10 at 5V 10-6 A at 30 V 10-10 at 5V
Leakage on temperature Weak (25-200oC) Weak (25-125oC)
EBD (MV/cm) 10 10 9-10
TDDB T50>1000 pwr-on-hrs Beyong 10 years
Remarks Si3N4 as both dielectric and
diffusion barrier
Leakage sensitivity to Tox
Q2GHz= 30-200 at 3-0.1 pf
Q=100 (2.4 GHz) and 40 (5.3 GHz)
at 1.1 pf
Chapter 1 Introduction of High-κ MIM Technology
7
Furthermore, it was noted that these of SiO2 and Si3N4 works are focused on
the integration of MIM capacitors, and the process related issues have thus been well
addressed. Planar structures were usually implemented for MIM capacitors integrated
in BEOL process, and positioning the capacitors beneath the final metal level could
further minimize the loss to the substrate. At or below 0.18 µm technology, Cu
metallization is used instead of Al metallization due to copper’s low resistivity and
feasibility of thick and fine pattern through damascene process [4]. However the
introduction of Cu interconnects will create unique challenges for fabricating high
reliability MIM capacitors, such as surface roughness of Cu on the reliability of MIM
capacitors [21], the proper choice of Cu barrier metal stack [22], and the compatibility
of capacitor dielectric with inter-level dielectric [22], etc.
1.2.3. High-κ dielectrics for MIM capacitors application
As described above, SiO2 and Si3N4 are dielectrics that are commonly used in
conventional MIM capacitors [6-31]. Although these SiO2 and Si3N4 MIM capacitors
could provide excellent electrical properties, their capacitance densities are limited due
to their low dielectric constants (κ~3.9 for SiO2, κ~7 for Si3N4). This is far from the
requirement on capacitance density projected by the 2002 ITRS roadmap [4].
Further reduction in dielectric thicknesses of SiO2 and Si3N4 can increase the
capacitance density, but it may offset leakage current, breakdown voltage, and voltage
linearity property [22, 29]. For instance, it was reported that a 30-nm-thick SiO2 MIM
capacitor has a voltage linearity of ~ 20 ppm/V2 [27]. From the 1/t2 (t: thickness)
dependence [32], the voltage linearity of 14-nm-thick SiO2 MIM capacitor is supposed
Chapter 1 Introduction of High-κ MIM Technology
8
to reach an upper limit of 100 ppm/V2 according to ITRS roadmap [4]. However, the
capacitance density of 2.5 fF/µm2 is low.
Figure 1.1: Dielectric constant κ versus band gap for oxides [34].
Therefore, the adoption of high-κ materials is imperative to meet the
requirements of MIM capacitors in Si RF and analog IC applications. This is because
of the fact that using physical thicker high-κ dielectric films may potentially improve
the overall electrical performance. In the search to find suitable high-κ dielectrics,
Figure 1.1 presents a compilation of a few potential high-κ dielectric candidates
indicating the relationship of dielectric constant versus band gap. This provides a
simple criterion of selecting suitable high-κ materials as the dielectrics for MIM
capacitors. It is important to note that the general band gap reduction with the increase
of κ value for dielectrics is a limitation that must be considered when selecting a
Chapter 1 Introduction of High-κ MIM Technology
9
suitable high-κ material for MIM capacitor application [33, 34]. The decrease in band
gap is usually coupled with the reduction of breakdown voltage for the dielectric
materials [35].
Among various high-κ candidates for MIM capacitors application, Ta2O5,
Al2O3, and HfO2 high-κ dielectrics are of great interests among researchers due to their
relatively good materials properties and industry’s familiarity.
Ta2O5 based high-κ dielectrics have drawn a great attention, which may be
inspired by memory capacitor applications and the resultant semiconductor
manufacturing tool infrastructure [18, 36]. T. Yoshitomi et. al demonstrated pure
Ta2O5 MIM capacitors by reactive sputtering [29]. With an O2 annealing at 300oC, the
Ta2O5 MIM capacitor exhibit superior electrical performance when compared to its
Si3N4 counterpart at the same equivalent oxide thickness (EOT) in terms of leakage
property. T. Ishikawa et. al integrated Ta2O5 MIM capacitors into Cu BEOL process,
and insertion of thin Al2O3 layer between Ta2O5. The bottom electrode was designed to
improve the interface quality and the resulting electrical performance [37]. Y. L. Tu et.
al achieved a very good voltage linearity (25 ppm/V2 and 13 ppm/V) for 4 fF/µm2
Ta2O5 MIM capacitor when compared to TaOxNy, HfO2, Al2O3 and Ta2O5/Al2O3
stacks MIM capacitors in their work [38]. However, the electrical properties of those
Ta2O5 MIM capacitors have been reported to be strongly dependent on the fabrication
methods and the following thermal treatments [36, 38]. Therefore, a good
understanding of process-structure-property correlation is of great importance before
selecting Ta2O5 thin films for MIM capacitors application.
Compared with other high-κ candidates, Al2O3 has a moderate dielectric
constant of ~9, making it to be only a short term solution for industry’ need. However,
the low oxygen diffusivity of Al2O3 [34, 39] may improve the interface quality by
Chapter 1 Introduction of High-κ MIM Technology
10
reducing the chemical reaction with metal electrode. A large band gap of 8.9 eV is also
beneficial for the improvement of leakage and breakdown characteristics. For MIM
capacitors application, Al2O3 based high-κ materials including pure Al2O3 [39], Ti
doped Al2O3 [39], and Ta doped Al2O3 [40, 41] have been investigated using an
evaporation/oxidation method, and a high capacitance density of 17 fF/µm2 has been
achieved for AlTaOx MIM capacitor [41]. In particular, the RF performance of high-κ
MIM capacitors have been studied for Al2O3 based dielectrics up to 20 GHz. A
mathematical method was recently proposed for the computation of VCCs in RF
regime for Al2O3 based dielectrics [40]. However, the low thermal budget in the
fabrication of those Al2O3 based high-κ materials is probably responsible for their
marginal electrical performance.
HfO2 has the advantages of high dielectric constant (~25), high heat of
formation (271 Kcal/mol), and large band gap (5.68 eV), etc. [34]. Most important of
all, HfO2 based high-κ materials are well established as the next generation gate
dielectric in MOSFETs [42] and DRAM [20]. HfO2 MIM capacitor was first reported
using a pulsed-laser deposition (PLD) method [43]. Following that, other fabrication
techniques including PVD [44], atomic-layer deposition (ALD) [45] have also been
demonstrated. These techniques are more favourable for the mass production
compared to PLD method. In addition, materials engineering of HfO2 dielectric such
as Tb doping [44], Al alloying [45], and novel structures of HfO2-Al2O3 laminate [46]
and stacks [47] have been further explored to improve the leakage and voltage linearity
properties of HfO2 MIM capacitors. In summary, compared to the reported Ta2O5 and
Al2O3 MIM capacitors, HfO2 based high-κ MIM capacitors exhibited nearly the best
overall electrical properties, indicating that they are very promising for the next
generation MIM capacitors application.
Chapter 1 Introduction of High-κ MIM Technology
11
It was also noticed that some novel oxide systems have been explored for MIM
capacitors application, such as Zr-Sn-Ti oxide with a high dielectric constant of 62
[32], Nb stabilized Ta2O5 [48], etc. However, the final justification of these oxide
systems for MIM capacitors application needs more study, especially on their
capacitance characteristics.
Finally, it is worthwhile to point out that there are very few systematic reports
on high-κ MIM capacitors’ reliability and mechanism study. The possible reason is
that high-κ MIM capacitor is an immerging technology. This is in sharp contrast with
the extensive and thorough investigation of high-κ materials for gate oxides
applications. The reliability assessments for high-κ MIM capacitors could be found for
HfO2 based high-κ dielectrics in [46, 47] and for Ta2O5 in [49]. Among them, HfO2-
Al2O3 laminate and pure Ta2O5 MIM capacitors both show promising reliability
characteristics for 10-year lifetime under optimized process conditions [46, 49].
The conduction mechanism in dielectric insulating films is another subject with
extensive theoretical and experimental investigation. A good understanding of
electronic transport phenomena is paramount for the operation and leakage
improvement of practical devices. To be specific, Poole-Frenkel effect [44, 46, 50],
Shottky emission [46, 50], trap assisted tunnelling [50] are found to be prevalent in the
leakage components in thick high-κ films used in MIM capacitors. Other major issues
related to MIM capacitors, such as VCCs dependency [27, 28, 32, 37-41, 43-46], the
dielectric relaxation [24, 51, 52], etc. have also been frequently observed. These
phenomena are worthwhile for further investigations considering the poor knowledge
thus far.
Chapter 1 Introduction of High-κ MIM Technology
12
1.2.4. Challenges and unsolved problems
Though the implementation of MIM structure and high-κ dielectrics appears to
be straightforward, putting high-κ MIM capacitors into practical use may be
problematic until a clear understanding and thorough research have been done. The
main challenges and problems for high-κ materials to be used in MIM capacitors are as
follows:
1. Suitable high-κ systems for MIM capacitors need to be identified.
2. The effects of fabrication techniques, structures, thermal treatment on high-κ
MIM capacitors need to be studied.
3. Knowledge on electrical characteristics of high-κ MIM capacitors such as DC
characteristics, RF performance, reliability, etc must be obtained.
4. The mechanisms with regard to high-κ MIM capacitors, such as conduction,
voltage linearity dependency (parameters including thickness, frequency, and
electrical stress), dielectric relaxation, etc must be understood.
1.3. Contribution of this thesis
The followings are the research contribution of this project:
1. A thorough research has been done for HfO2 based high-κ dielectrics using
various fabrication methods for the first time. The electrical performance has
been demonstrated to be superior to SiO2 and Si3N4 counterparts in many
aspects.
2. The RF characteristics of HfO2 based MIM capacitors have been reported for
the first time. The good capacitance-frequency dependency indicate the
usefulness of HfO2 based dielectrics for Si RF and mixed signal applications.
Chapter 1 Introduction of High-κ MIM Technology
13
3. We firstly observed that the voltage linearity of high-κ HfO2 based MIM
capacitors could be modified after the electrical stress, and a possible
explanation was given with the aid of a phenomenological circuit model.
4. For the first time, a free carrier injection model has been employed to
understand voltage coefficients of capacitance (VCCs) of MIM capacitors. The
thickness (t) dependence of quadratic VCCs, which exhibits a relation of
nt−∝α (n~2), is an intrinsic property due to electrical field enhancement in the
scaled dielectric film.
1. 4. Thesis outline
In Chapter 2, HfO2 high-κ dielectrics prepared by PLD have been employed for
MIM capacitors application with systematic material characterization, and excellent
electrical properties had been demonstrated as well.
In Chapter 3, RF characteristics of HfO2 MIM capacitors were investigated. In
addition, thickness dependence of stress induced leakage currents and the evolution of
voltage linearity with stress time for HfO2 MIM capacitors had been discussed with
the help of proposed circuit model.
In Chapter 4, high performance HfO2-Al2O3 laminate MIM capacitors have
been developed using ALD method. The conduction mechanism, voltage linearity
dependency, reliability issue have been investigated for these laminate films. In
addition, the laminate structure has also been compared with other material structures
such as stack, sandwich, etc.
Chapter 1 Introduction of High-κ MIM Technology
14
In Chapter 5, the free carrier injection model was employed successfully to
explain VCCs dependence on dielectric thickness, frequency, and electrical stress for
high-κ MIM capacitors. A unified understanding of VCC is achieved for the first time.
Finally, Chapter 6 concludes with suggestions for future work.
Chapter 1 Introduction of High-κ MIM Technology
15
References:
[1] C. A. Desoer, and E. S. Kuh, Basic circuit theory (McGraw-Hill, New York, 1969)
[2] H. J. Carlin, and A. B. Giordano, Network theory: an introduction to reciprocal
and non-reciprocal circuits (Prentice-Hall, Englewood Cliffs, New York, 1964)
[3] R. K. Ulrich and L. W. schaper, Integrated passive component technology (Wiley,
New York., 1993)
[4] The international Technology Roadmap for Semiconductors, Semiconductor
Industry Association, 2002.
[5] T. H. Lee, The design of CMOS radio-frequency integrated circuits (Cambridge
university press, 1998)
[6] K. -S. Tan, S. Kiriake, M. de Wit, J. W. Fattaruso, C. -Y. Tsay, W. E. Matthews,
and R. K. Hester, “Error correction techniques for high-performance differential
A/D converters,” IEEE J. Solid-State Circuits, Vol. 25, pp. 1318–1327, 1990.
[7] S. A. St Onge, S. G. Franz, A. F. Puttlitz, A. Kalinoski, B. E. Johnson, and B. El-
Kareh, “Design of precision capacitors for analog applications,” IEEE Trans. on
Components, Packaging, and Manufacturing Technology, Vol. 15, pp. 1064-1071,
Dec. 1992.
[8] R. Singh and A. B. Bhattacharyya, “Matching properties of linear MOS
capacitors,” IEEE Trans. Circuits and Systems, Vol. 36, pp. 465-467, 1989.
[9] J. L. McCreary, “Matching properties, and voltage and temperature dependence of
MOS capacitors,” IEEE J. Solid-State Circuits, Vol. 16, pp. 608-616, 1981.
[10] T. Iida, M. Nakahara, S. Gotoh, and H. Akiba, “Precise capacitor structure
suitable for submicron mixed analog/digital ASICs,” pp. 18.5/1-18.5/4, Custom
Integrated Circuits Conference, 1990.
Chapter 1 Introduction of High-κ MIM Technology
16
[11] C. Kaya, H. Tigelaar, J. Paterson, M. de Wit, J. Fattaruso, S. Kiriakai, K. –S. Tan,
and F. Tsay, “Ploycide/metal capacitors for high precision A/D converters,” in
Proc. of IEDM, pp. 782-785, 1988.
[12] A. Yin, J. White, A. Karroy and C. Hu, “Integration of polycide/metal capacitors
in advanced device fabrication,” in Proc. of 5th ICSICT, pp. 131-134, 1998.
[13] S. Jenei, S. Decoutere, S. V. Huylembroeck, G. Vanhorebeek, and B. Nauwelaers,
“High Q inductors and capacitors on Si substrate,” Silicon Monolithic Integrated
Circuits in RF Systems, pp. 64-70, 2001.
[14] A. M. Niknejad, and R. G. Meyer, Design, simulation and applications of
inductors and transformers for Si RF ICs (Kluwer Academic Publishers, 2000)
[15] A. Kar-Roy, C. Hu, M. Racanelli, C. A. Compton, P. Kempf, G. Jolly, P. N.
Sherman, J. Zheng, Z. Zhang, and A. Yin, “High density metal insulator metal
capacitor using PECVD nitride for mixed signal and RF circuits,” Int.
Interconnect Tech. Conference, pp. 245-247, 1999.
[16] J. –H. Lee, D. –H. Kim, Y. –S. Park, M. –K. Sohn, and K. –S. Seo, “DC and RF
characteristics of advanced MIM capacitors for MMIC's using ultra-thin remote-
PECVD Si3N4 dielectric layers,” IEEE Microwave and Guided Wave Lett., Vol. 9,
pp. 345-347, 1999.
[17] J. Scarpulla, D. C. Eng, S. R. Olson, and C. –S. Wu, “A TDDB model of Si3N4-
based capacitors in GaAs MMICs,” in Proc. Symp. Reliability Physics, pp. 128-
137, 1999.
[18] J. –W. Kim, S. –D. Nam, S. –H. Lee, S. –J. Won, W. –D. Kim, C. –Y. Yoo, Y. –
W. Park, S. –I. Lee and M. –Y. Lee, “Development of Ru/Ta2O5/Ru capacitor
technology for Giga-scale Drams,” in Proc. of IEDM, pp. 793-796, 1999.
Chapter 1 Introduction of High-κ MIM Technology
17
[19] M. Takeuchi, K. Inoue, M. Sakao, T. Sakoh, T. Kitamura, S. Arai, T. Iizuka, T.
Yamamoto, H. Shirai, Y. Aoki, M. Hamada, R. Kubota, and S. Kishi, “A 0.15 µm
logic based embedded DRAM technology featuring 0.425 µm2 stacked cell using
MIM (metal-insulator-metal) capacitor,” in Proc. Symp. VLSI Technology, pp. 29-
30, 2001.
[20] S. –H. Oh, J. –H. Chung, J. –H. Choi, C. –Y. Yoo, Y. S. Kim, S. T. Kim, U. –I.
Chung, and J. T. Moon, “TiN/HfO2/TiN capacitor technology applicable to 70 nm
generation DRAMs,” in Proc. Symp. VLSI Technology, pp. 73-74, 2003.
[21] R. Liu, C.-Y. Lin, E. Harris, S. Merchant, S.W. Downey, G. Weber, N.A. Ciampa,
W. Tai, W. Y. C. Lai, M. D. Morris, J. E. Bower, J. F. Miner, J. Frackoviak, W.
Mansfield, D. Barr, R. Keller, C. –P. Chang, C. –S. Pai, and S. N. Rogers, “Single
mask metal-insulator-metal (MIM) capacitor with copper damascene metallization
for sub-0.18 µm mixed mode signal and system-on-a-chip (SoC) applications,” in
Proc. Interconnect Technology Conference, pp. 111-113, 2000.
[22] M. Armacost, A. Augustin, P. Felsner, Y. Feng, G. Friese, J. Heidenreich, G.
Hueckel, O. Prigge, and K. Stein, “A high reliability metal insulator metal
capacitor for 0.18 µm copper technology,” in Proc. of IEDM, pp. 157-160, 2000.
[23] J. A. Babcock, S. G. Balster, A. Pinto, C. Dirnecker, P. Steinmann, R. Jumpertz,
and B. El-Kareh, “Analog characterisitics of metal-insulator-metal capacitors
using PECVD nitride dielectrics,” IEEE Electron Device Lett., Vol. 22, No. 5, pp.
230-232, 2001.
[24] J. W. Fattaruso, M. De Wit, G. Warwar, K.-S. Tan, and R. K. Hester, “The effect
of dielectric relaxation on charge-redistribution A/D converters,” IEEE J. Solid-
State Circuits, Vol. 25, pp. 1550-1561, 1990.
Chapter 1 Introduction of High-κ MIM Technology
18
[25] C. H. Ng and S. F. Chu, “Effect of the nitrous oxide plasma treatment on the MIM
capacitor,” IEEE Electron Device Letters, Vol. 23, No. 9, pp. 529-531, 2002.
[26] C. H. Ng, K. W. Chew and S. F. Chu, “Characterization and comparison of
PECVD silicon nitride and silicon oxynitride dielectrics for MIM capacitors,”
IEEE Electron Device Lett., Vol. 24, No. 8, pp. 506-508, 2003.
[27] S. Van Huylenbroeck, S. Decoutere, R. Venegas, S. Jenei, and G. Winderickx,
“Investigation of PECVD dielectrics for nondispersive metal-insulator-metal
capacitors,” IEEE Electron Device Lett., Vol. 23, No. 4, pp. 191-193, 2002.
[28] K. Stein, J. Kocis, G. Hueckel, E. Eld, T. Bartush, R. Groves, N. Greco, D.
Harame, and T. Tewksbury, “High reliability metal insulator metal capacitors for
silicon germanium analog applications,” in Proc. of BCTM, pp. 191-194, 1997.
[29] T. Yoshitomi, Y. Ebuchi, H. Kimijama, T. Ohguro, E. Morifuji, H. S. Momose, K.
Kasai, K. Ishimaru, F. Matsuoka, Y. Katsumata, M. Kinugawa, and H. Iwai,
“High performance MIM capacitor for RF BiCMOS LSIs,” in Proc. of BCTM, pp.
133-166, 1999.
[30] P. Zurcher, P. Alluri, P. Chu, P. Duvallet, C. Happ, R. Henderson, J. Mendonca,
M. Kim, M. Petras, M. Raymond, T. Remmel, D. Roberts, B. Steimle, J. Stipanuk,
S. Straub, T. Sparks, M. Tarabbia, H. Thibieroz, and M. Miller, “Integration of
thin film MIM capacitors and resistors into copper metallization based RF-CMOS
and Bi-CMOS technologies,” in Proc. of IEDM, pp. 153-156, 2000.
[31] C. C. Lin, H. M. Hsu, Y. H. Chen, T. Shih, S. M. Jang, C. H. Yu, and M. S. Liang,
“A full Cu damascene metallization process for sub-0.18 µm RF CMOS SoC high
Q inductor and MIM capacitor application at 2.4 GHz and 5.3 GHz,” Int.
Interconnect Tech. Conference, pp. 113-115, 2001.
Chapter 1 Introduction of High-κ MIM Technology
19
[32] R. B. van Dover, R. M. Flemming, L. F. Schneemeyer, G. B. Alers, and D. J.
Werder, “Advanced dielectrics for gate oxide, DRAM and rf capacitors,” in Proc.
of IEDM, pp. 823-826, 1998.
[33] J. Robertson, “Electronic structure and band offsets of high-dielectric-constant
gate oxides,” MRS Bulletin, pp. 217-221, 2002.
[34] G. D. Wilk, R. M. Wallace, and J. M. Anthony, “High-κ gate dielectrics: current
status and material properties considerations,” J. Appl. Phys., Vol. 89, No. 10, pp.
5243-5275, 2001.
[35] J. McPherson, J. Kim, A. Shanware, H. Mogul and J. Rodriguez, “Proposed
universal relationship between dielectric breakdown and dielectric constant,” in
Proc. of IEDM, pp. 633-636, 2002.
[36] C. Chaneliere, J. L. Autran, R. A. B. Devine, and B. Balland, “Tantalum
pentoxide (Ta2O5) thin films for advanced dielectric applications,” Materials
Science and Engineering, R22, pp. 269-322 1998.
[37] T. Ishikawa, D. Kodama, Y. Matsui, M. Hiratani, T. Furusawa, and D. Hisamoto,
“High-capacitance Cu/Ta2O5/Cu MIM structure for SoC applications featuring a
single-mask add-on process,” in Proc. of IEDM, pp. 940-942, 2002.
[38] Y. L. Tu, H. L. Lin, L. L. Chao, D. Wu, C. S. Tsai, C. Wang, C. F. Huang, C. H.
Lin, and J. Sun, “Characterization and comparison of high-κ metal-insulator-metal
(MIM) capacitors in 0.13µm Cu BEOL for mixed-mode and RF applications,” in
Proc. Symp. VLSI Technology, pp. 79-80, 2003.
[39] S. B. Chen, C. H. Lai, A. Chin, J. C. Hsieh, and J. Liu, “High-density MIM
capacitors using Al2O3 and AlTiOx dielectrics,” IEEE Electron Device Lett., Vol.
23, No. 4, pp. 185-187, 2002.
Chapter 1 Introduction of High-κ MIM Technology
20
[40] M. Y. Yang, C. H. Huang, A. Chin, C. Zhu, M. F. Li, and D. -L. Kwong, “High-
density MIM capacitors using AlTaOx dielectrics,” IEEE Electron Device Lett.,
Vol. 24, No.5, pp.306-308, 2003.
[41] M. Y. Yang, C. H. Huang, A. Chin, .C. Zhu, B. J. Cho, M. F. Li, and D. -L.
Kwong, “Very high density RF MIM capacitors (17 fF/µm2) using High-κ Al2O3
doped Ta2O5 Dielectrics,” IEEE Microwave and wireless components Letts., Vol.
13, pp. 431-433, 2003.
[42] J. C. Lee, H. J. Cho, C. S. Kang, S. J. Rhee, Y. H. Kim, R. Choi, C. Y. Kang, C.
H. Choi, and M. Abkar, “High-κ dielectrics and MOSFET characteristics,” in
Proc. of IEDM, pp. 95-98, 2003.
[43] H. Hu, C. Zhu, Y. F. Lu, M. F. Li, B. J. Cho, and W. K. Choi, “A High
Performance MIM Capacitor Using HfO2 Dielectrics,” IEEE Electron Device
Lett., Vol. 23, No. 9, pp. 514-516, 2002.
[44] S. J. Kim, B. J. Cho, M. F. Li, C. Zhu, A. Chin, and D.-L. Kwong, “HfO2 and
lanthanide-doped HfO2 MIM capacitors for RF/mixed IC applications,” in Proc.
Symp. VLSI Technology, pp.77-78, 2003.
[45] H. Hu, C. Zhu, X. Yu, A. Chin, M. F. Li, B. J. Cho, D. –L. Kwong, P. D. Foo, M.
B. Yu, X. Liu, and J. Winkler, “MIM capacitors using atomic-layer-deposited
high-κ (HfO2)1-x(Al2O3)x Dielectrics,” IEEE Electron Device Lett., Vol. 24, No. 2,
pp. 60-62, 2003.
[46] H. Hu, S. -J. Ding, H. Lim, C. Zhu, M. F. Li, S. J. Kim, X. Yu, J. H. Chen, Y. F.
Yong, B. J. Cho, D. S. H. Chan, Subhash C Rustagi, M. B. Yu, C. H. Tung, A. Y.
Du, D. My, P. D. Foo, A. Chin, and D. -L. Kwong, “High performance ALD
HfO2-Al2O3 laminate MIM capacitors for RF and mixed signal IC applications,”
in Proc. of IEDM, pp. 379-382, 2003.
Chapter 1 Introduction of High-κ MIM Technology
21
[47] F. Mondon, and S. Blonkowski, “Electrical characterization and reliability of
HfO2 and Al2O3-HfO2 MIM capacitors,” Microelectronics Reliability, Vol. 43,
pp. 1259-1266, 2003.
[48] Y. Matsui, M. Hiratani, I. Asano, and S. Kimura, “Niobia-stabilized tantalum
pentoxide (NST)-novel high-κ dielectrics for low-temperature process of MIM
capacitors,” in Proc. of IEDM, pp. 225-228, 2002.
[49] T. Remmel, R. Ramprasad, and J. Walls, “Leakage behavior and reliability
assessment of tantalum oxide dielectric mim capacitors,” IEEE International
Reliability Physics Symposium Proceedings, pp. 277-281, 2003.
[50] R. Ramprasad, “Phenomenological theory to model leakage currents in metal-
insulator-metal capacitor systems,” Phys Status Solidi, B 239 (1), pp. 59-70,
2003.
[51] M. Kiyotoshi, K. Hieda, Y. Fukuzumi, Y. Kohyama, T. Suzuki, D. Matsunaga,
and K. Hashimoto, “Control of two types of dielectrics relaxation current for
Ta2O5 metal-insulator-metal capacitors,” Jpn. J. Appl. Phys., Vol. 42, pp. 1943-
1948, 2003.
[52] H. Reisinger, G. Steinlesberger, S. Jakschik, M. Gutsche, T. Hecht, M. Leonhard,
U. SchrÖder, H. Seidl, and D. Schumann, “A comparative study of dielectric
relaxation losses in alternative dielectrics,” in Proc. of IEDM, pp. 267-270, 2001.
Chapter 2 HfO2 MIM Capacitors by Pulsed-Laser Deposition (PLD)
22
Chapter 2
HfO2 MIM Capacitors by Pulsed-Laser Deposition (PLD)
2.1. Introduction
Although remained little known until the late 1980’s, pulsed-laser deposition
(PLD) has emerged as a simple, reliable and fast technique that offers a great
experimental versatility. The setup of PLD system used in this work is shown in Fig.
2.1. A pulsed laser is used as the light source to ablate a target, and the material
ablated from the target is condensed on a substrate and forms a thin film.
Over the past few years, PLD is increasingly being used to prepare a wide
variety of materials in thin film forms [1, 2]. Its low start-up cost, laser energy source
independent of the deposition system, the stoichiometric removal of constituent
species from the target during ablation, and the relatively small number of control
parameters appeal more and more attention. More importantly, the PLD technique is
well known for the quality of the layers grown at relatively lower substrate
temperatures than other thin film deposition methods [1, 2]. This is desirable for MIM
capacitors integrated into back-end of line (BEOL) process where a low thermal
budget (~400oC) is needed.
Chapter 2 HfO2 MIM Capacitors by Pulsed-Laser Deposition (PLD)
23
Figure 2.1: Experimental configuration of pulsed-laser deposition system in this work.
For successful integration of MIM capacitors, extremely reliable and high
quality HfO2 thin films are needed. Several thin film growth techniques such as
atomic-layer-deposition (ALD) [3, 4], evaporation with ion-assisted deposition (IAD)
[5], sol-gel [6], sputtering [7, 8], in-situ rapid thermal CVD (RTCVD) [9, 10], and
metallorganic chemical vapor deposition (MOCVD) [11, 12] have been employed to
fabricate good quality HfO2 thin films. The properties of HfO2 thin films have been
reported to be strongly dependent on the fabrication method. Therefore, an
understanding of process-structure-property correlation is of great importance to
exploit HfO2 for thin film devices application.
In this chapter, HfO2 thin films were fabricated using PLD at various substrate
temperatures and oxygen pressures, in order to study physical properties dependence
on process parameters. In addition, excellent electrical performance of HfO2 MIM
Chapter 2 HfO2 MIM Capacitors by Pulsed-Laser Deposition (PLD)
24
capacitor had been demonstrated for the first time [13, 14], suggesting the great
potential of HfO2 for MIM capacitors application.
2.2. Experiments
The deposition was accomplished in a stainless-steel vacuum chamber of the
PLD system that was evacuated by a turbo-molecular pump to 1×10-5 Torr, the oxygen
pressure was measured by a convectron vacuum gauge (1 mTorr-1000 Torr) and a
single gauge TM (< 1 mTorr) respectively, and it was controlled and adjusted by a gate
valve. The purity of Hf target is 99.5%; it was placed on the target holder that rotated
constantly by an external motor to prevent surface craters. The target was irradiated by
a focused KrF excimer laser beam (λ = 248 nm, τ = 30 ns) at an incidence angle of 45o
with a repetition rate of 5-10 Hz. The laser fluence was set between 3.0 and 7.0 J/cm2.
In this experiment, for physical properties characterization, silicon substrates
were used for HfO2 thin films deposition at various substrate temperatures ranging
from room temperature (25oC) to 750oC and at various oxygen pressures varying from
10 to 200 mTorr. For electrical and dielectrical measurement, MIM capacitors with
HfO2 high-κ dielectric films were fabricated on a layer of 500 nm SiO2 deposited on
silicon substrate. The SiO2 is for isolation purpose. A layer of Ta film (~1000 Å) was
then deposited ex situ by sputtering on the SiO2 layer as the bottom electrode.
Following that, high-κ HfO2 dielectric films were deposited in an oxygen ambient of
50 mTorr at 200, 300, and 400oC. It is noted that the deposition temperature was kept
at or below 400oC for MIM capacitor fabrication in order to meet the thermal budget
requirement of BEOL process. Finally, Al was deposited by evaporation and patterned
as top electrode by wet etching with the device area being 2.54×104 µm2.
Chapter 2 HfO2 MIM Capacitors by Pulsed-Laser Deposition (PLD)
25
The crystal structures of HfO2 thin films deposited on Si substrates were
investigated by X-ray diffraction (Phillips PW 1729 X-Ray diffractometer, Cu Kα
radiation, λ = 0.5418 nm). The surface morphology was obtained using an Au-to-
Probe CP atomic force microscope (AFM) in the contact mode. The film thicknesses
were measured by an Alpha-step 500 surface profiler (Tencor Instruments) and further
confirmed by cross-sectional transmission electron microscopy (TEM) analyses.
Scanning electron microscopy (SEM) was also used to inspect particulates generation
on the surfaces of HfO2 films. Time of Flight Secondary Ion Mass Spectroscopy IV
(TOF-SIMS IV) was employed to characterize the change of the relative stoichiometry
of the HfO2 films prepared at various deposition conditions. The optical constants, i.e.
refractive index and extinction coefficient, of HfO2 thin films were evaluated by a
variable angle spectroscopic ellipsometer (VASE). For electrical measurements, the
leakage current was measured using an HP4155A parameter analyzer, and the
capacitance was characterized using an HP4284A precision LCR meter at frequencies
varied from 500 Hz to 1 MHz.
2.3. Results and discussion
2.3.1. Physical characterization of PLD processed HfO2
HfO2 is a material forming several polymorphs, although pure HfO2 tends to
appear in the monoclinic phase at room temperature and atmospheric pressure,
orthorhombic and tetragonal phases could be formed at high pressures and/or high
temperatures [15, 16], which is generally thought to be metastable if present at room
temperature and atmospheric pressure. Figure 2.2 shows the XRD patterns of HfO2
Chapter 2 HfO2 MIM Capacitors by Pulsed-Laser Deposition (PLD)
26
thin films deposited at various temperatures with oxygen pressure of 50 mTorr. For
comparison, spectrum (a) of the bare Si substrate is shown. Spectra (b) and (c) show
no apparent diffraction peaks of HfO2, indicating that the films deposited at 200oC or
below are amorphous. Spectrum (d) shows some weak diffraction peaks, which are
identified from monoclinic phase of HfO2. Spectrum (e) exhibits intense diffraction
peaks indicating the improved crystallinity at high temperature; and no tetragonal or
orthorhombic phase was found to be present. In addition, different orientations of
crystallites in the thin film are observed compared to HfO2 prepared at 300oC, which
suggest a polycrystalline structure change at a temperature above 300oC.
30 35 40 45 500
1000
2000
3000
4000
(211)(020)
(122)(221)
(220)(202)(112)(002)
(200)(111) HfO2 peaks
Si peaks e. HfO2 (500oC)
d. HfO2 (300oC)
c. HfO2 (200oC)
b. HfO2 (25oC)
a. Si substrate
Inte
nsity
(A.U
.)
2 θ, deg
Figure 2.2: XRD patterns of HfO2 thin films deposited on Si(100) substrates at various
substrate temperatures.
The substrate temperature also influences the surface mobility of ablated
species and therefore affects the deposition rates of the deposited films. Figure 2.3
Chapter 2 HfO2 MIM Capacitors by Pulsed-Laser Deposition (PLD)
27
shows the deposition rate as a function of the substrate temperature with different laser
fluence. It is found that the deposition rates are of the order of 10−2 nm per pulse, and
the highest deposition rate was at 25oC. As the substrate temperature increases, the
sticking coefficient of Hf-bearing species drops, this may result in a decrease in the
deposition rate. In addition, the as-deposited films become more densified with the
increase of substrate temperature, which is believed to be another factor affecting the
deposition rates. The similar trend could also be found elsewhere [17, 18]. In Fig.2.3,
it is also found that a higher deposition rate can be achieved by using a higher laser
energy density, due to a higher ablation rate on the target.
Surface morphology is another important physical property which may affect
the electrical properties of dielectric thin films. Figure 2.4 shows the three-dimensional
AFM images of HfO2 samples used for XRD measurement in Fig. 2.2, and it is in
2
3
4
5
6
7Laser Energy Density 4.0 J/cm2
7.0 J/cm2
Dep
ositi
on R
ate
(10-2
nm
)/Pul
se
10 Hz, 60 min50 mTorr
Substrate Temperature (oC)50030020025
Figure 2.3: Deposition rates of HfO2 thin films deposited on Si substrates at various
substrate temperatures
Chapter 2 HfO2 MIM Capacitors by Pulsed-Laser Deposition (PLD)
28
Figure 2.4: Three dimensional AFM images of HfO2 thin films deposited on Si
substrates at various substrate temperatures of (a). 25, (b). 200, (c). 300, and (d).
500oC respectively.
(a) (b)
(d) (c)
Chapter 2 HfO2 MIM Capacitors by Pulsed-Laser Deposition (PLD)
29
agreement with XRD experimental result. In Fig. 2.4(a) and Fig. 2.4(b), the film
deposited at or below 200oC shows no obvious crystallization. In contrast, the film
deposited at 500oC exhibits an apparent polycrystalline structure. In addition, it is
further shown by AFM measurement that the average surface roughness of HfO2 thin
films changes with substrate temperature, with approximately 7.8, 2.7, and 3.2, and 4.6
Å for HfO2 prepared at 25, 200, 300, and 500oC, respectively. It is found that HfO2
thin film prepared at 200oC can effectively improve the surface roughness. In
amorphous phase, it is believed that thin film prepared at 200oC could enhance the
surface diffusion during deposition and thus minimize the microstructure, and
resulting in a smoother surface. However, when HfO2 was prepared at or above the
crystallization temperature of 300oC, the film surface again became rough which is
believed to be due to crystallization induced large grain size. Grain formation at or
above 300oC and the evolution of surface roughness of HfO2, confirmed by XRD and
AFM measurements, may affect the electrical properties, as will be shown later.
In this work, in order to characterize the change of the stoichiometry of HfO2
films, we used secondary ion mass spectrometry (SIMS) with time-of-flight (TOF)
detection of secondary ions for analysis. Depth profiles were obtained using dual beam
technique with 25 kV Ga+ primary ions for analysis and 3 kV Ar+ ions for sputtering.
A low energy electron gun was used to compensate static electric charge on the oxide.
To avoid surface and interface transients, the signals of secondary ions used for
characterization of the film were measured only in the range of depth, where the
signals are constant. The mass spectrum of HfO2 film consists of O+, Hf+ and various
HfxOy+ clusters. While intensities of the clusters depend on composition, ionization
and fragmentation probabilities, the intensities of the elements depend on composition
and ionization yield only. Thus we take the ratio 16O/180Hf as a measure of relative
Chapter 2 HfO2 MIM Capacitors by Pulsed-Laser Deposition (PLD)
30
composition of the elements. Though the ionization yield also depends on composition
(so called matrix effect [19]), by taking the ratio of the signals, we were able to
minimize this effect. Hence higher O/Hf ratio corresponds to higher oxygen content in
the sample, and equal ratio for different samples indicates equal composition.
Table 2.1: The ratios of SIMS intensities O/Hf for HfO2 thin films prepared at various
substrate temperatures (oxygen pressure: 50 mTorr).
Temperatures (oC) O/Hf
25 0.18
200 0.17
300 0.18
500 0.21
750 0.18
Table 2.2: The ratios of SIMS intensities O/Hf for HfO2 thin films prepared at various
oxygen pressures (All samples are prepared at room temperature 25oC.).
Pressure (mTorr) O/Hf
10 0.10
50 0.18
200 0.21
Table 2.1 presents the O/Hf ratio for HfO2 films deposited at various substrate
temperatures. This ratio remains almost constant indicating that the deposition
Chapter 2 HfO2 MIM Capacitors by Pulsed-Laser Deposition (PLD)
31
temperature up to 750oC has little effect on the stoichiometry of HfO2 films. However,
the fluctuations of O/Hf ratio in the whole temperature range can be attributed most
likely to the change of surface roughness of the films, which is evidenced from Fig.
2.3. Table 2.2 shows the O/Hf ratio for HfO2 films deposited at room temperature and
various oxygen pressures. At the pressure 50 mTorr and above, the composition of the
oxide remains essentially the same. However at lower pressure, the content of oxygen
falls significantly; at 10 mTorr, it drops down to a half of 50 mTorr. It is thus
concluded that deposition pressure affects the stoichiometry of the as-deposited thin
films whereas deposition temperature does not.
In order to further study the effects of deposition temperature and oxygen
pressure on the physical properties of HfO2 thin films, the optical constants, i.e.
refractive index and extinction coefficient, of HfO2 thin films deposited at various
parameters were determined with a variable angle spectroscopic ellipsometer, and
compared with that of the bulk material HfO2. Figure 2.5(a) shows refractive indexes
of HfO2 films deposited at various substrate temperatures. It can be seen that, with the
increase of deposition temperatures, the refractive indexes increase steadily, and the
curves of spectral dependence of the refractive indexes at 300 and 500oC are nearly
overlapped with each other. It is noticed that when the deposition temperature
increases to 200oC, the refractive index at 600 nm is 1.98, which is close to the bulk
refractive index 2.08 at 600 nm [20]. For the film prepared at 300 and 500oC, the
refractive indexes at 600 nm are 2.11 and 2.12 respectively, which are almost same as
that of bulk material. From the above SIMS measurement, it is concluded that the
change of deposition temperatures has little effect on the stoichiometry of HfO2 thin
film prepared by PLD, which means that the change of refractive index could not be
Chapter 2 HfO2 MIM Capacitors by Pulsed-Laser Deposition (PLD)
32
Figure 2.5: Spectral dependence of refractive indexes of HfO2 films deposited at (a)
various substrate temperatures (oxygen pressure: 50 mTorr) and (b) various deposition
pressures (all deposited at room temperature).
200 400 600 800 1000 1200 1400 1600 18001.6
1.7
1.8
1.9
2.0
2.1
2.2
2.3
25 oC 200 oC 300 oC 500 oC
Ref
ract
ive
inde
x
Wavelength (nm)
200 400 600 800 1000 1200 1400 1600 18001.3
1.4
1.5
1.6
1.7
1.8
1.9
2.0
2.1
10 mTorr 50 mTorr 200 mTorr
Ref
ract
ive
inde
x
Wavelength (nm)
(a)
(b)
Chapter 2 HfO2 MIM Capacitors by Pulsed-Laser Deposition (PLD)
33
due to the variation of oxygen concentration of HfO2 thin films prepared at various
deposition temperatures. However, it is believed that usually for the films deposited at
lower temperature, the as-deposited film has a lower packing density because of a
loose arrangement. A higher deposition temperature increases the mobility of the film
atoms or molecules and therefore favours the formation of more closely packed thin
films, which leads to a higher refractive index.
Figure 2.5(b) shows the refractive indexes of HfO2 films deposited at various
oxygen pressures. Since all the samples were prepared at room temperature, the
influence of deposition temperature on the packing densities of thin films deposited at
various oxygen pressures is assumed to be the same. The change of refractive indexes
at various deposition pressures is mainly attributed by the change of oxygen
concentration in HfO2 thin films from SIMS measurements. It can be seen from Fig.
2.5(b) that, for the film deposited at a low pressure of 10 mTorr, the refractive index is
1.92 at 600 nm, the highest among all the films prepared at various oxygen pressures.
However, such a high refractive index may be due to oxygen vacancies formed during
film preparation [21]. As for the film deposited at a high pressure of 200 mTorr, the
refractive index is only 1.41 at 600 nm. This could be attributed to the incorporation of
excessive oxygen during deposition, which may create voids and absorb water vapor
upon venting the vacuum chamber. Also the reduction of the kinetic energy of the
ablated Hf-bearing species, which undergo more collisions with the background O2
molecules before reaching the growing film surface, will result in a little lower density
film [22]. All the above effects are believed to be responsible for the reduction of the
refractive index of HfO2 thin film deposited at high pressure.
In addition, Figures 2.6(a) and 2.6(b) show the extinction coefficient (K factor)
dependence of wavelength for HfO2 thin films prepared at various deposition
Chapter 2 HfO2 MIM Capacitors by Pulsed-Laser Deposition (PLD)
34
Figure 2.6: Spectral dependence of extinction coefficients of HfO2 films deposited at
(a) various substrate temperatures (oxygen pressure: 50 mTorr) and (b) various
deposition pressures (all deposited at room temperature).
200 400 600 800 1000 1200 1400 1600 18001E-12
1E-10
1E-8
1E-6
1E-4
0.01
25oC 200oC 300oC 500oC
Extin
ctio
n co
effic
ient
K
Wavelength (nm)
200 400 600 800 1000 1200 1400 1600 18001E-5
1E-4
1E-3
0.01
0.1
10 mTorr 50 mTorr 200 mTorr
Extin
ctio
n Fa
ctor
K
Wavelength (nm)
(a)
(b)
Chapter 2 HfO2 MIM Capacitors by Pulsed-Laser Deposition (PLD)
35
conditions. As shown in Fig. 2.6(a), the extinction factors (K values) decrease for the
samples prepared from room temperature to 300oC. The K value for the sample
prepared at 300oC is quite low in the visible region (380-770 nm) and near infrared
region (770-1400 nm), which indicate that a good quality HfO2 film is obtained in
terms of optical properties. As for the sample deposited at 500oC, due to the measured
wavelength limitation of the instrument, no obvious absorption could be detected in
the ultraviolet region, and the K values are well above those of all other samples in
visible and near infrared regions after simulation. Figure 2.6(b) shows the extinction
factor dependence of wavelength of HfO2 thin films deposited at various oxygen
pressures. It is shown that the extinction factor of the film deposited at low pressure 10
mTorr is well above those of the films deposited at relative high pressures (50 and 200
mTorr), which could be due to large amount of defects in the film, such as oxygen
vacancies [23].
2.3.2. Electrical characterization of HfO2 MIM capacitor
Following the physical properties characterization, HfO2 MIM capacitors are
fabricated at 200, 300, and 400oC in oxygen ambient of 50 mTorr, with the thickness
of 56, 57, and 54 nm for each deposition temperature, to evaluate the electrical
properties. Figure 2.7 presented the TEM photo of 56 nm HfO2 MIM structure
prepared at 200oC, and there is no evidence of interfacial layers formation as
demonstrated by TEM showing an in-depth homogeneous dielectric material. Here it
needs to be mentioned that, we keep the maximum temperature at or below 400oC for
compatibility with the BEOL process. Among various requirements for analog MIM
capacitor, leakage characteristic is a critical issue for MIM capacitor application.
Chapter 2 HfO2 MIM Capacitors by Pulsed-Laser Deposition (PLD)
36
Figure 2.8 shows the current-voltage (I-V) characteristics of three samples of HfO2
MIM capacitors. The HfO2 thin film prepared at 200oC shows the lowest leakage
current of 2×10-9 A/cm2 at 3 V. The HfO2 samples deposited
Figure 2.7: TEM photos of 56 nm HfO2 MIM capacitor fabricated at 200oC
at 300oC, however, show the worst leakage property. This is believed to be due to the
formation of grain boundaries after crystallization (Figure 2.2). At an even higher
substrate deposition temperature of 400oC, the leakage property improves though it is
still inferior to the samples prepared at 200oC. This may be due to possible oxidation
of the bottom electrode (Ta) before HfO2 thin films deposition [24]. In addition, as
evidenced from Fig. 2.2, for HfO2 films prepared above 300oC, the crystallinity is
improved and the crystallographic orientation is changed. This implies a change of the
polycrystalline HfO2 structure, and it may alter the electrical properties to some extent.
From previous discussion, it is desirable to prepare HfO2 thin film at a high
temperature such as 300oC in terms of optical properties [25]. However, in order to
obtain good insulating properties, it is desirable to keep the HfO2 thin film to be in a
Chapter 2 HfO2 MIM Capacitors by Pulsed-Laser Deposition (PLD)
37
glassy (amorphous) phase, since grain boundaries are detrimental to serve as leakage
paths. In addition, increased surface roughness of HfO2 after crystallization could be
interpreted as an image force which lowers the barrier height for electron transport.
This appears to be another factor which may degrade the leakage property [26].
0 1 2 3 4 5
10-10
10-9
10-8
10-7
10-6
10-5
Le
akag
e cu
rren
t (A
/cm
2 )
DC bias on top electrode (V)
200oC 300oC 400oC
TaHfO2
Al dotGND
Vg +
Figure 2.8: Current-voltage characteristic of HfO2 MIM capacitors prepared at 200,
300, and 400oC respectively.
Figure 2.9 shows the dielectric constants (κ) and dissipation factors ( δtan ) of
HfO2 thin films as a function of the deposition temperature. For HfO2 thin film
deposited at 200oC, the dielectric constant is less dependent on frequency and remains
relatively constant in the whole frequency range (500 kHz-1 MHz). In addition, a low
dissipation factor (below 0.02) is observed at up to 1 MHz. This suggests that the HfO2
MIM capacitor prepared at 200oC has very low dielectric loss with a capacitance
density of 3 fF/µm2. However, for HfO2 films prepared at substrate temperatures of
Chapter 2 HfO2 MIM Capacitors by Pulsed-Laser Deposition (PLD)
38
300 and 400oC, the dielectric constants decrease at high frequencies with an obvious
increase in the dissipation factor. This may be related to the higher leakage current
observed.
0
5
10
15
20
25
30
-0.1
0.0
0.1
0.2
0.3
0.4
0.5
0.6
D
iele
ctric
con
stan
t (κ)
D
issi
patio
n fa
ctor
10k 1M100k1kFrequency (Hz)
200oC 300oC 400oC
Figure 2.9: Capacitance versus frequency at zero bias for HfO2 MIM capacitors
prepared at 200, 300, and 400oC respectively.
As already known, capacitor voltage linearity is another very important
parameter for MIM capacitors in silicon analog circuit applications. Figure 2.10 shows
the normalized capacitance of the MIM capacitor prepared at 200, 300, and 400oC with
applied voltage at different frequencies. At each measured frequency, the normalized
capacitance of the MIM capacitor can be fitted by
dC/C0=(C(V)–C0)/C0 = αV2 + βV
Chapter 2 HfO2 MIM Capacitors by Pulsed-Laser Deposition (PLD)
39
-1 0 1 2 3 4 5 6-2000
0
2000
4000
6000
8000
10000-2000
02000400060008000
1000012000-1000
0
1000
2000
3000
4000
5000
400oC deposition
300oC deposition
200oC deposition
(c)
(b)
1 kHz 10 kHz 100 kHz 1MHz
∆C
/C0 [
ppm
]
DC bias on top electrode (V)
(a)
Figure 2.10: Normalized capacitance of HfO2 MIM capacitors prepared at (a) 200,
(b) 300, and (c) 400oC as a function of voltage applied at a frequency of 1 kHz, 10
kHz, 100 kHz, and 1 MHz respectively.
Chapter 2 HfO2 MIM Capacitors by Pulsed-Laser Deposition (PLD)
40
where, C0 is the zero biased capacitance at each frequency, α and β are the quadratic
and linear voltage coefficient, respectively. The capacitances were measured with the
voltage changed from 0 V to 5 V at 1 kHz, 10 kHz, 100 kHz, and 1 MHz respectively.
The α and β values obtained are shown in Table 2.3. The results indicate that the
voltage coefficients of capacitance (VCCs) strongly depend on the frequency and
increase with decreasing the frequency (Figure 2.10 and Table 2.3). This dispersive
behaviour of MIM capacitors with HfO2 dielectric is temporarily attributed to the
existence of traps within high-κ films. Different traps will induce charges with
different time constants and strongly modulate capacitor charges at certain frequencies.
When the frequency is decreased, the induced charges will be easier to follow the ac
signal, and therefore result in higher VCCs. For the mechanism of the frequency
dependence of voltage coefficients, we will further discuss this in Chapter 5. From
Table 2.3, it is noticed that, HfO2 MIM capacitor prepared at 200oC shows both low
quadratic and linear voltage coefficients, which are comparable to MIM capacitor with
Si3N4 [27]. For the HfO2 thin films prepared at 300 and 400oC, the capacitance
variation ∆C/Co turns out to be larger than that of HfO2 thin films deposited at 200oC,
due to their poorer leakage property. Thus, we conclude that the relative capacitance
variation at a certain voltage is correlated to the insulating properties of HfO2 thin
films prepared at various deposition conditions. This kind of behaviour and relation
has also been observed in Ta2O5 MIM capacitors [28].
For comparison, it is also noted that, HfO2 samples prepared at 300 and 400oC
have linear voltage coefficients that are much larger than those prepared at 200oC.
However, their quadratic coefficients are smaller when compared to those amorphous
HfO2 prepared at 200oC. When we consider the voltage linearity requirements for
analog MIM capacitor, the decrease of quadratic coefficients is significant. Since
Chapter 2 HfO2 MIM Capacitors by Pulsed-Laser Deposition (PLD)
41
according to the 2001 ITRS roadmap [29], quadratic voltage coefficients are more
critical for the dynamic range of analog capacitor. On the other hand, linear voltage
coefficients can be cancelled out by differential techniques. However, the correlation
between materials properties and the variation of α and β values remains unclear.
Table 2.3: Voltage linearity coefficients as a function of frequency for HfO2 MIM
capacitors prepared at 200, 300, and 400oC respectively.
200oC Deposition 300oC Deposition 400oC Deposition
Frequency α
(ppm/V2)
β
(ppm/V)
α
(ppm/V2)
β
(ppm/V)
α
(ppm/V2)
β
(ppm/V)
1 kHz 134.3 176.6 -20.2 2189.7 -53.1 1797.9
10 kHz 89.0 181.9 31.9 1255.9 -22.4 802.7
100 kHz 64.9 156.5 -10.5 1048.9 3.2 377.4
1 MHz 44.3 125.2 32.8 461.6 8.3 207.6
Following VCCs’ characterization, temperature coefficients of capacitance
(TCCs) were evaluated for 200oC prepared HfO2 MIM capacitors, which could be
defined as:
CppmdTdC
TTCC o/106
=
It should be noted that the capacitance variation with temperature is mainly caused by
the temperature dependence of the dielectric properties. The capacitance change due to
thermal expansion of capacitor area is believed to have negligible effect [30].
Chapter 2 HfO2 MIM Capacitors by Pulsed-Laser Deposition (PLD)
42
Figure 2.11 shows the normalized capacitance of the MIM capacitor fabricated
at 200oC as a function of temperatures at a frequency of 10 kHz, 100 kHz, and 1 MHz,
respectively. The results show that the capacitance increases with the increase of the
temperature. The temperature coefficients of capacitance (TCCs) are 196 ppm/oC, 196
ppm/oC, and 147 ppm/oC for the frequency at 10 kHz, 100 kHz, and 1 MHz,
respectively. The TCC at 1 MHz is the lowest for HfO2 MIM capacitor. Compared to
the TCCs of 127 ppm/oC at 1 MHz and 187 ppm/oC at 1 kHz for Si3N4 MIM capacitor
[27], HfO2 MIM capacitor shows comparable TCCs.
0 25 50 75 100 125 150
0
5000
10000
15000
20000
25000
30000
35000 TCC = 147 ppm/oC (1 MHz) TCC = 196 ppm/oC (100 kHz) TCC = 196 ppm/oC (10 kHz)
Nor
mal
ized
cap
acita
nce
[ppm
]
Temperature (oC)
Figure 2.11: Normalized capacitance of HfO2 MIM capacitor prepared at 200oC as a
function of temperature.
Considering the leakage characteristics and the capacitance performance at
various deposition temperatures, it is desirable to select the optimum substrate
temperature of 200oC for HfO2 thin film deposition using PLD. In addition, recent
Chapter 2 HfO2 MIM Capacitors by Pulsed-Laser Deposition (PLD)
43
progress in Cu and low-κ interconnect technology requires much lower temperature
than 400°C which is traditionally accepted for BEOL process. The maximum allowed
temperature for low-κ dielectric decreases with the decrease of κ value [31]. To get a
κ value of around 2, we need to keep the maximum process temperature as low as
200°C [31]. Furthermore, Cu diffusion will be much reduced at a lower temperature.
Comparing to 400°C, Cu diffusivity in low-κ dielectric at 200°C is 4 orders lower [32].
In summary, according to the ITRS roadmap [29], the typical requirements of
analog capacitor are capacitance density of 3 fF/µm2, voltage linearity of 100 ppm/V2,
and leakage current of 7 fA/[PF·V] in the current technology node. For the 56 nm
HfO2 MIM capacitor prepared at 200oC, the obtained parameters are comparable with
an even lower leakage of ~2.8 fA/[PF·V], which can meet the strict specifications of
analog capacitor.
2.4. Limitations of PLD for thin film fabrication
Even though PLD has been proved to be an effective method for the fabrication
of high quality films, like HfO2 as a dielectric in MIM capacitors, some intrinsic
drawbacks severely limited PLD’s application for thin film deposition in the current Si
ULSI technology. Among them, particulate splashing and non-uniformity are of the
most serious concerns which we like to point out as follows:
1. Particulate splashing
Particulate splashing is an intrinsic problem of PLD method for many thin film
fabrications [1, 2], which is particularly problematic for semiconductor films when
Chapter 2 HfO2 MIM Capacitors by Pulsed-Laser Deposition (PLD)
44
(a)
(b)
Figure 2.12: SEM top views of HfO2 film surfaces prepared with the laser fluence of (a)
4.0 and (b) 7.0 J/cm2 respectively (fabricated at room temperature).
Chapter 2 HfO2 MIM Capacitors by Pulsed-Laser Deposition (PLD)
45
considering small feature sized electronic devices. In the PLD processing of HfO2
films, particulates were observed to be present on the surface of HfO2 thin films.
Figure 2.12(a) and Figure 2.12(b) illustrated the top views of PLD processed HfO2
films with the laser fluence of 4.0 and 7.0 J/cm2 respectively. The feature sizes of the
particulates were in the sub-micron regime. As expected, the number of generated
particulates decreases with laser fluence. Therefore, in our work, we keep the laser
energy low to minimize the particulates density on the surfaces of HfO2 films.
However, it would be very difficult in most cases to completely avoid the particular
splashing during the PLD processing of thin films using the conventional
configuration of PLD system as shown in Fig. 2.1.
With regard to the particulate occurrence, the following mechanisms were
believed to be responsible, like subsurface boiling, the shock wave recoil pressure
induced liquid layer expulsion, and splashing can be one or a combination of those
mechanisms. To avoid splashing, numerous attempts have been made, such as the
manipulation of plume and target, and mechanical filter, etc. However we do not
intend to further investigate the particulates splashing on HfO2 films in this work.
2. Non-uniformity for thin film deposition
Another major drawback is the lack of uniformity over the substrate area due to
the narrow angular distribution of the plume [1, 2], which makes the PLD method
unfeasible for the current Si wafer processing. In practice, several methods have been
employed to alleviate this problem, including the rastering of the laser, the substrate
rotation, and so on. In our work, we used small pieces of Si wafers with the area being
~1×1 cm2.
In a word, even though PLD is a good tool for materials study and research
purpose, it remains to be difficult to find its wide acceptance in industry for thin film
Chapter 2 HfO2 MIM Capacitors by Pulsed-Laser Deposition (PLD)
46
fabrications. In the following chapters, sputtering and atomic-layer deposition (ALD)
methods will be used to further explore HfO2 based high-κ dielectrics for MIM
capacitors application which are free from the drawbacks mentioned above.
2.5. Conclusion
In this chapter, HfO2 thin films have been prepared by PLD at various
deposition conditions. For the physical properties characterization, the influence of the
substrate temperature and pressure on film properties, including crystallinity, surface
morphology, relative stoichiometry, and optical properties, were investigated. It can be
concluded that substrate temperature has little effect on the stoichiometry, whereas
deposition pressure plays an important role in determining the ratio of Hf and O. The
result also shows that the optical properties of HfO2 thin films have a strong
dependence on both the deposition temperature and pressure. Thus, the appropriate
choice of substrate temperature and deposition pressure in PLD processing of HfO2
thin films is of great importance.
Based on the physical characterization, MIM capacitors using HfO2 dielectric
were fabricated. The electrical properties of HfO2 MIM capacitors were evaluated as a
function of deposition temperature. It is also shown to have strong correlation to the
material properties. For the first time, a high performance MIM capacitor using high-κ
HfO2 dielectric was demonstrated with a low thermal budget of 200oC. It showed an
overall high electrical performance such as a high capacitance density of ~3.0 fF/µm2,
a low leakage current of 2x10-9 A/cm2 at 3 V, low voltage coefficients of capacitance,
and good frequency dispersion property. Compared with Si3N4 MIM capacitors
currently used in the industry, the capacitance density is high. In summary, all these
Chapter 2 HfO2 MIM Capacitors by Pulsed-Laser Deposition (PLD)
47
indicate that HfO2 is a very good high-κ dielectric used in MIM capacitors for Si
analog circuit applications.
Chapter 2 HfO2 MIM Capacitors by Pulsed-Laser Deposition (PLD)
48
References:
[1] D. B. Chrisey and G. K. Hubler, Pulsed Laser Deposition of Thin Films (Wiley,
New York, 1994)
[2] E. Fogarassy and S. Lazare, Laser ablation of electronic materials: basic
mechanisms and applications (Amsterdam: North-Holland, 1992)
[3] M. Y. Ho, H. Gong, G. D. Wilk, B.W. Busch, M. L. Green, P. M. Voyles, D. A.
Muller, M. Bude, W. H. Lin, A. See, M. E. Loomans, S. K. Lahiri, and P. I.
Raisanen, “Morphology and crystallization kinetics in HfO2 thin films grown by
atomic layer deposition,” J. Appl. Phys., Vol. 93, No. 10, pp. 1477-1481, 2003.
[4] J. Aarik, A. Aidla, H. Mändar, T. Uustare, K. Kukli, and M. Schuisky, “Phase
transformations in hafnium dioxide thin films grown by atomic layer deposition at
high temperatures,” Applied Surface Science, Vol. 173, pp. 15-21, 2001.
[5] M, Gilo and N, Croitoru, “Study of HfO2 films prepared by ion-assisted deposition
using a gridless end-hall ion source,” Thin Solid Films, Vol. 350, pp. 203-208,
1999.
[6] T. Nishide, S. Honda, M. Matsuura, and M. Ide, “Surface, structural and optical
properties of sol-gel derived HfO2 films,” Thin Solid Films, Vol. 371, 61-65, 2000.
[7] B. H. Lee, L. Kang, R. Nieh, W. -J. Qi, and J. C. Lee, “Thermal stability and
electrical characteristics of ultrathin hafnium oxide gate dielectric reoxidized with
rapid thermal annealing,” Appl. Phys. Lett., Vol. 76, pp. 1926-1928, 2000.
[8] R. Choi, K. Onishi, C. S. Kang, S. Gopalan, R. Nieh, Y. H. Kim, J. H. Han, S.
Krishnan, H. -J Cho, A. Shahriar, and J. C. Lee, “Fabrication of high quality ultra-
thin HfO2 gate dielectric MOSFETs using deuterium anneal,” in Proc. of IEDM,
pp. 613-616, 2002.
Chapter 2 HfO2 MIM Capacitors by Pulsed-Laser Deposition (PLD)
49
[9] S. J. Lee, H. F. Luan, W. P. Bai, C. H. Lee, T. S. Jeon, Y. Senzaki, D. Roberts, and
D. -L. Kwong, “High quality ultra thin CVD HfO2 gate stack with poly-Si gate
electrode,” in Proc. of IEDM, pp. 31-34, 2000.
[10] S. J. Lee, C. H. Lee, Y. H. Kim, H. F.Luan, W. P. Bai, T. S.Jeon, and D. -L.
Kwong, “Dual-poly CVD HfO2 gate stack for sub-100 nm CMOS technology,”
IWGI, pp. 80-85, 2001.
[11] C. C. Yeo, B. J. Cho, M. S. Joo, S. J. Whoang, D. L. Kwong, L. K. Bera, S.
Mathew, and N. Balasubramanian, “Improvement of electrical properties of
MOCVD HfO2 by multi-step deposition process,” Electrochemical and Solid-
State Lett., Vol. 6 (11), pp. F42-F44, 2003.
[12] M. S. Joo, B. J. Cho, C. C. Yeo, D. S. H. Chan, S. J. Whoang, S. Mathew, L. K.
Bera, N. Bala, and D.-L. Kwong, “Formation of hafnium-aluminium-oxide gate
dielectric using single cocktail liquid source in MOCVD process,” IEEE Trans.
on Electron Devices, Vol. 50, pp. 2088-2094, 2003.
[13] H. Hu, C. Zhu, Y. F. Lu, M. F. Li, B. J. Cho, and W. K. Choi, “A High
Performance MIM Capacitor Using HfO2 Dielectrics,” IEEE Electron Device
Lett., Vol. 23, No. 9, pp. 514-516, 2002.
[14] H. Hu, C Zhu, Y. F. Lu, Y. H. Wu, T. Liew, M. F. Li, B.J. Cho, W. K. Choi, and
N. Yakovlev, “Physical and Electrical Characterization of HfO2 Metal-Insulator-
Metal Capacitors for Si Analog Circuit Applications,” J. Appl. Phys., Vol. 94, No.
1, pp. 551-557, 2003.
[15] J. M. Léger, J. Haines, and B. Blanzat, “Materials potentially harder than diamond
–quenchable high-pressure phases of transition-metal dioxides,” J. Mater. Sci.
Lett., Vol. 13, pp. 1688-1690, 1994.
Chapter 2 HfO2 MIM Capacitors by Pulsed-Laser Deposition (PLD)
50
[16] J. M. Léger, A. Atouf, P. E. Tomaszewski, and A. S. Pereira, “Pressure-induced
phase-transitions and volume changes in HfO2 up to 50 GPA,” Phys. Rev. B 48,
pp. 93-98, 1993.
[17] M. Tabbal, P. Merel and M. Chaker, “Pulsed laser deposition of C-Axis oriented
aluminium nitride thin films on silicon,” Eur. Phys. J. Appl. Phys., Vol. 14, pp.
115-119, 2001.
[18] D. K. Fork, F.A. Ponce, J.C. Tramontana and T.H. Geballe, “Epitaxial MgO on
Si(001) for Y-Ba-Cu-O thin-film growth by pulsed laser deposition,” Appl. Phys.
Lett., Vol. 58, pp. 2294-2296, 1991.
[19] J. C. Vickerman, Secondary Ion Mass Spectrometry: Principles and Applications
(Clarendon, Oxford, 1989)
[20] Specifying HfO2 material file: (J.A. Woollam Co.Inc., Lincoln, NE 68508, USA,
2000)
[21] G. Reiße, B. Keiper, S. Weißmantel, H. Johansen, R. Scholz, and T. Martini,
“Properties of laser-pulse deposited oxide-films,” Thin Solid Films, Vol. 241, pp.
119-125, 1994.
[22] S. Boughaba and M. U. Islam, “Optical properties of tantalum oxide films
deposited on BK7 substrates by Excimer laser ablation,” Mat. Res. Soc. Symp.,
Vol. 617, pp. J3.7.1-J3.7.6, 2000.
[23] Y. J. Cho, N. V. Nguyen, C. A. Richter, J. R Ehrstein, B. H. Lee, and J. C. Lee,
“Spectroscopic ellipsometry characterization of high-κ dielectric HfO2 thin films
and the high-temperature annealing effects on their optical properties,” Appl.
Phys. Lett., Vol. 80, pp. 1249-1251, 2002.
Chapter 2 HfO2 MIM Capacitors by Pulsed-Laser Deposition (PLD)
51
[24] C. Chaneliere, J. L. Autran, R. A. B. Devine, and B. Balland, “Tantalum
pentoxide (Ta2O5) thin films for advanced dielectric applications,” Materials
Science and Engineering, R22, pp. 269-322, 1998.
[25] J. Aarik, A. Aidla, A. -A. Kiisler, T. Uustare and V. Sammelselg, “Influence of
substrate temperature on atomic layer growth and properties of HfO2 thin films,”
Thin Solid Films, Vol. 340, pp. 110-116, 1999.
[26] B. C. M. Lai, and J. Y. M. Lee, “Leakage current mechanism of metal-Ta2O5-
metal capacitors for memory device applications,” J. Electrochem. Soc., Vol. 146
(1), pp. 266-269, 1999.
[27] J. A. Babcock, S. G. Balster, A. Pinto, C. Dirnecker, P. Steinmann, R. Jumpertz,
and B. El-Kareh, “Analog characterisitics of metal-insulator-metal capacitors
using PECVD nitride dielectrics,” IEEE Electron Device Lett., Vol. 22, No. 5, pp.
230-232, 2001.
[28] S. Blonkowski, M. Regache, and A. Halimaoui, “Investigation and modeling of
the electrical properties of metal-insulator-metal structures formed from chemical
vapor deposited Ta2O5 films,” J. Appl. Phy., Vol. 90, pp. 1501-1508, 2002.
[29] The international Technology Roadmap for Semiconductors, Semiconductor
Industry Association, 2002.
[30] J. L. McCreary, “Matching properties, and voltage and temperature dependence of
MOS capacitors,” IEEE J. Solid-State Circuits, Vol. 16, pp. 608-616, 1981.
[31] S. Sivoththaman, R. Jeyakumar, L. Ren and A. Nathan, “Characterization of low
permittivity (low-κ) polymeric dielectric films for low temperature device
integration,” J. Vac. Sci. Technol., A 20, pp. 1149-1153, 2002.
[32] A. L. S. Loke, T. J. T. Wetzel, P. H. Townsend, T. Tanabe, R. N. Vrtis, M. P.
Zussman, D. Kumar, C. Ryu, and S. S. Wong, “Kinetics of copper drift in low-κ
Chapter 2 HfO2 MIM Capacitors by Pulsed-Laser Deposition (PLD)
52
polymer interlevel dielectrics,” IEEE Trans. Electron Devices, Vol. 46, pp. 2178-
2187, 1999.
Chapter 3 Characterization of HfO2 MIM capacitors for RF Application
53
Chapter 3
Characterization of HfO2 MIM capacitors for RF Application
3.1. Introduction
As known in Chapter 1, MIM capacitor is spawned by wireless communication
revolution. For instance, the mobile phone works at 0.9 and 1.9 GHz, Bluetooth at 2.4
and direct satellite TV operation at 10 GHz. With continuing improvement of Si
CMOS technology, low-cost Si circuits could operate well in the 1-10 GHz range or
even higher frequency [1, 2]. As one of the passive elements, MIM capacitors
integrated into Si ICs need to provide well behaved and predictable capacitance
characteristics in RF regime.
SiO2 and Si3N4 are traditionally employed for Si RF applications with well
behaved capacitance characteristics in the RF regime [3-6]. According to the ITRS
roadmap, a high capacitance density of ≥ 9 fF/µm2 will be needed at or beyond year
2004 [7] for RF bypass capacitors. This will necessitate the dielectric thicknesses of
4.3 and 7.8 nm for SiO2 and Si3N4, respectively. However, such thin SiO2 or Si3N4
layers can not be put into practical use due to the anticipated poor electrical
performance as detailed in Chapter 1. Though multiple-layer MIM capacitors intended
to increase the capacitance density have been reported using the conventional
dielectrics of SiO2 and Si3N4 [8, 9], it is not favourable in terms of process complexity
and cost. Therefore, selecting high-κ dielectric will benefit for RF MIM capacitors
Chapter 3 Characterization of HfO2 MIM capacitors for RF Application
54
application to a great extent. However, if high-κ materials were to be considered for
the replacement of SiO2 and Si3N4, their RF performances have to be evaluated. With
regard to high-κ HfO2 dielectric, no RF performance of HfO2 MIM capacitors has been
reported so far. In particular, the dielectric constant dependence on frequency in RF
regime is paramount because preserving high-κ even at high frequency is a
fundamental requirement. In this chapter, we investigated the RF characteristics of
HfO2 MIM capacitors prepared by physical vapor deposition (PVD) [10]. Compared to
pulsed-laser deposition (PLD) technique [11], PVD is much superior in terms of
particulate splashing and film non-uniformity [12]. PVD also has the advantage of
high throughput which is beneficial for mass production. Recently, PVD has been
successfully employed for fabrication of high-κ dielectrics such as HfO2 [13] and
Ta2O5 [14] for high performance MIM capacitors application in back-end line (BEOL)
integration.
In addition, our results show that HfO2 MIM capacitors with various
thicknesses exhibit different stress induced leakage currents (SILCs) characteristics are
functions of the stress time under constant stress voltage. The variation of voltage
coefficients of capacitance (VCCs) with stress time has also been investigated under
prolonged electrical stress. Generation of traps under the stress has been proposed as a
plausible mechanism for explanation of SILCs and stress related variation of VCCs.
Chapter 3 Characterization of HfO2 MIM capacitors for RF Application
55
3.2. Experiments
3.2.1. RF MIM capacitor fabrication
The MIM capacitors with RF test structures were fabricated on standard p-type
Si substrates with a resistivity of 4-8 Ω·cm. Before defining the bottom electrode of the
HfO2 MIM capacitors, 500 nm SiO2 was deposited on silicon substrate for isolation
purpose. In order for RF characterization, coplanar transmission lines were fabricated
on SiO2 to serve as the top and bottom electrodes of MIM capacitors. High-κ HfO2
dielectric films were deposited by reactive sputtering on 0.6-µm-thick patterned
bottom Ta transmission line at room temperature in a gas mixture of Ar (27 sccm) and
O2 (3 sccm). The chamber pressure was maintained at 5 mtorr during sputtering, and a
DC power of 250 W was applied to the Hf target. Two HfO2 samples were fabricated
with the physical thicknesses of 22 and 47 nm, measured by ellipsometer; and they are
denoted as HfO-1 and HfO-2, respectively. Finally, a layer of 0.6-µm-thick Al was
deposited to define both the top electrode and the transmission line. MIM capacitors
with different area were prepared. Figure 3.1 illustrates major fabrication steps and
schematic top views of RF HfO2 MIM capacitor together with open dummy structure,
where the open dummy device was used to de-embed the parasitic from the bond-pads
and transmission lines [15, 16].
The leakage current was measured using an HP4155B semiconductor
parameter analyzer, and the capacitance voltage characteristics were acquired with the
help of an HP4284A precision LCR meter with frequencies varying from 10 kHz to 1
MHz. On-wafer scattering (S) parameters were measured using HP 8510C network
analyzer with the GGB's air coplanar probes (ACP) in ground-signal-ground (GSG)
Chapter 3 Characterization of HfO2 MIM capacitors for RF Application
56
Figure 3.1: Major fabrication steps and schematic top views of RF HfO2 MIM
capacitor and open dummy structure.
Mask 1: Transmission line patterning after bottom electrode deposition
Mask 2: Contact hole etching after high-κ HfO2 deposition
Mask 3: RF MIM structures patterning after top electrode deposition
RF capacitor structure Open dummy structure
Transmission lines
Chapter 3 Characterization of HfO2 MIM capacitors for RF Application
57
configuration for RF characterization, and a precise calibration procedure including
open, short, through, 50 Ω load has been implemented using impedance standard
substrate before devices measurement.
3.2.2. S-parameters for RF characterization
For RF characterization and circuit modelling of HfO2 MIM capacitors, S
parameter is essential for the practical system characterization in RF regime, since
measuring most other parameters like ABCD, Y, Z etc. calls for the input and output of
the device to be successively opened and short circuited. This can be hard to do,
especially at RF frequencies where lead inductance and capacitance make short and
open circuits difficult to obtain. In addition, short or open circuits will induce
oscillations which could make the measurement invalid and even destroy the device
[17, 18].
Figure 3.2: The definition of S-parameters for a two-port network
The wave functions used to define S-parameters for a two-port network are
presented in Fig. 3.2, where αn and bn (n = 1, 2) are the normalized incident and
Chapter 3 Characterization of HfO2 MIM capacitors for RF Application
58
reflected power waves used in S-parameter definitions shown in (3.1) and (3.2), and
0Z is the characteristic line impedance.
The S-parameters could then be defined as:
⎪⎭
⎪⎬⎫
⎪⎩
⎪⎨⎧
⎥⎥⎦
⎤
⎢⎢⎣
⎡=
⎪⎭
⎪⎬⎫
⎪⎩
⎪⎨⎧
2
1
2221
1211
2
1a
a
SS
SS
b
b (3.3)
0
011 ZZ
ZZS
in
inin +
−=Γ= (3.4)
Simply speaking, S-parameters can be directly related to the reflection coefficients
( inΓ ) at the input and output of the two-port network as defined in (3.4). As a result,
the measurement of S11 and S21 could be achieved by matching the line impedance 0Z
at port 2 as shown in Fig. 3.2 through a corresponding load impedance ZL = 0Z , where
0Z is 50 Ω in our measurement system.
3.3. Results and discussion
3.3.1. RF characterization
To investigate the capacitance characteristics of HfO2 MIM capacitors in RF
regime, we use a π network based equivalent circuit model for the MIM capacitor as
shown in Fig. 3.3. The Rp and C describe the basic electrical model of high-κ HfO2
MIM capacitors, where Rp is proposed to have its origin in the dielectric loss of the
high-κ material and Rs, Ls1 and Ls2 represent the parasitic resistance and inductance
)()2/1(
)()2/1(
00
00
nnn
nnn
IZVZb
IZVZa
−×=
+×= (3.1)
(3.2)
Chapter 3 Characterization of HfO2 MIM capacitors for RF Application
59
from the coplanar transmission lines used for RF measurements. The elements (Cox1,
R1, C1 and Cox2, R2, C2) in the shunt branches in Fig. 3.3 represent the coupling of the
top and bottom electrodes to ground through SiO2 and Si substrate. Standard
procedures were used to de-embed the parasitic from the probe-pads [19]. One can
refer to [17, 18] for S parameters’ conversion into Y parameters.
[SDUT/dummy] ⇒ [YDUT/dummy] (3.5)
Ycapacitor = YDUT-Ydummy (3.6)
Here SDUT (YDUT) denote the S-(Y-) parameters of the MIM capacitors along with the
probe pads and transmission lines while Sdummy(Ydummy) denote the S-(Y-) parameters
of probe pads and transmission lines alone. It is important to point out here that shunt
elements (Cox1, R1, C1 and Cox2, R2, C2) and series elements Rs, Ls1 and Ls2 are used to
account for the transmission lines. The shunt elements are de-embedded as described
above and the part of circuits within the dotted enclosure in Fig. 3.3 has been used to
simulate the S-parameters of the MIM capacitor.
Figure 3.3: The equivalent circuit model for capacitor simulation at RF regime.
The de-embedded and simulated S-parameters were computed by Agilent
Technologies’ ICCAP [20] modelling software using SPICE3 as the circuit simulator.
Chapter 3 Characterization of HfO2 MIM capacitors for RF Application
60
Figure 3.4: The measured and simulated S-parameters for (a) HfO-1 and (b) HfO-2.
(Simulation and parameter extractions were done by ICCAP.)
(a)
(b)
Chapter 3 Characterization of HfO2 MIM capacitors for RF Application
61
Fig. 3.4(a) and 3.4(b) show the measured and simulated two-ports S-parameters (S11
and S21) for HfO-1 and HfO-2 from 50 MHz to 20 GHz. As can be seen, the measured
and simulated data over the entire frequency range from 50 MHz to 20 GHz are in
excellent agreement. This suggests that the equivalent circuit model shown in Fig. 3.3
is suitable and reliable for the capacitor modelling and parameters extraction.
With regards to the lumped equivalent circuit model for capacitor simulation, it
is noticed that other similar approaches have been used. There appears to be slightly
difference when considering the capacitor geometry and physical topology [21, 22]. In
addition, Ls1+Ls2 is ~110 pH, Rs is ~12 Ω, and Rp is in the range of ~10 MΩ for HfO-1
and HfO-2. Large value of Rs can be mainly ascribed to the relatively highly resistive
Ta transmission line and thin metal layers. The use of high conductivity metals like Cu
and/or increasing the metal layer thickness will reduce Rs and hence higher quality
factor. In comparison, the high value of Rp indicates the good insulating property of
the HfO2 RF MIM capacitors.
The overall effective capacitance (including the impedance due to Ls1, Ls2 and
Rs) versus frequency for HfO-1 and HfO-2 is as presented in Fig. 3.5. This was
calculated from the 1-port admittance (Y11) using equations (3.5) and (3.6):
jC
RYZeff
effin *1/1 11 ω
−+== (3.5)
)((1
ineff Zimag
C∗
−=ω
(3.6)
It is noticed that the transition from capacitive to inductive behaviours are due to the
above mentioned parasitic inductances. These are believed to be associated with the
interconnect and are extrinsic to the MIM structure. The self-resonance frequency
including the transmission line parasitic for HfO-1 is 9.4 GHz in comparison with
HfO-2 positioned at 14.3 GHz. This is mainly due to the smaller capacitance value of
Chapter 3 Characterization of HfO2 MIM capacitors for RF Application
62
0.0 5.0G 10.0G 15.0G 20.0G-200.0p
0.0
200.0p
400.0p
600.0p
Sing
le e
fftiv
e ca
paci
tanc
e
Frequency
HfO-1 HfO-2
Figure 3.5: High frequency response of PVD HfO2 MIM capacitors from 50 MHz to
20 GHz for HfO-1 and HfO-2.
1k 100k 10M 1G 100G0
2
4
6
8
10
12
Cap
acito
r den
sity
(fF/µm
2 )
Frequency (Hz)
HfO-1 HfO-2
Low frequency ≤ 1MHz RF analysis up to 20 GHz
Figure 3.6: The frequency dependence of capacitance density for PVD HfO2 MIM
capacitors HfO-1 and HfO-2.
Chapter 3 Characterization of HfO2 MIM capacitors for RF Application
63
HfO-2 [23]. The useful operating frequency range for MIM capacitor could be further
extended by minimizing the parasitic from the interconnect.
In order to further study the capacitance-frequency characteristics of HfO2
MIM capacitors, we have plotted the capacitance measured at low frequency (10 kHz-
1MHz) and the extracted capacitance from RF measurements in Fig. 3.6. It is
straightforward to conclude from Fig. 3.6 that the PVD HfO2 shows good capacitance-
frequency dependency for MIM capacitors, which is in agreement with the conclusions
of Dong et al. who investigated HfO2 thin film for RF-MOSFET applications [24]. In
addition, HfO2 MIM capacitors with different thicknesses show high capacitance
densities of 7.3 and 3.5 fF/µm2 for HfO-1 and HfO-2 respectively. The dielectric
constant was calculated to be approximately 18.
It is worthwhile to point out that PVD high-κ HfO2 could still preserve its high-
κ value in RF regime (up to 20 GHz) for MIM capacitor applications within the
thermal budget limitation of the back-end integration. In contrast, the dielectrics
constants of some high-κ materials like TiSiOx and AlTiOx have been reported to have
strong frequency dependence with the dielectric constant dropping as the frequency
increase [15, 25]. Therefore, those types of capacitors are unsuitable for MIM
capacitors application from the circuit design perspective. In addition, no area
dependency was found for these two HfO2 MIM capacitors. A small device area
(25×25 µm2) were selected for characterization in this work, since RF circuit
applications in GHz range would need typical capacitance values of a few pico-farads
only.
Chapter 3 Characterization of HfO2 MIM capacitors for RF Application
64
Figure 3.7: Stress induced leakage currents (SILCs) characteristics of (a) HfO-1 and (b)
HfO-2 under the constant voltage stress at 1.5 V
.
0.0 0.5 1.0 1.5 2.010-9
10-8
10-7
10-6
10-5
10-4
10-3
Voltage (V)
J (A
/cm
2 )
Fresh 2000 s @1.5 V 4000 s @1.5 V 6000 s @1.5 V
0.0 0.5 1.0 1.5 2.0
10-9
10-8
10-7
10-6
10-5
10-4
10-3 Fresh 2000 s @1.5 V 4000 s @1.5 V 6000 s @1.5 V
J (A
/cm
2 )
Voltage (V)
(a)
(b)
Chapter 3 Characterization of HfO2 MIM capacitors for RF Application
65
3.3.2. DC and low frequency measurements
Figures.3.7(a) and 3.7(b) show the J-V characteristics of HfO-1 and HfO-2 at
room temperature (~25oC) before and after the stress, respectively. As shown in Fig.
3.7(a), HfO2 MIM capacitor with thin film (HfO-1) shows large SILCs, the
observation is similar to what has been found in SiO2 system. The large SILCs are
believed to be due to the neutral traps generation during the stress [26], since the
generated trap sites under stress will act as “stepping stones” for tunnelling carriers
and give rise to excess leakage. Comparing with measurement of fresh capacitors, the
leakage current density increased by nearly one order of magnitude after 4000 s stress
at 1.5 V. With the stress time increased up to 6000 s, the SILC nearly saturated, which
is believed to be due to the saturation of created trap sites under certain stress
conditions [27]. In contrast, the leakage of HfO-2 showed minimal increase for the
same amount of stress up to 6000 s. The different characteristics of SILCs for HfO2
MIM capacitors may be due to the smaller amount of traps generated during the
prolonged stress for thick oxide with a lower electric field [28]. However, for high
capacitance density capacitor (like bypass RF capacitor), thinner dielectric film
becomes imperative. However, excessive leakage is undesirable under prolonged bias
condition. This becomes a limiting factor for the scaling of dielectric films [29]. In
order to improve the leakage property of PVD HfO2 dielectric, the methods including
novel plasma treatment [30, 31], and new structures like HfO2-Al2O3 laminate [32]
may need to be implemented. However, the challenge is to achieve high quality
dielectric films while complying with the thermal budget of back-end-of line
integration (≤ 400oC) and maintaining the high throughput.
Chapter 3 Characterization of HfO2 MIM capacitors for RF Application
66
Figure 3.8: Stress time dependence of (a) the quadratic voltage coefficients and (b) the
linear voltage coefficients for HfO-1 under the constant voltage stress at 1.5 V.
0 1000 2000 3000 4000 5000 60001500
1800
2100
2400 100 kHz 500 kHz 1 MHz
Stress time (s) @ 1.5 V
Qua
drat
ic c
oeffi
cien
ts (p
pm/V
2 )
0 1000 2000 3000 4000 5000 6000900
1200
1500
1800
2100
Line
ar c
oeffi
cien
t (pp
m/V
)
Stress time (s) @ 1.5 V
100 kHz 500 kHz 1 MHz
(a)
(b)
Chapter 3 Characterization of HfO2 MIM capacitors for RF Application
67
The voltage linearity property of HfO2 MIM capacitors under stress has also
been investigated and the evolution of voltage linearity has been studied. The
capacitance-voltage characteristics of the MIM capacitors were measured from –2 V to
2 V at 100 kHz, 500 kHz, and 1 MHz before and after the stress. At each frequency,
the measured capacitance of the MIM capacitor can be fitted by
C(V) = C0 (αV2+βV+1) (3.7)
where, C0 is the zero biased capacitance at each frequency, V is the bias voltage; α and
β are the quadratic and linear voltage coefficients which have different implications for
analog and RF MIM capacitor applications according to ITRS roadmap [7]. Figs.
3.8(a) and 3.8(b) depict both the quadratic and the linear coefficients as a function of
stress time for HfO-1. It is interesting to note that both α and β values decrease with
stress time at 1.5 V for each measured frequency, which is contrary to the observed
SILCs in Fig. 7(a) showing that the SILCs increase with the stress time. Figures.3.8(a)
and 3.8(b) present the voltage coefficients of HfO-2. It could be also seen that both α
and β coefficients decrease with the stress time. However, thick HfO2 film showed less
stress dependence in comparison with HfO-1, which is in accordance with SILCs
characteristics of HfO-2. In addition, the reduction of linear coefficient seems slightly
more obvious than that of quadratic coefficient under stress for HfO-1 and HfO-2.
It needs to be mentioned here that the mechanism for stress-time evolution of
VCCs is under investigation and is still not clear. However, the reduction of voltage
coefficients after stress should not be construed to suggest the improvement of the film
quality. Rather, the film quality degrades under stress as indicated by SILCs. Further,
it seems plausible that the different characteristics of SILCs and evolution of voltage
linearity under stress have the same physical origin. For the CV characteristics of HfO2
MIM capacitors, which could be fitted by the polynomial equation, the distortion of
Chapter 3 Characterization of HfO2 MIM capacitors for RF Application
68
Figure 3.9: Stress time dependence of (a) the quadratic voltage coefficients and (b) the
linear voltage coefficients for HfO-2 under the constant voltage stress at 1.5 V.
0 1000 2000 3000 4000 5000 6000200
300
400
500
600
700
800 100 kHz 500 kHz 1 MHz
Qua
drat
ic c
oeffi
cien
ts (p
pm/V
2 )
Stress time (s) @ 1.5 V
0 1000 2000 3000 4000 5000 6000100
200
300
400
500
600 100 kHz 500 kHz 1 MHz
Line
ar c
oeffi
cien
t (pp
m/V
)
Stress time (s) @ 1.5 V
(a)
(b)
Chapter 3 Characterization of HfO2 MIM capacitors for RF Application
69
CV curve for the device after stress was reflected by the reduction of quadratic
coefficients. The flattening-out of the characteristic (CV curve bent downward after
stress.) is similar to the stretch-out of the CV curve in MOS device when interface
states are present [33]. The variation of linear coefficients is manifested in the parallel
shift of CV curve towards the positive side of the voltage axis akin to a positive shift
of flat band voltage due to the negative charges seen in the MOS capacitors [33]. This
suggests the plausibility of the trap generation under stress explaining both the SILCs
and the evolution of VCCs after application of stress.
Figure 3.10: The equivalent circuit for HfO2 MIM capacitors after stress. The added
branch stands for the generated trapped states in MIM capacitor after stress.
Chapter 3 Characterization of HfO2 MIM capacitors for RF Application
70
An equivalent circuit shown in Fig. 3.10 may help to develop a
phenomenological model for the variation of quadratic and linear coefficients after
stress, where C and Rp have the same physical meanings as described in Fig.3.3 for the
fresh device. After stress, the additional branch comprising C1 and R1 was introduced,
where C1 and R1 account for the traps generated after the DC stress in the dielectric,
with energy levels distributed within the oxide band gap. From this circuit diagram in
Fig. 3.10, C1 in the added branch equals to Q1/V1, where Q1 stands for the charges
stored in the added branch and V1 is the voltage on C1. It may be surmised that if Q1 is
of negative sign, it could account for the flattening-out of the CV curves after stress
corresponding to a net charge loss in the dielectric. The voltage dependence of C1 and
R1 can thus effectively model both linear and quadratic coefficients.
Though more works are needed for a clear physical understanding, it appears
plausible that the generated traps after stress in high-κ dielectric are responsible for the
reduction of the quadratic and linear coefficients. It may be of interest to note that even
though the oxide quality deteriorates during stress, the reduced voltage coefficients
seem beneficial from the perspective of MIM capacitors applications. In addition, it
may also be noticed that the frequency dependence of voltage linearity (Fig.3.8 and
Fig.3.9) is almost unchanged after the stress, even though both quadratic and linear
coefficients improve at higher frequency.
3.4. Conclusion
In this chapter, we investigated the electrical characteristics of high-κ PVD
HfO2 MIM capacitors from IF (10 kHz) to RF (20 GHz) frequency range for the first
time. High-κ HfO2 dielectric has been demonstrated to exhibit good capacitance-
Chapter 3 Characterization of HfO2 MIM capacitors for RF Application
71
frequency dependency, i.e. the dielectric constant of HfO2 remains almost constant in
the entire frequency range up to 20 GHz. This suggests that HfO2 is a good high-κ
candidate for RF MIM capacitors applications. In addition, HfO2 MIM capacitor with
thin dielectric film showed large SILCs compared to thick HfO2 film, which is
believed to be due to the reduced amount of traps generated in thick oxide film on
account of the smaller electric field in the thicker film. The evolution of voltage
linearity under stress reveal that both the quadratic and the linear coefficient reduce in
magnitude with stress time, and correlate to the variation of SILCs in HfO2 MIM
capacitors. It has been proposed that the reduced voltage coefficients originate from
the generated traps in high-κ HfO2 films after the electrical stress.
Chapter 3 Characterization of HfO2 MIM capacitors for RF Application
72
References:
[1] L. E. Larson, “Integrated circuit technology options for RFIC’s-present status and
future directions,” IEEE J. Solid-State Circuits, Vol. 33, pp. 387-398, 1998.
[2] A. A. Abidi, “RF CMOS comes of age,” in Proc. Symp. VLSI Technology, pp. 113-
116, 2003.
[3] S. Jenei, S. Decoutere, S. V. Huylembroeck, G. Vanhorebeek, and B. Nauwelaers,
“High Q inductors and capacitors on Si substrate,” Silicon Monolithic Integrated
Circuits in RF Systems, pp. 64-70, 2001.
[4] J. N. Burghartz, M. Soyuer, and K. A. Jenkins, “Microwave inductors and
capacitors in standard multilevel interconnect silicon technology,” IEEE Trans.
Microwave Theory Tech., Vol. 44, pp. 100-104, 1996.
[5] C. H. Ng, K. W. Chew, J. X. Li, T. T. Tjoa, L. N. Goh, and S. F. Chu,
“Characterization and comparison of two metal-insulator-metal capacitor schemes
in 0.13 µm copper dual damascene metallization process for mixed-mode and RF
applications,” in Proc. of IEDM, pp. 241-244, 2002.
[6] C. H. Ng, K. W. Chew, and S. F. Chu, “Characterization and comparison of
PECVD silicon nitride and silicon oxynitride dielectric for MIM capacitors,” IEEE
Electron Device Lett., Vol. 24, No. 8, pp. 506-508, 2003.
[7] The international Technology Roadmap for Semiconductors, Semiconductor
Industry Association, 2002.
[8] N. P. Kim, K. L. Coates, C.-P. Chien, and M. H. Tanielian, “Thin firm passive
components integrated into silicon based multi-chip modules for aerospace
applications,” in 3rd Int. Symp. Adv. Packag. Mater. Proc., pp. 81-84, 1997.
Chapter 3 Characterization of HfO2 MIM capacitors for RF Application
73
[9] Z. Chen, L. Guo, M Yu, and Y. Zhang, “A study of MIMIM on-chip capacitor
using Cu/SiO2 interconnect technology,” IEEE Microwave and Wireless
Components Lett., Vol. 12, pp. 246-248, 2002.
[10] H. Hu, S. –J. Ding, C. Zhu, Y. F. Lu, M. F. Li, B. J. Cho, D. S. H. Chan, S. C.
Rustagi, M. B. Yu, A. Chin, and D. -L. Kwong, “Investigation of PVD HfO2 MIM
capacitors for Si RF and mixed signal ICs application,” International
Semiconductor Device Research Symposium (ISDRS), pp. 328-329, 2003.
[11] H. Hu, C. Zhu, Y. F. Lu, M. F. Li, B. J. Cho, and W. K. Choi, “A high
performance MIM capacitor using HfO2 dielectrics,” IEEE Electron Device Lett.,
Vol. 23, No. 9, pp. 514-516, 2002.
[12] D. B. Chrisey and G. K. Hubler, Pulsed Laser Deposition of Thin Films (Wiley,
New York, 1994)
[13] S. J. Kim, B. J. Cho, M. F. Li, C. Zhu, A. Chin, and D. -L. Kwong, “HfO2 and
lanthanide-doped HfO2 MIM capacitors for RF/mixed IC applications,” in Proc.
Symp. VLSI Technology, pp. 77-78, 2003.
[14] T. Yoshitomi, Y. Ebuchi, H. Kimijima, T. Ohguro, E. Morifuji, H. S. Momose, K.
Kasai, K. Ishimaru, F. Matsuoka, Y. Katsumata, M. Kinugawa, and H. Iwai,
“High performance MIM capacitor for RF BiCMOS/CMOS LSIs,” in Proc. of
BCTM, pp. 133-136, 1999.
[15] S. B. Chen, J. H. Chou, A. Chin, J. C. Hsieh, and J. Liu, “RF MIM capacitors
using high-κ Al2O3 and AlTiOx dielectrics,” in Proc. of IEEE MTT-S Intl.
Microwave Symp., pp. 201-204, 2002.
[16] C. H. Huang, M.Y. Yang, A. Chin, C. X. Zhu, M. F. Li, and D. L. Kwong, “High
density RF MIM capacitors using high-κ AlTaOx dielectrics,” in Proc. of IEEE
MTT-S International Microwave Symp., pp. 507-510, 2003.
Chapter 3 Characterization of HfO2 MIM capacitors for RF Application
74
[17] D. M. Pozar, Microwave Engineering (John Wiley & Sons, 1998)
[18] Thomas H. Lee, The design of CMOS radio-frequency integrated circuits
(Cambridge press, 1998)
[19] P.J.van Wijnen, H. R. Claessen, and E. A. Wolsheimer, “A new straightforward
calibration and correction procedure for ‘On Wafer’ high frequency S-parameters
measurement (45MHz-18GHz),” in Proc. of BCTM, pp. 70-73, 1987.
[20] IC-CAP manual, Hewlett Packard, 1998.
[21] C. Geng, K. W. Chew, K. S. Yeo, M. A. Do, J. Ma, C. T. Chua, and K. Shao,
“Physically-based RF model for metal-oxide-metal capacitors,” Electronics lett.
Vol. 36, No. 5, pp. 425-427, 2000.
[22] S. –S. Song, S. –W. Lee, J. Gil, and H. Shin, “A simple wide-band MIM capacitor
model for RF applications and the effect of substrate grounded shields,” in Proc.
of SSDM, pp. 438-439, 2003.
[23] J.–H. Lee, D.–H. Kim, Y.–S. Park, M.-K. Sohn, and K.-S. Seo, “DC and RF
characteristics of advanced MIM capacitors for MMIC’s using ultra-thin remote-
PECVD Si3N4 dielectric layers,” IEEE Microwave Guided Wave Lett., Vol. 9, pp.
345–347, 1999.
[24] Dong Barlage, Reza Arghzvani, Gilbert Dewey, Mark Doczy, Brian Doyle, Jack
Kavalieros, Anand Murthy, Brian Roberds, Pat Stokley and Robert Chau, “High
frequency response of 100 nm integrated CMOS transistors with high-κ gate
dielectrics,” in Proc. of IEDM, pp. 231-234, 2001.
[25] D. K. Sarkar, E. Desbiens, and M. A. El Khakani, “High-κ titanium silicate
dielectric thin films grown by pulsed-laser deposition,” Appl. Phys. Lett., Vol. 80,
pp. 294-296, 2002.
Chapter 3 Characterization of HfO2 MIM capacitors for RF Application
75
[26] D. J. Dimaria, and E. Cartier, “Mechanism for stress-induced currents in thin
silicon dioxide films,” J. Appl. Phy., Vol. 78, pp. 3883-3894, 1995.
[27] Q. Lu, K. P. Cheung, N. A. Ciampa, C. T. Liu, C. -P. Chang, J. I. Colonell, W.-
Y.-C. Lai, R. Liu, J. F. Miner, H. Vaidya, C. -S. Pai, and J. T. Clemensz,“A model
of the stress time dependence of SILC,” in Proc. IEEE Intl. Reliability Physics
Symp., pp. 396–399, 1999.
[28] E. F. Runnion, S. M. Gladstone, IV, R. S. Scott, Jr., Member, D. J. Dumin, L. Lie,
and J. C. Mitros, “Thickness Dependence of Stress-Induced Leakage Currents in
Silicon Oxide,” IEEE Trans. Electron Devices, Vol. 44, p. 993-1001, 1997.
[29] H. Satake and A. Toriumi, “Common origin for stress-induced leakage current
and electron trap generation in SiO2”, Appl. Phys. Lett., Vol. 67, p. 3489, 1995.
[30] G. B. Alers, R. M. Fleming, Y. H. Wong, B. Dennis and A. Pinczuk, “Nitrogen
plasma annealing for low temperature Ta2O5 films,” Appl. Phys. Lett., Vol. 72,
No. 11, pp. 1308-1310, 1998.
[31] S. J. Chang, J. S. Lee, J. F. Chen, S. C. Sun, C. H. Liu, U. H. Liaw and B. R.
Huang, “Improvement of electrical and reliability properties of tantalum
pentoxide by high-density plasma (HDP) annealing in N2O,” IEEE Electron
Device Lett., Vol. 23, No. 11, pp. 643-645, 2002.
[32] K. Kukli, J. Ihanus, M. Ritala, and M. Leskela, “Tailoring the dielectric properties
of HfO2-Ta2O5 nanolaminates,” Appl. Phys. Lett., Vol. 68, No. 26, pp. 3737-3739,
1996.
[33] E H Nicollian and J R Brews, MOS (Metal Oxide Semiconductor Physics and
Technology (Wiley, New York, 1982)
Chapter 4 HfAlOx MIM Capacitors by Atomic-Layer-Deposition (ALD)
76
Chapter 4
HfAlOx MIM Capacitors by Atomic-Layer-Deposition (ALD)
4.1. Introduction
4.1.1. ALD method for thin films fabrication
The concept of atomic-layer-deposition (ALD) was first introduced by T.
Suntola, et. al in 1977 as a variant of physical vapor deposition named as atomic layer
epitaxy (ALE) [1]. This technique is limited to very few material systems and required
stringent process conditions due to the PVD implementation of ALD. Nowadays, the
implementation of basic ALD concepts using chemically reactive molecular precursors
is capable of preparing insulating dielectric films, metal electrodes and interconnect
barriers as well [2].
The foundation of ALD is the self-limiting characteristics of the process steps
in which a chemical vapor reacts with a surface until a monolayer has been
chemisorbed. The reaction then stops, so the process is called “self-limiting”. A
second vapor then reacts with this surface in a second self-limiting reaction depositing
a second of atoms onto the films. The second reaction must return the surface to a state
in which it is ready to react with the first reactant. The cycle of reactions can then be
repeated to build up a compound atomic layer by atomic layer.
Chapter 4 HfAlOx MIM Capacitors by Atomic-Layer-Deposition (ALD)
77
Due to the self-limiting nature of ALD allowing for atomic scale control, it has
the promises of unprecedented control of film thickness, uniformity, quality and
materials properties. In particular, ALD has the exclusive advantage of manipulating
the physical and electronic properties of thin films by changing their composition,
which is practiced by layering nanolaminate stacks of films as well as by deposition of
mixed component alloys. Though ALD has been criticized for its low deposition rates,
some novel schemes have recently been proposed to address this concern [3, 4].
Currently, the silicon semiconductor industry is devoting a large amount of
research to ALD, as it is considered to be a likely future method for the production of
thin dielectric films. ALD is already used to grow gate oxides [4, 5] and DRAM
dielectrics [6, 7]. In this chapter, ALD was chosen as an alternative method to fabricate
HfO2-Al2O3 dielectrics using various dielectric structures.
4.1.2. Characteristics of ALD processed HfO2 and Al2O3
In this work, the precursors for HfO2 deposition are HfCl4 and H2O, and those
for Al2O3 are Al(CH3)3 (TMA) and H2O. N2 was used as both carrier gas and purge gas.
Table 4.1 summarizes ALD process conditions for pure HfO2 and Al2O3 films growth
Table 4.1: ALD process conditions for the deposition of HfO2 and Al2O3
Pressure HfCl4 pulse HfCl4 purge H2O pulse H2O purge Temperature[mtorr] [ms] [ms] [ms] [ms] [oC]
HfO2 deposition
125 2000 6000 400 3000 320 Pressure TMA pulse TMA purge H2O pulse H2O purge Temperature[mtorr] [ms] [ms] [ms] [ms] [oC] Al2O3
deposition 200 200 1500 500 3000 340
Chapter 4 HfAlOx MIM Capacitors by Atomic-Layer-Deposition (ALD)
78
using GENUS LYNX2 system. The deposition cycle is broken up into a sequence of
discrete process steps, and the low thermal budget (~300oC) of ALD method is
compatible with back-end of line (BEOL) integration for MIM capacitor fabrication.
In comparison, it was noticed that HfCl4 necessitate much longer pulse and purge time
compared to TMA.
Figures 4.1(a) and 4.1(b) present the growth rates as a function of growth
cycles for HfO2 and Al2O3. The film thickness was measured by ellipsometry and the
underlayer thickness was deducted using recipes calibrated by SiO2. Those films were
grown on 6-inch Si (100) substrates, and the films uniformity on wafer is less than 2%.
It is straightforward to note that the linear growth rates dependence on the growth
cycle is characteristic of ALD processed HfO2 and Al2O3 deposition. The intercepts of
-1.8 and 0.1 is observed for zero HfO2 and Al2O3 thicknesses respectively, where the
relatively large negative intercept implies that the growth of HfO2 must be nonlinear
for the initial several cycles, which is in agreement with [8]. In comparison, HfO2 has
a slightly lower deposition rate of 0.6 Å/cycle than that for Al2O3 at 0.76 Å/cycle. It is
believed that the high sticking coefficient of HfCl4 precursor due to its strong ionic
bonding is responsible for long purge/pulse time and the low growth rate of HfO2 [6].
Recently, it is reported that using the liquid precursors such as Hf(NEtMe)4 for HfO2
growth could alleviate this problem [6].
In this chapter, the motivation of adding Al2O3 into HfO2 is that, Al2O3 is an
high-κ material with the merits of high energy band gap of ~8.9, middle dielectric
constant (9~10), immunity to oxygen diffusion, etc [9]. In practice, Al alloyed TiO2,
Ta2O5, and HfO2 MIM capacitors have been demonstrated to provide the overall better
electrical performance than using each dielectric alone [10-12]. Besides, HfO2-Al2O3
laminate has been studied as DRAM dielectrics [6]. In the following section,
Chapter 4 HfAlOx MIM Capacitors by Atomic-Layer-Deposition (ALD)
79
Figure 4.1: The growth rates dependence on deposition cycles for ALD processed (a)
HfO2 and (b) Al2O3.
(a)
(b)
Chapter 4 HfAlOx MIM Capacitors by Atomic-Layer-Deposition (ALD)
80
we will focus on the investigation of HfO2-Al2O3 laminated dielectric. In addition, the
electrical characteristics of HfO2-Al2O3 MIM capacitors using different material
structures will be studied and compared.
4.2. Experiments
The MIM capacitors were fabricated on SiO2 deposited on Si substrate. The
bottom electrode of Ta/TaN was formed by sputtering, where Ta was used to reduce
the parasitic resistance of the electrode and TaN was acted as an oxidation-resistant
barrier layer [13]. After that, the laminated dielectrics with alternate Al2O3 (1 nm) and
HfO2 (5 nm) layers were deposited using ALD technique, and the beginning and end
layers were 1 nm Al2O3, respectively. The insertion of 1 nm Al2O3 as the contacting
layer to the top and bottom electrodes is to improve the metal/dielectric interface
quality [14]. Three thicknesses of laminated dielectrics (i.e. 13, 31 and 43 nm) were
deposited for electrical evaluation. Figure 4.2 illustrates the fabricated laminate
structure and the TEM photo of 13 nm laminated film. TaN was then sputtered as the
top electrode, followed by the post deposition annealing in N2 at 420oC for 30 min.
Finally, a photolithography step and dry etching were used to define the MIM
capacitors. In consideration of RF characterization for laminate dielectrics, the
coplanar transmission lines were also fabricated, which also served as the top and
bottom electrodes; and Al was used as the contact pads after TaN top electrode
formation. For more details with regard to the RF structure fabrication, one can refer to
Chapter 3. The maximum temperature in the device fabrication was 420ºC, which is
compatible with Si back-end of line process. In addition, HfO2-Al2O3 dielectrics using
Chapter 4 HfAlOx MIM Capacitors by Atomic-Layer-Deposition (ALD)
81
different material structures have been fabricated for electrical evaluation and
comparison using the same fabrication procedures as described above.
For electrical characterization, capacitances from 10 kHz to 1 MHz were
measured using a HP4284A precision LCR meter. For RF characterization, the
scattering (S) parameters were measured on wafer using HP 8510C network analyzer
with the GGB's air coplanar probes (ACP) for ground-signal-ground (GSG)
configuration. The measured S-parameters were de-embedded using the same
procedures as described in Chapter 3. Leakage currents were measured using a
HP4155B semiconductor parameter analyzer. To study dependence of voltage
coefficients of capacitance (VCCs) on constant voltage stress (CVS), C-V
characteristics were measured with an interruption of stress after different stress time.
The breakdown of the dielectric was characterized by monitoring the change of
leakage current during CVS.
Figure 4.2: TEM cross section of 13 nm HfO2-Al2O3 laminated dielectric.
Chapter 4 HfAlOx MIM Capacitors by Atomic-Layer-Deposition (ALD)
82
4.3. Electrical characterization of HfO2-Al2O3 laminated MIM capacitors
4.3.1. RF Characteristics of laminated MIM capacitors
Using the method and equivalent circuit model described in Chapter 3, the
measured two-port S parameters (S11 and S21) after de-embedding the shunt elements
are obtained for the laminate MIM capacitors with three thicknesses. In comparison,
the simulated two-port S parameters are also obtained using the aforementioned
equivalent circuit. The respective two-port S parameters are depicted in Figs. 4.3(a, b,
c) for all three laminated capacitors. Again, it can be found that the measured and
simulated data over the entire frequency range from 50 MHz to 20 GHz are in very
good agreement, suggesting the equivalent circuit model is suitable and reliable for the
capacitor modeling and parameters extraction.
In addition, the transition from capacitive to inductive behavior was evidenced
from the inset of Fig. 4.4, which are believed to be associated with the interconnect
and are extrinsic to the MIM structure. The resonance frequencies are 5.5, 7.7, 9.3
GHz for the 13, 31, 43 nm laminate MIM capacitors, respectively. The different
resonance points result from the different capacitance values are due to different
dielectric thicknesses. Figure 4.4 again presents the capacitance densities measured at
low frequency (10 kHz ~ 1MHz) and those extracted in RF regime. It is revealed that
all of the laminate MIM capacitors can offer nearly constant capacitance densities of
4.3, 6.1 and 12.8 fF/µm2 from 10 kHz to 20 GHz for 43, 31 and 13 nm thick laminates
respectively. The calculated dielectric constant of the laminate is around 19. This
indicates that the incorporation of a small quantity of Al2O3 can still preserve a high
enough dielectric constant. Considering the 13 nm laminated MIM capacitor,
Chapter 4 HfAlOx MIM Capacitors by Atomic-Layer-Deposition (ALD)
83
(a)
(b)
(c)
Figure 4.3: Measured and simulated S-parameters for (a) 13 nm, (b) 31 nm and (c) 43
nm laminated MIM capacitors
Chapter 4 HfAlOx MIM Capacitors by Atomic-Layer-Deposition (ALD)
84
the capacitance density of 12.8 fF/µm2 can fulfill the requirement of RF capacitor up
to year 2007 according to ITRS roadmap [15]. In addition, the well-behaved and
predictable RF characteristics could also be observed for HfO2-Al2O3 dielectrics using
other material structures like stack and sandwich. Based on all the experimental RF
data, it is concluded that pure HfO2 and HfO2-Al2O3 dielectrics are good materials for
RF MIM capacitor applications.
4.3.2. Leakage and breakdown characteristics of laminated MIM capacitors
Figure 4.5 shows the dependence of leakage current density (J) on biasing
voltage at 125oC for MIM capacitors with different thicknesses of laminate.
1k 100k 10M 1G 100G0
4
8
12
16
20
24
28
0.0 5.0G 10.0G 15.0G 20.0G-300p
-200p
-100p
0
100p
200p
Sing
le e
nded
effe
ctiv
e ca
paci
tanc
e
Frequency (GHz)
13 nm 31 nm 43 nm
Capacitive
Inductive
RF analysis up to 20 GHz
Cap
acita
nce
dens
ity (f
F/µm
2 )
Frequency (Hz)
13 nm 31 nm 43 nm
Low frequency ≤ 1 MHz
Figure 4.4: The capacitance density dependence on frequency for laminate capacitors
with three thicknesses, the inset shows high frequency response of laminate MIM
capacitors from 50 MHz to 20 GHz.
Chapter 4 HfAlOx MIM Capacitors by Atomic-Layer-Deposition (ALD)
85
-4 -2 0 2 410-10
10-8
10-6
10-4
10-2
Leak
age
curr
ent (
A/c
m2 )
Voltage (V)
13 nm 31 nm 43 nm
measured at 125oC
area: 100µm*100µm
Figure 4.5: J-V characteristics of 13, 31 and 43 nm laminated capacitors measured at
125oC.
-4 -2 0 2 410-10
10-8
10-6
10-4
Leak
age
Cur
rent
(A/c
m2 )
Voltage (V)
22 oC 50 oC 75 oC 100 oC 125 oC
13 nm laminate
Figure 4.6: J-V characteristics of 13 nm laminated MIM capacitor as a function of
temperature.
Chapter 4 HfAlOx MIM Capacitors by Atomic-Layer-Deposition (ALD)
86
It is noticed from Fig. 4.5 that the leakage current density decreases with the increase
of the laminate thickness at the same voltage. It can be found that the 13 nm HfO2-
Al2O3 laminated MIM capacitor can provide much smaller leakage current than the
reported HfO2 [16] and Tb-doped HfO2 [17] MIM capacitors while maintaining similar
capacitance density. Such a small leakage current density for the laminated MIM
capacitor is attributed to the incorporation of 1 nm Al2O3 layers. This exploits the
merits of large band gap of Al2O3 which helps to reduce leakage current and slow
oxygen diffusion through Al-O matrix resulting in improved interface properties [9,
10]. The intermediate amorphous Al2O3 layers inhibit the continuous crystal growth of
HfO2. Therefore, this eliminates the grain boundary channels extending from one
electrode to the other, and further contributes to the reduction in conductivity [18].
Figure 4.6 presents the J-V curves for the 13 nm laminated MIM capacitor
measured at several different temperatures. It is found that the leakage current density
at low voltages exhibits weak temperature dependence in comparison with that at high
voltages, i.e. compared to the leakage current at 50oC, the leakage currents at 125oC
increase by 1.6 and 24.8 times at 1 and 3V, respectively. In addition, the J-V
characteristics exhibit two distinct regions. One is the low bias region (typically at <
2V), where the leakage current increases slowly with the applied voltage; the other is
the high bias region (> 2V), where the leakage current increases quickly with the
applied voltage. These phenomena reflect different current transport mechanisms in
the laminated films.
Before we proceed to discuss the electron conduction mechanism in the
laminated dielectric, we should introduce two major conduction mechanisms
frequently observed in high-κ dielectric films, i.e. Schottky emission and Poole-
Frenkel (P-F) emission [19-21]. This can be categorized into electrode limited and
Chapter 4 HfAlOx MIM Capacitors by Atomic-Layer-Deposition (ALD)
87
400 800 1200 1600
-20
-18
-16
400 800 1200 1600-21
-20
-19
-18
-17
-16
LnJ
E1/2 (V/cm)1/2
β=0.00167
22 oC
LnJ
E1/2 (V/cm)1/2
22oC
50oC
75oC
100oC
125oC
1200 1400 1600 1800 2000-34
-32
-30
-28
-26
-24
-22
Ln (J
/E)
E1/2 (V/cm)1/2
22oC S=0.0167
50oC S=0.0179
75oC S=0.0178
100oC S=0.0183
125oC S=0.0182
Poole-Fren
kel e
mission
(a)
(b)
Figure 4.7: Conduction mechanisms for the 13 nm laminated MIM capacitor: (a)
Poole-Frenkel mechanism occurring at high electric field, exhibiting a shift to lower
electric field with increasing the temperature, (b) Schottky emission fitting at low
electric field.
Chapter 4 HfAlOx MIM Capacitors by Atomic-Layer-Deposition (ALD)
88
bulk limited processes. For Schottky effect, the electrode limited current obeys the
Richardson-Schottky law and can be expressed as follows:
)2
exp()exp(* 2
KTE
KTe
TAJ Sb βφ−= (4.1)
where 0φ is the barrier height, T is the temperature, and sβ is the Schottky slope:
2/1
0*
3
)4
(επ
βKe
s = (4.2)
Here K*=n2, is the optical dielectric constant, n is the refractive index. If we plot
sd βlog vs. E1/2, the resulting slope will be:
ss
KTe
KTdEJd β
β 434.0loglog2/1 == (4.3)
As for P-F emission, it is due to the field-enhanced thermal excitation of trapped
electrons, which could be regarded as the bulk analog of the Schottky emission. The
effect yields a field-dependent conductivity of the form:
)exp()exp(2/1
0 KTE
KTE PFb βφ
σσ −= (4.4)
where 0σ is a constant. This equation can also be written in the form:
)exp()exp(2/1
0 KTE
KTEJ PFb βφ
σ −= (4.5)
When plot log(J/E) vs. E1/2, the resulting slope will be:
PFPF
KTe
KTdEJd β
β 434.0loglog2/1 == (4.6)
where 2/1
0*
3
)(επ
βKe
PF = (4.7)
is the P-F coefficient. Note that SPF ββ 2= . By analysis of the J-V curves, the
conduction mechanism in the dielectric could be determined, and we find that both
Chapter 4 HfAlOx MIM Capacitors by Atomic-Layer-Deposition (ALD)
89
Schottky emission and P-F are involved in the electronic conduction process in the
laminate films.
To further verify the possible effect shown in Fig. 4.6, Figure 4.7 (a) shows the
dependence of ln(J/E) on E1/2 at high bias for each temperature, together with extracted
slope (S) by linear fitting. As a result, we can deduce that the refractive index of the
laminate is close to 1.8 in the case of 22oC, which is in good agreement with the
refractive index (~2.0) of ALD HfO2, suggesting that P-F emission is satisfactorily
followed at high electric field. Moreover, it is noticed that the transition to P-F
emission shifts from 2.4 to 1.6MV/cm with increasing the temperature from 22oC to
125oC.
In comparison, the J-V curves at low bias could only be well fitted with the
Schottky emission taken into consideration. Figure 4.7(b) shows ln(J) dependence on
E1/2, and the inset of Fig. 4.7(b) presents the resultant slope by a linear fitting for J-V
curve measured at 22oC. However, the calculated refractive index is about 9, which is
much larger than that of HfO2. Therefore, it is considered that the Schottky emission is
not a unique conduction mechanism at low bias. Recently, it has been reported that
trap-assisted-tunneling (TAT) current at low voltage (field) for Ta2O5 MIM capacitors
is characterized by weak temperature dependence, weaker electric-field-dependence
than P-F current, and a very prominent “knee” feature due to a significant change of J-
V slope in the case of the transition from TAT to P-F emission [22, 23]. The
aforementioned features can also be observed in Fig. 4.6 in the case of HfO2-Al2O3
laminated films, suggesting that the leakage current at low voltages probably includes
the TAT component as well.
Figure 4.8 shows the evolution of leakage current during constant voltage
Chapter 4 HfAlOx MIM Capacitors by Atomic-Layer-Deposition (ALD)
90
Figure 4.8: The characteristics of leakage current versus stress time under 4V stress
for the 13 nm laminated MIM capacitor. Square and round symbols represent the 1st
stress and the 2nd stress after an interruption of 10 hours, respectively.
10 100 1000
1.2x10-5
1.6x10-5
2x10-5
J (A
/cm
2 )
Stress time (sec)
Area=1E-4 cm2As-stressed
Remeasured after 10hurs
Stressed @4V
-4 -3 -2 -1 0 1 2 3 410-9
10-8
10-7
10-6
10-5
10-4
Leak
age
curr
ent (
A/c
m2 )
Voltage (V)
0 to 4 4 to -4 -4 to 4 4 to -4 -4 to 4
Figure 4.9: I-V measurements showing the hysteresis loop of 13 nm laminated MIM
capacitor.
Chapter 4 HfAlOx MIM Capacitors by Atomic-Layer-Deposition (ALD)
91
stress for 13 nm laminate. It can be found that the leakage current reduces swiftly at
the beginning of stress, followed by a gentle decrease with stress time. For fresh
capacitors, the leakage current density decreases by about 38% with increasing stress
time to 1500 sec under 4V, suggesting the electron charge trapping. Subsequently, the
stress voltage is removed and the device is kept at zero-bias for 10 hours, and then the
leakage current density is re-measured under the same voltage stress. As shown in Fig.
4.8, the leakage current density at the starting point is remarkably recovered after 10
hours interruption, i.e. to 94% of the original. This indicates that electron charge
trapping process occurs mostly at the dielectric/electrode interface, not at the bulk of
the dielectric [24].
To measure the current dependence on voltage bias and time, we varied the
applied voltage from the negative extreme to the positive extreme and back, and
recorded the corresponding currents (see Figure 4.9). It is noticed that IV curves
exhibit a good symmetry for positive and negative polarities, which is ascribed to a
symmetrical structure of laminated MIM capacitor different from MOS capacitor with
SiO2/Al2O3 gate stacks [25]. Furthermore, the laminated MIM capacitor exhibits very
small hysteresis for both polarities. Here it also needs to mention that CV curves of
MIM capacitors exhibit negligible hysteresis, which is simply due to its symmetrical
metal-dielectric-metal structures. Compared with that of MOS capacitors using doped
Si as the substrate, different types of charges trapping and defect generation can occur
depending on the voltage sweep polarity [25], this could possibly lead to an obvious
hysteresis behavior.
In addition, breakdown characteristics of the laminate MIM capacitors are
demonstrated in Figs. 4.10(a, b). The typical evolutions of leakage current versus
stress time under different CVS are presented in Fig. 4.10(a) for the 13 nm laminate.
Chapter 4 HfAlOx MIM Capacitors by Atomic-Layer-Deposition (ALD)
92
100 101 102 10310-4
10-1
102J
(A/c
m2 )
Stress Time (sec)
stressed @ 6V stressed @ 5.8V stressed @ 5.5V
5 10 15 20 25 30 35 400
20
40
60
80
100
Cum
ulat
ive
prob
abili
ty (%
)
Breakdown voltage (V)
13 nm 33 nm 43 nm
Area = 2.5x10-5 cm2
(a)
(b)
Figure 4.10: (a) The typical breakdown characteristics of 13 nm laminate under
different constant voltage stress; (b) the cumulative probability dependence on
breakdown voltage for the laminated MIM capacitors with different thicknesses.
Chapter 4 HfAlOx MIM Capacitors by Atomic-Layer-Deposition (ALD)
93
When the stress time surpasses a critical point, the leakage current exhibits a sudden
rise, which is indicative of hard breakdown characteristic. The cumulative probability
plot of breakdown voltage is presented in Fig. 4.10(b). In the case of 50% probability
of failure, the breakdown voltages corresponding to 13, 31 and 43 nm laminate MIM
capacitors are equal to 7.6, 17.8 and 25.6 V respectively, which corresponds to the
breakdown field of ~ 6 MV/cm.
4.3.3. VCCs dependence and reliability of laminated MIM capacitors
Voltage coefficients of capacitance (VCCs) were again analyzed by fitting the
measured data with the second order polynomial equation of
)1()( 20 ++= VVCVC βα , where C0 is the zero-biased capacitance, α and β represent
the quadratic and linear voltage coefficients of capacitance, respectively. Figure 4.11(a)
shows bias-dependent normalized capacitance (∆C/C0) fitted by the above-mentioned
equation and the resulting VCCs as well. Obviously, α decreases with increasing the
laminate thickness. In the case of the 13 nm laminated MIM capacitor, β is equal to
211ppm/V at 1MHz, which can easily meet RF capacitor requirement (1000 ppm/V)
[15]. Moreover, the electric field (E) dependence of ∆C/C0 is also presented in Fig.
4.11(b), showing a similar dependence of ∆C/C0 on E regardless of the dielectric
thickness. On the other hand, the effect of the applied frequency on α is also
demonstrated in Fig. 4.12. It can be noticed that logarithmic α (logα) decreases
linearly with a logarithmic increase in frequency, while maintaining a similar slope in
spite of the laminate thickness, i.e. α decreases by about 30% when the applied
Chapter 4 HfAlOx MIM Capacitors by Atomic-Layer-Deposition (ALD)
94
-2 -1 0 1 2
0.000
0.005
0.010
0.015
0.020
∆C
/C0
Electric field (MV/cm)
13nm 31nm 43nm
voltage scan: -4V~+4Vmeasurement frequency: 1MHz
Figure 4.11: (a) The voltage-dependent normalized capacitance (∆C/C0) at 1 MHz for
13, 31 and 43 nm laminated capacitors, fitted by a second order polynomial equation;
and (b) the corresponding plot of ∆C/C0 versus electric field (E).
-2 -1 0 1 2
0.000
0.005
0.010
0.015
∆
C/C
o 13nm α = 1990ppm/V2; β = 211ppm/V
Voltage (V)
measured @1MHz
31nm α = 405ppm/V2; β = -95ppm/V 43nm α =207ppm/V2; β = -65ppm/V
(a)
(b)
Chapter 4 HfAlOx MIM Capacitors by Atomic-Layer-Deposition (ALD)
95
10k 100k 1M100
1000
10000
α (p
pm/V
2 )
Frequency (kHz)
13nm 31nm 43nm
10 20 30 40 50 60102
103
104
10 kHz 100 kHz 1 MHz
α (p
pm/V
2 )
Thickness (nm)
Figure 4.12: Frequency dependences of α for 13, 31 and 43 nm laminated capacitors,
showing a linear fitting in log-log scale.
Figure 4.13: Thickness dependence of quadratic VCC (α) for laminated MIM
capacitors.
Chapter 4 HfAlOx MIM Capacitors by Atomic-Layer-Deposition (ALD)
96
frequency increases from 10 kHz to 1 MHz. Furthermore, we plot α versus thickness
as well as capacitance density, as shown in Fig. 4.13. It is found that α decreases
linearly with increasing the laminate thickness in the log-log scale, exhibiting a similar
slope despite of the operating frequency. However, β does not exhibit the
aforementioned frequency and thickness dependences. The foregoing results reveal
that α of laminated capacitors is affected by the operating frequency and dielectric
thickness as well. The frequency dependence of α can be explained as the change of
relaxation time with different carrier mobility in insulator. The thickness dependence
of α is an intrinsic property due to electric field polarization [25]. We will further
discuss these phenomena in Chapter 5.
For temperature coefficient of capacitance (TCC), we have measured C-V
characteristics of the laminated MIM capacitors at 100 kHz as a function of
temperature. It is observed that the TCCs are 182, 196, 199 ppm/oC for 13, 31, and 43
30 60 90 120
100
200
300
400
30 60 90 120102
103
Y =2.12-3.38E-4 X
Y =2.37-10.7E-4 X
Y =2.59-22.8E-4 X
|β| (
ppm
/V)
Temperature (oC)
13nm 31nm 43nm
(b)
Y =2.34+9.32E-4 X
Y =2.62+9.62E-4 X
Y =3.30+10.4E-4 X
α (p
pm/V
2 )
Temperature (oC)
(a)
Figure 4.14: Temperature dependences of α and β at 100 kHz for 13, 31 and 43 nm
laminated capacitors.
Chapter 4 HfAlOx MIM Capacitors by Atomic-Layer-Deposition (ALD)
97
nm laminated capacitor, and it is nearly independent high-κ laminate thicknesses.
Meanwhile, temperature dependence of VCCs are also analyzed, as presented in
Figs.4.14(a, b). It is indicated that the logα increases linearly as a function of the
temperature, and the slope becomes smaller with increasing the laminate thickness.
This suggests that the temperature has a bigger influence on the thinner laminate. On
the other hand, logarithmic absolute value of β (log|β|) reduces with the temperature.
Similarly, the variation of β with temperature is more remarkable for thinner laminated
films.
In the case of 13 nm laminate, the VCCs dependent on CVS have been
investigated. Figure 4.15 shows stress time dependence of normalized VCCs (α/α0 and
Figure 4.15: The dependence of α/α0 on stress time at 10 kHz, 100 kHz and 1 MHz.
The inset shows stress time dependence of β/β0 at the same frequencies. α0 and β0
represent the data before voltage stress (β0 is of negative sign.), α and β denote the
data after different time stress.
0 1000 2000 3000 40000.88
0.90
0.92
0.94
0.96
0.98
1.00
0 1000 2000 3000 4000
1.0
1.1
1.2
1.3
β/β 0
Stress Time (sec)
α/α
0
Stress Time (sec)
10 kHz 100 kHz 1 MHz
Stressed @ 4V
Chapter 4 HfAlOx MIM Capacitors by Atomic-Layer-Deposition (ALD)
98
β/β0) under constant voltage stress and different frequencies. Noticeably, α decreases
and β increases with a rise in stress time at each frequency, and the variation of α and
|β| values corresponds to the “flatten-out” and the positive shift along the voltage axis
of CV curves, respectively. The trend observed for the change of α and β with stress is
as the same as what we observed for pure HfO2 in Chapter 3, and could be explained
using the same method. Additionally, it is noted that the variations of α and β at the
beginning of stress are rapid, which agrees with the variation of leakage current under
constant voltage stress (see Figure 4.8). Table 4.2 summarizes variations of VCCs and
leakage current density under different measuring conditions. In comparison with the
pre-stressed device, the resulting VCCs and leakage current density reduce after
voltage stressing for 1500 sec. After interruption of voltage stress for 1 hour, the
device is re-measured, and the resultant VCCs and leakage current density nearly
recover to the pre-stress level, even though slightly lower than those of the fresh
device. The consistent variations of VCCs and leakage current density reveal that the
VCCs may correlate with the leakage current. This is significant for interpreting the
origin of VCCs despite incomprehension so far. It is likely related to the trapping and
detrapping in the dielectric under and after stress.
Table 4.2: Variations of VCCs and leakage current under different condition
(frequency: 100 kHz; stress voltage: 4V; area: 1×10-4 cm-2)
Parameters α (ppm/V2) β (ppm/V) J (ppmA/cm2)
Pre-stress 2212 -285 20.60
After 1500 sec stress 2132 -338 13.97
After 1hr stress interruption 2194 -306 19.63
Chapter 4 HfAlOx MIM Capacitors by Atomic-Layer-Deposition (ALD)
99
0.0 1.3 2.6 3.9 5.2 6.5 7.8
0 1 2 3 4 5 6
100
102
104
106
108
1010
E (MV/cm)
Tim
e to
50%
Fai
lure
(sec
)
Voltage (V)
10 years Area=1x10-4cm2
Figure 4.16: (a) Cumulative TDDB curves under various constant voltages stress for
13 nm laminated MIM capacitor measured at room temperature, (b) lifetime projection
of 13 nm laminated MIM capacitor, using 50% failure time as the criteria.
0
20
40
60
80
100
101 102 103
Time (sec)
Cum
ulat
ive
Failu
re (%
) Stressed @-6V Stressed @-5.8V Stressed @-5.5V
Area=1E-4cm2
(a)
(b)
Chapter 4 HfAlOx MIM Capacitors by Atomic-Layer-Deposition (ALD)
100
Finally, the lifetime of the 13 nm laminated MIM capacitor has been accessed
using 1×10-4 cm2 size devices at room temperature. Time to breakdown characteristics
of the 13 nm laminated MIM capacitors were evaluated under different constant
voltage stress, as shown in Fig. 16(a). The projection of the operating voltage for a 10-
year lifetime is 3.3 V by taking the T50 as the failure criterion, as illustrated in Fig.
16(b). It indicates that the HfO2-Al2O3 laminate MIM capacitors are of promising
reliability for practical applications. Finally, Table 4.3 compares our results on HfO2-
Al2O3 laminated MIM capacitors with other high capacitance density MIM capacitors
reported recently [2-4], [6], it is shown that the laminated MIM capacitors exhibit
nearly the best electrical performance as well as promising device reliability,
suggesting it a good candidate for next generation MIM capacitors application.
Table 4.3: Comparison of various high capacitance density MIM capacitors using
high-κ dielectrics (year 2002-2003)
Reference [13] [16] [27] [28] This work [29]
Dielectric Ta2O5 (PVD)
Tb-doped HfO2 (PVD)
Ta2O5 (CVD)
HfO2 (ALD)
HfO2-Al2O3 laminate (ALD)
Capacitance density (fF/µm2) 9.2 13.3 9 13 12.8
Leakage (A/cm2) 2×[email protected] 1×10-7@2V — 5.7×10-7@2V 7.45×10-9@2V
β (ppm/V) α (ppm/V2)
2060 3580
332 2667
2050 475
607 853
211 1990
TCC (ppm/oC) ~200 123 — — 182
4.4. Effects of dielectric structures on the electrical properties
As evidenced from Table 4.3, it was believed that the good quality high-κ films
prepared by ALD method and the incorporation of Al2O3 are two main factors
contributing to the superior electrical properties of laminated films. In reality, various
dielectric structures have been employed for MIM capacitors application including
Chapter 4 HfAlOx MIM Capacitors by Atomic-Layer-Deposition (ALD)
101
Figure 4.17: Illustrations of five different HfO2-Al2O3 material structures for electrical
characteristics comparison.
-4 -3 -2 -1 0 1 2 3 410-10
10-9
10-8
10-7
10-6
10-5
10-4
10-3
10-2
0
20
40
60
80
100
3.0 3.5 4.0 4.5 5.0 5.5Breakdown voltage (V)
Cum
ulat
ive
prob
abili
ty (%
)
H A/H H/A A/H/A L
Leak
age
curr
ent (
A/c
m2 )
Voltage (V)
H A/H H/A A/H/A L
Figure 4.18: Typical J-V characteristics for MIM capacitors with different dielectric
structures at 125oC. The inset shows the corresponding breakdown characteristics
obtained at the same temperature. (Device area: 10-4 µm2)
Chapter 4 HfAlOx MIM Capacitors by Atomic-Layer-Deposition (ALD)
102
stacks, sandwich, laminate, and so on [14, 27, 29]. However, little study has been
conducted to compare the electrical characteristics of different structural MIM
capacitors. In this work, it was found that the proper selection of material structure
also plays an important role for HfO2-Al2O3 high-κ systems. As depicted in Fig. 4.17,
five structures were used for comparison in this work, i.e. pure HfO2, two stacks
structures, sandwich, and laminate. For fair comparison, the nominal thicknesses of all
the five samples were kept the same of 13 nm.
Figure 4.18 presents the typical J-V curves of all the five samples obtained at
125oC. At low voltage level, stacks and laminated MIM capacitors exhibit the
advantage of small leakage current density in comparison with sandwich and pure
Figure 4.19: TEM photos of (a) 13 nm HfO2-Al2O3 laminate, (b) 10 nm HfO2 and (3)
30 nm HfO2 films, illustrating the amorphous structure of laminated film and
improved crystallinity with the increase of HfO2 thicknesses
(a)
(b)
(c)
Chapter 4 HfAlOx MIM Capacitors by Atomic-Layer-Deposition (ALD)
103
HfO2 counterparts. As for stack structures, the relatively thick Al2O3 layer (3 nm) in
direct contact with the electrode contributes to the small leakage current. In
comparison, 1.5 nm Al2O3 in the sandwich structure may not be thick enough to
effectively block the oxygen diffusion during HfO2 growth and therefore worsen the
interface quality. With regard to the laminated structure, the alternate insertion of 1 nm
Al2O3 between 5 nm HfO2 inhibit the grain growth extending from bottom electrode to
top electrode. A similar concept of using multiple annealing for HfO2 treatment to
offset the grain boundary has also been reported elsewhere [30].
To confirm this, TEM photos of laminated (13 nm) and pure HfO2 (10 nm and
30 nm) films are illustrated in Figs. 4.19(a, b, c). As seen from Fig. 4.19(a) (also
shown in Fig 4.2), HfO2 layer in the laminate film is amorphous. In comparison, some
crystallites could be observed in the case of 10 nm HfO2 illustrated in Fig. 4.19(b), and
the crystallinity improves when the dielectric thickness increases to 30 nm.
Considering the temperature for HfO2 growth by ALD is ~340oC in this work, the
crystallization of thick HfO2 is expected and in agreement with the conclusion
obtained in Chapter 2. Besides, the TEM results suggest that the crystallization of thin
film is closely dependent on the dielectric thickness. As the film thickness increases,
the growth of polycrystalline structure dominates, and thinner dielectric film probably
has relatively higher crystallization temperature [31]. Therefore, the alternate insertion
of Al2O3 can effectively suppress the crystallization of HfO2 and inhibit the grain
growth. The oxygen diffusion could be reduced as well due to the alternate Al2O3
layers. To conclude, laminate dielectric exhibit the unique advantage in terms of
material structure which could be further evidenced from the breakdown
characteristics of all five samples as illustrated in the inset of Fig. 4.18 with the similar
Chapter 4 HfAlOx MIM Capacitors by Atomic-Layer-Deposition (ALD)
104
trend as the J-V characteristics. The laminated film is of the highest breakdown
strength of ~5.0 V at 125oC by taking 50% probability of failure as the criteria.
In addition, the evolution of AC capacitance with stress time has been
evaluated for different structural MIM capacitors as shown in Fig. 4.20. It was noted
that, with increase of stress time, the capacitance values of all five samples increase
steadily showing the similar trend. This phenomenon was recently reported for SiO2
MIM capacitor [32], and it was proposed to be due to the dipole generation which is
directly correlated to the amounts of trapped charges in the dielectric films [32]. In
comparison, the laminated MIM capacitor shows the smallest capacitance variation,
which is probably attributed to slight charge trapping in the laminated film as
discussed before. When considering that the drift of capacitance value is detrimental to
the accuracy of circuit operation, the laminated MIM capacitor exhibits the highest
Figure 4.20: Evolution of C0 at zero DC bias with stress time, illustrating the highest
stability for the laminated capacitor compared to other dielectric structures.
0 10 20 30 40 50 60
0.0000
0.0005
0.0010
0.0015
(C-C
0)/C
0@0V
Stress time (min)
CVS@4V A/H H/A A/H/A L
Chapter 4 HfAlOx MIM Capacitors by Atomic-Layer-Deposition (ALD)
105
capacitance stability when subject to electrical stress. In addition, the variation of α
and β under stress was also found to be the smallest in the case of laminated MIM
capacitor (not shown). Though it is conceptually clear that the laminated dielectric is
in possession of many unique advantages, further electrical characterization and
material analyses are needed for better understanding. In Chapter 5, we will further
present the CV characteristics of various structural HfO2-Al2O3 MIM capacitors,
showing the advantage of symmetrical material structure.
4.5. Conclusion
In summary, MIM capacitors using atomic layer deposited HfO2-Al2O3
laminate are fabricated and characterized. The laminated capacitor can offer high
capacitance density (12.8 fF/µm2) up to 20 GHz, low leakage current (4.9×10-8 A/cm2
at 125oC), breakdown field of ~6 MV/cm, small linear VCC and TCC values. In
addition, the HfO2-Al2O3 laminate exhibits Poole-Frenkel emission at high electric
field, and the leakage current density at low electric field likely results from both
Schottky emission and TAT contributions. A decrease and recovery of the leakage
current density under and after CVS are observed in the laminated film, which is
ascribed to trapping and detraping in the dielectric. It is also found that α may have a
logarithmic relationship with dielectric thickness and frequency as well. In addition,
the VCCs also depend on CVS, which is attributed to electron charge trapping thereby
modifying the electric field profile within the MIM capacitor. Finally, different
dielectric structures including laminate, stack, and sandwich are compared, and the
HfO2-Al2O3 laminated MIM capacitor exhibits the advantages in terms of leakage,
Chapter 4 HfAlOx MIM Capacitors by Atomic-Layer-Deposition (ALD)
106
reliability, and capacitance stability owing to its unique merits in terms of material
structure.
Chapter 4 HfAlOx MIM Capacitors by Atomic-Layer-Deposition (ALD)
107
Reference:
[1] H. Kattelus, M. Ylilammi, and J. Saarilahti, “Layered tantalum-aluminium oxide-
films deposited by atomic layer epitaxy,” Thin Solid Films, Vol. 205, pp. 296-298,
1993.
[2] O. Sneh, R. B. Clark-Phelps, A. R. Londergan, J. Winkler, and T. E. Seidel, “Thin
film atomic layer deposition equipment for semiconductor processing,” Thin Solid
Films, Vol. 402, pp. 248-261, 2002.
[3] D. Hausmann, J. Becker, S. L. Wang, and R. G. Gordon, “Rapid vapor deposition
of highly conformal silica nanolaminates,” Science, Vol. 298 (5592), pp. 402-406,
2002.
[4] S. –J. Won, Y. –K. Jeong, D. –J. Kwon, M. –H. Park, H. –K. Kang, K. –P. Suh, H.
–K. Kim, J. –H. Ka, K. –Y. Yun, D. –H. Lee, D. –Y. Kim, Y. –M. Yoo, and C. –S.
Lee, “Novel plasma enhanced atomic layer deposition technology for high-κ
capacitor with EOT of 8 Å on conventional metal electrode,” in Proc. Symp. VLSI
Technology, pp. 23-24, 2003.
[5] H. Iwai, S. Ohmi, S. Akama, C. Ohshima, A. Kikuchi, I. Kashiwagi, J. Taguchi, H.
Yamamoto, J. Tonotani, Y. Kim, I. Ueda, A. Kuriyama, and Y. Yoshihara,
“Advanced gate dielectric materials for sub-100 nm CMOS,” in Proc. of IEDM, pp.
625-628, 2002.
[6] J. –H. Lee, J. P. Kim, J. –H. Lee, Y. –S. Kim, H. –S. Jung, N.-I. Lee, H. –K. Kang,
K. –P. Suh, M. – M. Jeong, K. –T. Hyun, H. –S. Baik, Y. S. Chung, X. Liu, S.
Ramanathan, T. Seidel, J. Winkler, A. Londergan, H. Kan, J. M. Ha, and N. K. Lee,
“Mass production worthy HfO2-Al2O3 laminate capacitor technology using Hf
liquid precursor for sub-100 nm DRAMs,” in Proc. of IEDM, pp. 221-224, 2002.
Chapter 4 HfAlOx MIM Capacitors by Atomic-Layer-Deposition (ALD)
108
[7] H. Seidl, M. Gutsche, U. Schroeder, A. Birner, T. Hecht, S. Jakschik, J. Luetzen,
M. Kerber, S. Kudelka, T. Popp, A. Orth, H. Reisinger, A. Saenger, K. Schupke,
and B. Sell, “A fully integrated Al2O3 trench capacitor DRAM for sub-100 nm
technology,” in Proc. of IEDM, pp. 839-842, 2002.
[8] M. L. Green, M. –Y. Ho, B. Busch, G. D. Wilk, T. Sorsch, T. Conard, B. Brijs, W.
Vandervorst, and P. I. Räisänen, D. Muller, M. Bude, and J. Grazul, “Nucleation
and growth of atomic layer deposited HfO2 gate dielectric layers on chemical oxide
(Si-O-H) and thermal oxide (SiO2 or Si-O-N) underlayers,” J. Appl. Phy., Vol. 92,
No. 12, pp. 7168-7174, 2002.
[9] G. D. Wilk, R. M. Wallace, and J. M. Anthony, “High-κ gate dielectrics: current
status and material properties considerations,” J. Appl. Phy., Vol. 89, No. 10, pp.
5243-5275, 2001.
[10] S. B. Chen, C. H. Lai, A. Chin, J. C. Hsieh, and J. Liu, “High-density MIM
capacitors using Al2O3 and AlTiOx dielectrics,” IEEE Electron Device Lett., Vol.
23, No. 4, pp. 185-187, 2002.
[11] M. Y. Yang, C. H. Huang, A. Chin, C. Zhu, M. F. Li, and D.-L. Kwong, “High-
density MIM capacitors using AlTaOx dielectrics,” IEEE Electron Device Lett.,
Vol. 24, No. 5, pp. 306-308, 2003.
[12] H. Hu, C. Zhu, X. Yu, A. Chin, M. F. Li, B. J. Cho, and D. -L. Kwong, “MIM
capacitors using atomic-layer-deposited high-κ (HfO2)1-x(Al2O3)x dielectrics,”
IEEE Electron Device Lett., Vol. 24, pp. 60-62, 2003.
[13] Y. Nakamura, I. Asano, M. Hiratani, T. Saito, and H. Goto, “Oxidation-resistant
amorphous TaN barrier for MIM-Ta2O5 capacitors in giga-bit DRAMs,” in Proc.
Symp. VLSI Technology, pp.39-40, 2001.
Chapter 4 HfAlOx MIM Capacitors by Atomic-Layer-Deposition (ALD)
109
[14] T. Ishikawa, D. Kodama, Y. Matsui, M. Hiratani, T. Furusawa, and D. Hisamoto,
“High-capacitance Cu/Ta2O5/Cu MIM structure for SoC applications featuring a
single-mask add-on process,” in IEDM Tech. Dig., pp. 940-942, 2002.
[15] The international Technology Roadmap for Semiconductors, Semiconductor
Industry Association, 2002.
[16] S. J. Kim, B. J. Cho, M. F. Li, X. Yu, C. Zhu, A. Chin, and D.-L. Kwong, “PVD
HfO2 for high-precision MIM capacitor applications,” IEEE Electron Device Lett,
Vol. 24, No. 6, pp. 387-389, 2002.
[17] S. J. Kim, B. J. Cho, M. F. Li, C. Zhu, A. Chin, and D.-L. Kwong, “HfO2 and
lanthanide-doped HfO2 MIM capacitors for RF/mixed IC applications,” in Proc.
Symp. VLSI Technology, pp.77-78, 2003.
[18] K. Kukli, J. Ihanus, M. Ritala, and M. Leskela, “Tailoring the dielectric properties
of HfO2-Ta2O5 nanolaminates,” Appl. Phys. Lett., Vol. 68, No. 26, pp. 3737-3739,
1996.
[19] C. Chaneliere, J. L. Autran, R. A. B. Devine, and B. Balland, “Tantalum pentoxide
(Ta2O5) thin films for advanced dielectric applications,” Materials Science and
Engineering, R22., pp. 269-322 1998.
[20] W. Zhu, T. P. Ma, T. Tamagawa, Y. Di, J. Kim, R. Carruthers, M. Gibson, and K.
Furukawa, “HfO2 and HfAlO for CMOS: thermal stability and current transport,”
in Proc. of IEDM, pp. 463-466, 2001.
[21] W. K. Chim, T. H. Ng, B. H. Koh, W. K. Choi, J. X. Zheng, C. H. Tung, and A. Y.
Du, “Interfacial and bulk properties of zirconium dioxide as a gate dielectric in
metal-insulator-semiconductor structures and current transport mechanisms,” J.
Appl. Phy., Vol. 93, pp. 4788-4793, 2003.
Chapter 4 HfAlOx MIM Capacitors by Atomic-Layer-Deposition (ALD)
110
[22] T. Remmel, R. Ramprasad, and J. Walls, “Leakage behavior and reliability
assessment of tantalum oxide dielectric mim capacitors,” IEEE International
Reliability Physics Symposium Proceedings, pp. 277-281, 2003.
[23] R. Ramprasad, “Phenomenological theory to model leakage currents in metal-
insulator-metal capacitor systems,” Phys Status Solidi, B 239 (1), pp. 59-70, 2003.
[24] E. F. Runnion, S. M. Gladstone, R. S. Scott, D. J. Dumin, L. Lie, and J. C. Mitros,
“Thickness dependence of stress-induced leakage currents in silicon oxide,” IEEE
Trans. Electron Devices, Vol. 44, pp.993-1001, 1997.
[25] A. Kerber, E. Cartier, R. Degraeve, L. Pantisano, Ph. Roussel, and G.
Groeseneken, “Strong correlation between dielectric reliability and charge
trapping in SiO2/Al2O3 gate stacks with TiN electrodes,” in Proc. Symp. VLSI
Technology, pp.76-77, 2002.
[26] C. Zhu, H. Hu, X. Yu, A. Chin, M. F. Li, and D. -L. Kwong, “Voltage and
temperature dependence of capacitance of high-κ HfO2 MIM capacitors: a unified
understanding and prediction,” in Proc. of IEDM, pp. 879-882, 2003.
[27] Y. L. Tu, H. L. Lin, L. L. Chao, D. Wu, C. S. Tsai, C. Wang, C. F. Huang, C. H.
Lin, and J. sun, “Characterization and comparison of high-κ metal-insulator-metal
(MiM) capacitors in 0.13µm Cu BEOL for mixed-mode and RF applications,” in
Proc. Symp. VLSI Technology, pp.79-80, 2003.
[28] X. Yu, C. Zhu, H. Hu, A. Chin, M. F. Li, B. J. Cho, D. -L. Kwong, P. D. Foo, and
M. B. Yu, “A high-density MIM capacitor (13 fF/µm2) using ALD HfO2
dielectrics,” IEEE Electron Device Lett., Vol. 24, No. 2, pp.63-65, 2003.
[29] H. Hu, S. -J. Ding, H. Lim, C. Zhu, M. F. Li, S. J. Kim, X. Yu, J. H. Chen, Y. F.
Yong, B. J. Cho, D. S. H. Chan, Subhash C Rustagi, M. B. Yu, C. H. Tung, A. Y.
Du, D. My, P. D. Foo, A. Chin, and D. -L. Kwong, “High performance ALD
Chapter 4 HfAlOx MIM Capacitors by Atomic-Layer-Deposition (ALD)
111
HfO2-Al2O3 laminate MIM capacitors for RF and mixed signal IC applications,”
in Proc. of IEDM, pp. 379-382, 2003.
[30] C. C. Yeo, B. J. Cho, M. S. Joo, S. J. Whoang, D. L. Kwong, L. K. Bera, S.
Mathew, and N. Balasubramanian, “Improvement of electrical properties of
MOCVD HfO2 by multistep deposition,” Electrochemical and Solid-State Lett.,
Vol. 6 (11): F42-F44, 2003.
[31] M. –H. Cho, Y. S. Roh, C. N. Whang, K. Jeong, S. W. Nahm, D. –H. Ko, J. H.
Lee, N. I. Lee, and K. Fujihara, “Thermal stability and structural characteristics of
HfO2 films on Si (100) grown by atomic-layer deposition,” Appl. Phys. Lett., Vol.
81, No. 3, pp. 472-474, 2002.
[32] C. Besset, S. Bruyére, S. Blonkowski, S. Crémer, and E. Vincent, “MIM
capacitance variation under electrical stress,” Microelectronics Reliability, Vol.
43, pp. 1237-1240, 2003.
Chapter 5 Understanding Voltage Coefficients of High-κ MIM Capacitors
112
Chapter 5
Understanding Voltage Coefficients of High-κ MIM Capacitors
5.1. Introduction
In the previous chapters, we thoroughly investigate the electrical characteristics
of HfO2 based high-κ dielectrics; the results indicate those dielectrics are very
promising for both RF and analog MIM capacitors application in the future technology
node. Among the technical specifications of MIM capacitors prescribed by ITRS
roadmap [1], voltage coefficients of capacitance (VCCs) are of great importance,
however the parameters dependence of VCCs such as thickness [2-6], frequency [5, 7-
9], etc has been less understood up to now for high-κ MIM capacitors. Among the
parameters affecting the VCCs, the dielectric thickness was widely documented to
have a strong non-linear relationship with the quadratic VCCs (α). This will increase
rapidly with the scaling of high-κ dielectric thickness. Therefore, it poses a great
limitation to meet the strict voltage linearity specifications especially for analog MIM
capacitors when high-κ dielectrics were scaled to achieve higher capacitance density.
However, the so-called “thickness effect” has only been observed experimentally, no
theoretical analysis has been done to clarify this phenomena to our best knowledge. In
this chapter, for the first time, we present a unified understanding of the quadratic
VCCs dependence on thickness based on the free carrier injection model using HfO2
MIM capacitors [10]. It is revealed that the thickness (t) dependence of the quadratic
Chapter 5 Understanding Voltage Coefficients of High-κ MIM Capacitors
113
VCCs exhibits a relation of nt−∝α (n~2), which is an intrinsic problem due to
electrons injection under the enhanced electrical field in the scaled dielectric film. The
obtained information is paramount for high-κ dielectric MIM capacitors application in
every aspect and is very useful for the design purpose. In addition, this model has been
extended to interpret the frequency dependence of the quadratic VCCs, and the stress
modified quadratic VCCs. It is proposed that the quadratic VCCs’ variation could be
attributed to the change of relaxation time, which was modulated through the change
of carrier mobility and/or concentration in the dielectric films. In a word, this model
could also be applied to other high-κ dielectric MIM capacitors, predicting their
voltage linearity characteristics and help to establish the process-property relationship.
5.2. Theory
To be more specific, the VCCs are differentiated into the quadratic (α) and
linear (β) coefficients, which could be obtained by fitting the C-V curves using a
polynomial equation (C(V) = C0(αV2+βV+1)) [11]. Among them, the quadratic VCCs
are more critical for analog capacitors according to ITRS roadmap [1]. Especially, it
was reported extensively that the quadratic VCCs have a nonlinear dependence on
thickness, namely “thickness effect”. In this work, we mainly discuss the quadratic
VCCs’ mechanism; and to be simple, the VCCs were referred to the quadratic VCCs
unless otherwise specified.
When considering the C-V characteristics of MIM capacitors, it is known that
the carriers’ transport is responsible for the capacitance variation [12]. Based on this
understanding, the free carrier injection model was employed in this work, which
correlates the dielectric property change (capacitance variation) to the injected carriers
Chapter 5 Understanding Voltage Coefficients of High-κ MIM Capacitors
114
(electrons). According to Coelho [13, 14], the complex dielectric constant is given by
AAj
jj)tanh(
1'''*+
+=−=
ωτ
ωτεεεε 5.1),
where ω is the frequency of 2πf , ε is dielectric constant defined as the sum of the
optical part ( opε ) and the low frequency (non-optical) part, and τ is the relaxation
time of the free carriers which is given by
0qnµετ = (5.2).
A in (1) is given by
)2
(1 tD
jAτωτ+
= (5.3),
where D in (5.3) was described as
qkTD /µ= (5.4).
From the above equations, ε’ numerically computed by (5.1) could finally be
correlated to the measured capacitance value by
t
C 'ε= (5.5),
where t is the dielectric thickness. According to this model, the charge excess in the
insulator could follow the alternating (AC) signal with a relaxation time of τ that
depends on the mobility of carrier, carrier density, and dielectric constant; and a higher
relaxation time means that the carriers are more difficult to follow the alternating
signal.
As noted from (5.2), n0 is defined as the uniform free-electron concentration at
the equilibrium. However, when the capacitor was subject to DC bias, the free carrier
concentration in the dielectric is modified accordingly due to the electron injection
Chapter 5 Understanding Voltage Coefficients of High-κ MIM Capacitors
115
from the cathode. Therefore, n which stands for the modified free-carrier concentration
under DC bias is intended to replace n0 when simulating the C-V characteristics of
MIM capacitors. This can be obtained by taking account of the conduction mechanism
in the dielectric film by analysis of J-V characteristics according to the various
proposed theoretical models [15, 16].
5.3. Results and discussion
5.3.1. Thickness dependence of VCCs for HfO2 MIM capacitor
Figure 5.1 showed the typical J-V characteristic of 30 nm HfO2 MIM capacitor
prepared by atomic-layer-deposition (ALD) with Ta serving as the electrodes; the
detailed fabrication procedures of HfO2 MIM capacitors could be found elsewhere [5].
In order to identify the conduction mechanisms, the J-V curve was fitted by possible
electronic conduction models; including Schottky emission, Poole-Frenkel conduction,
space charge limited conduction, tunneling, hopping, etc. As a result, the J-V curve
shown in the inset of Fig. 5.1 could be well fitted by the Schottky conduction
mechanism as evidenced in Fig. 5.1, indicating the electrode limited current transport
in 30 nm HfO2 MIM capacitor. For the Schottky emission conduction, the leakage
current density as a function of the electric field, could be expressed by
)2
exp()exp(* 2
KTE
KTe
TAJ Sb βφ−= (5.6),
where ∗A is the Richardson constant, bφ is the potential barrier height, βs is given by
0
3
επεβ
ops
e= 5.7).
Chapter 5 Understanding Voltage Coefficients of High-κ MIM Capacitors
116
Here, εop is the optical part of the dielectric constant given by
2rop n=ε (5.8),
while rn is the refractive index of the dielectric.
0 200 400 600 800 1000 1200 1400 1600-20
-18
-16
-14
-12
-10
0 1 2 3 4 5 610-9
10-8
10-7
10-6
10-5
10-4
Cur
rent
den
sity
(A/c
m2 )
Voltage (V)
Ln(J
)
Sqrt(E)
30 nm HfO2 MIM Capacitor
Figure 5.1: Schottky plot of 30 nm HfO2 MIM capacitor. The inset shows the typical J-
V curve.
In consideration of the Schottky dominated leakage current transport in ALD
HfO2 dielectric film, the free electrons’ concentration as a function of electrical field
(or voltage) could be given by
)2
exp()exp(0 KTE
KTenn Sb βφ
−= (5.9).
Accordingly, the capacitance variation could be modeled as a function of DC bias.
Figure 5.2 presented both the measured and simulated C-V data using the above free
Chapter 5 Understanding Voltage Coefficients of High-κ MIM Capacitors
117
carrier injection model, and all the fitting parameters have also been stated as well.
Among them, a barrier height bφ of 1.28 eV was used [17, 18].
-6 -4 -2 0 2 4 6
0
2000
4000
6000
8000
10000
12000
14000
Nor
mal
lized
cap
acita
nce
(ppm
)
Voltage (V)
Measured CV (30 nm, 1 MHz) Calculated CV (30 nm, 1 MHz)
T=300Kφb=1.28 eV
βS=3.2x10-24 J/(V/m)1/2
n0=1.636x1014 cm-3
µ=6.6x10-5 cm2/vs
Figure 5.2: Measured and simulated normalized capacitance as a function of voltage.
n0 and µ are extracted by fitting the measured data.
Beside, βs is of 3.2×10-24 J/(V/cm)1/2, and rn is 2.0 extracting from the Schokkty
emission fitting, which is similar with the value of 1.97 obtained by ellipsometer.
Finally, the best fitting corresponded to the fitting parameters of n0 = 1.636×1014 cm-3
and µ = 6.6×10-5 cm2/V*s, respectively. The good agreement between the measured
and simulated data suggested the free carrier injection model could predict the C-V
characteristics of MIM capacitors quite well. However, the small discrepancy is
reflected by the non-zero linear coefficient for the measured C-V curve, which
corresponded to the parallel shift of the C-V curve along the voltage axis.
Chapter 5 Understanding Voltage Coefficients of High-κ MIM Capacitors
118
20 30 40 50 60
1.0
1.5
2.0
2.5
3.0
3.5
Car
rier c
onc.
pre
-fact
or (x
1014
cm
-3)
Thickness (nm)
qµn0(V/t)=A*T2exp(-qφb/kT)
so, n0/t ~ constant
Figure 5.3: Carrier concentration pre-factor (n0) dependence on thickness.
Before we proceeded to discuss the thickness dependence of the VCCs, the
change of n0 (the pre-factor in (5.9)) with dielectric thickness had to be taken into
account. Considering the fact that only the AC signal (V0 = 25 mV) is applied on the
dielectric with zero DC bias, it is reasonable to believe that the thermal movement is
dominant over the electronic diffusion according to [12, 18]. Therefore, the current
density is given by
)exp(200 kT
eTAEne bφµ −∗= (10),
where E0 = V0/t is the electrical field of the applied AC signal. Figure 5.3 shows the
linear dependence of carrier concentration pre-factor n0 on thickness. As a result, the
simulated normalized capacitances (∆C/C0) as a function of voltage with different
Chapter 5 Understanding Voltage Coefficients of High-κ MIM Capacitors
119
-6 -4 -2 0 2 4 6-5000
0
5000
10000
15000
20000
25000
30000
35000
Nor
mal
lized
cap
acita
nce
(ppm
)
Voltage (V)
normallized capacitance decreases as thickness increased from 20 to 60 nm by step of 10 nm
Figure 5.4: Simulated normalized capacitance as a function of voltage for different
thickness of 20, 30, 40, 50, and 60 nm.
thicknesses is shown in Fig. 5.4 after taking the change of pre-factor n0 with thickness
into account. It was noticed that the C-V curves bent more upwards with the decrease
of the dielectric thickness, suggesting a fast increase of VCCs. In comparison, Figure
5.5 presents the VCCs dependence on thickness in log-log scale with and without
considering the change of pre-factor n0. A nearly linear thickness dependence of VCCs
(in log-log scale) was revealed which may be valid with certain range of thicknesses,
indicating a relation of nt−∝α . Further, after taking the effect of thickness on the pre-
factor into account, the VCCs changes more gently with the thickness. Besides, the
exponential factor n is 2.1 after extraction, which is consistent with the report on PVD
HfO2 where n is 1.93 [6]. In addition, R. B. van Dover et al also proposed that VCCs
was inversely proportional to the square of the dielectric thickness (n=2) based on their
Chapter 5 Understanding Voltage Coefficients of High-κ MIM Capacitors
120
experimental results on Ta2O5 MIM capacitor [2]. The recent data on HfO2-Al2O3
laminate MIM capacitors also supported our finding with n of 1.97 [19]. According to
the above discussion, the implication of nt−∝α (n~2) is significant that the exponential
increases of VCCs are unavoidable when the dielectric thickness was scaled to achieve
higher capacitance density. The requirement for achieving high capacitance density
and preserving small VCCs appears to be a fundamental problem for high-κ MIM
capacitors.
20 30 40 50 60 70101
102
103
104
Qua
drat
ic V
CC
(ppm
/V2 )
Thickness (nm)
Without accounting thickness depedence of carrier concentration pre-factor
Accounting thickness depedence of carrier concentration pre-factor
Figure 5.5: The simulated VCCs as a function of thickness with and without taking
account of the change of pre-factor (n0) with thickness.
Furthermore, in the modeling of thickness effect on the C-V characteristics, it
relied on the leakage characteristics of HfO2 MIM capacitor. It was implicitly assumed
in this work that the conduction mechanism was dominated by Schottky emission
Chapter 5 Understanding Voltage Coefficients of High-κ MIM Capacitors
121
which was valid within certain thicknesses and voltage ranges. With regard to other
possible conduction mechanisms, Poole-Frenkel conduction mechanism had been
widely observed for high-κ dielectrics [12, 19-22], which could be considered as the
internal Schottky emission of the trapped electrons from the defects levels to the
conduction band of the dielectric [14, 15]. Thus, the similar conclusion is anticipated
for the thickness dependence of VCCs.
With regard to the linear VCCs, the available literature data for high-κ MIM
capacitors doesn’t indicate that it has a direct relationship with dielectric thickness (or
capacitance density). To be clear, we have summarized the linear voltage coefficients
versus the capacitance density (or dielectric thickness) for HfO2 based MIM capacitors
in Fig. 5.6, where the weak linear VCCs dependence on the dielectric thickness could
be observed. It was concluded that the linear VCCs could be affected by many
Figure 5.6: Linear voltage coefficients versus the capacitance density for HfO2 based
high-κ dielectrics at 100 kHz.
0 2 4 6 8 10 12 14 16101
102
103
104
105
ALD HfO2 [5] PLD HfO2 [7] HfO2-Al2O3 laminate [19] HfO2-Al2O3 composite [23] PVD HfO2 [6, 24] Tb doped HfO2 [24]Li
near
coe
ffici
ents
β (p
pm/V
)
Capacitance density (fF/µm2)
Chapter 5 Understanding Voltage Coefficients of High-κ MIM Capacitors
122
Table 5.1: Different structural HfO2-Al2O3 high-κ MIM capacitors prepared by ALD
method
Samples Dielectric structures Thickness (nm) Experimental
Hf-Al-1 Pure HfO2 13
Hf-Al-2 Al2O3/HfO2 3/10
Hf-Al-3 HfO2/Al2O3 10/3
Hf-Al-4 Al2O3/HfO2/Al2O3 1.5/10/1.5
Hf-Al-5 Al2O3/·····HfO2·····/Al2O3 1/5/1/5/1
Deposition technique: ALD
Top electrode: Ta/TaN
Bottom electrode: TaN/Ta
-3 -2 -1 0 1 2 31.000
1.005
1.010
1.015
1.020
C/C
0
Voltage (V)
Hf-Al-1 Hf-Al-2 Hf-Al-3 Hf-Al-4 Hf-Al-5
Figure 5.7: Normalized capacitance versus DC bias measured at 100 kHz, showing
good symmetrical CV characteristics (small linear coefficients β) for sandwich and
laminate structures.
Chapter 5 Understanding Voltage Coefficients of High-κ MIM Capacitors
123
factors such as fabrication techniques, electrodes in contact, dielectric structures, the
bulk property of the dielectric film, and so on. Therefore, chances exist for the
optimization of the linear voltage coefficients for MIM capacitors. In our work, we
further investigate the effects of different HfO2-Al2O3 dielectric structures on the linear
VCCs with the sample details summarized in Table 5.1. Accordingly, Figure 5.7
presents the CV curves for various HfO2-Al2O3 dielectric structures measured at 100
kHz. It is noted that sandwich and laminate structures both show very good
symmetrical CV characteristics suggesting the small linear coefficients in comparison
with pure HfO2 and stacks MIM capacitors. As known in chapter 4, insertion of Al2O3
layer in contact with bottom electrode improves the interface quality by reducing the
reaction between dielectric and bottom electrode in ALD deposition. As a result,
sandwich and laminate structures exhibit the great advantages of small linear VCCs
which are probably attributed to their symmetrical material structures [25] as well as
good interface properties. In comparison, the quadratic VCCs for all the structures are
relatively the same at ~1500 ppm/V2 measured at 1 MHz. This is expected since the
nominal thicknesses of all the samples are the same at 13 nm. However, the detailed
mechanism of linear VCCs dependency still need more study and under development.
5.3.2. Frequency dependence of VCCs
From the model itself, the VCCs are nearly independent of frequency from
numerically computation when keeping the remaining fitting parameters unchanged.
However, the frequency dependence of VCCs has been observed for many high-κ
dielectric materials, and the VCCs virtually decreased with frequency, which was
Chapter 5 Understanding Voltage Coefficients of High-κ MIM Capacitors
124
10k 100k 1M101
102
103
10-5
10-4
10-3
Qua
drat
ic V
CC
(ppm
/V2 )
30 nm HfO2 MIM Capacitor
Measured quadratic VCC at different frequency
Fitted carrier mobility based on the measured quadratic VCC
Frequency (Hz)
Car
rier m
obili
ty (c
m2 /v
s)
Figure 5.8: The measured VCCs for 30 nm HfO2 MIM capacitor together with the
extracted carrier mobility at frequencies of 10k, 100k, 500k, 1 MHz.
-6 -4 -2 0 2 4 6
0
10000
20000
30000
40000
Nor
mal
lized
cap
acitn
ace
(ppm
)
Voltage (V)
Normallized capacitance decreases as the frequency increasing from 10 kHz, 100 kHz, 500 kHz, and 1MHz
Figure 5.9: Simulated normalized capacitance as a function of voltage for 30 nm
HfO2 MIM capacitors at frequencies of 10k, 100k, 500k, and 1MHz.
Chapter 5 Understanding Voltage Coefficients of High-κ MIM Capacitors
125
simply attributed to the traps with the different time constants in the dielectric [8, 26].
In this work, according to the free carrier injection model, we noticed that the
frequency dependence of VCCs could be interpreted when considering the change of
the carriers’ mobility at different frequencies. As shown in Fig. 5.8, the measured
quadratic VCCs for 30 nm HfO2 at different operating frequencies was shown;
together with the extracted carrier mobility at various operating frequency. It was
found that the reduced VCCs corresponded to the decreased free carrier mobility in the
dielectric film. Further, the simulated normalized capacitances as a function of voltage
at different frequencies are shown in Fig.5.9. Recently, it was reported by C. H. Huang
et al that VCCs of high-κ AlTaOx MIM capacitor continued to decrease from IF to RF
frequency regime using a newly developed mathematical method [9].
According to Coelho [14], the free carrier’s mobility (µ) accounts for all the
inelastic collisions between electrons and the lattice and/or defects in the dielectrics.
As a result, the electrons moving from the cathode to anode will become much more
affected with the increase of frequency, causing the carrier mobility to decrease with
frequency; therefore the capacitance variation become weak with DC bias. According
to (5.2), the decreased carrier mobility will lead to higher relaxation time which was
generally used to interpret the frequency dependence of VCCs for high-κ MIM
capacitors.
5.3.3. Electrical stress modified VCCs
In Chapter 3, we observed that the quadratic VCCs will reduce monotonously
with stress time for PVD HfO2, and a physical circuit model was employed to explain
Chapter 5 Understanding Voltage Coefficients of High-κ MIM Capacitors
126
the underlying mechanism. Similarly, the same trend could be found in HfO2-Al2O3
laminate MIM capacitors [19].
Based on the free carrier model, we are able to further interpret these
phenomena. As we know, the relaxation time (τ) could be regarded as the approach of
free carrier distribution to the steady state. In other words, certain amounts of
relatively immobile species may result in much longer relaxation time. Considering the
traps generation in the dielectric film after electrical stress (see Figure 3.7 in Chapter
3), the injected electrons could be captured in the trap sites to become immobilized.
Therefore, an increase of average relaxation time was anticipated, and it could be
explained as the carrier mobility change to some extent in the case of the traps
generation [27]. As a result, the capacitance will change more slowly with bias due to
traps generation after stress. The very similar case as seen in the distortion (stretch-out)
of C-V curve in MOS device could also been found when the interface states are
present [28]. With the continuously increased stress time, more electrons trapping
probably renders the VCCs decrease monotonously. In addition, the different amounts
of the reduced VCCs may correlate to the different amounts of traps created in oxide
films.
5.3.4. Prediction of VCCs
According to the above discussion, it is concluded that the effect of dielectric
thickness, frequency, and stress on the VCCs could be either due to the change of free
carrier mobility, and/or carrier concentration in high-κ dielectric film. In conclusion,
Figure 5.10(a) and 5.10(b) summarize the VCCs of HfO2 MIM capacitors as a function
of thickness, using different pre-factor n0 and carrier mobility values. The results
Chapter 5 Understanding Voltage Coefficients of High-κ MIM Capacitors
127
Figure 5.10: (a) The simulated VCCs of HfO2 MIM capacitors as a function of
thickness with different carrier concentration pre-factor (n0), and (b) the simulated
VCCs as a function of thickness with different carrier mobility in dielectric film.
20 30 40 50 60100
101
102
103
104
105
n0=1.636x1014 cm-3
n0=1.636x1015 cm-3
Qua
drat
ic V
CC
(ppm
/V2 )
Thickness (nm)
n0=1.636x1015 cm-3
Capacitance density=5fF/µm2
Quadratic VCC=100 ppm/V2
20 30 40 50 60100
101
102
103
104
µ=6.6x10-5 cm2/vs
µ=1.1x10-5 cm2/vs
Qua
drat
ic V
CC
(ppm
/V2 )
Thickness (nm)
µ=1.1x10-4 cm2/vs
Capacitance density=5fF/µm2
Quadratic VCC=100 ppm/V2
(a)
(b)
Chapter 5 Understanding Voltage Coefficients of High-κ MIM Capacitors
128
showed that the VCCs will drop monotonously with thickness when the pre-factor and
carrier mobility values are pre-defined. In addition, the VCCs drop by nearly one order
of magnitude when the pre-factor or the carrier mobility decreases by the same order at
certain thickness. For a specific instance, in order to meet the specifications for analog
MIM capacitor beyond 2007 (VCCs ≤ 100 ppm/V2, capacitance density of 5 fF/µm2),
the hatched areas in Figs. 5.10(a) and 5.10(b) are the regions where the capacitance
density and VCCs meet the ITRS roadmap requirements [1].
Comparing with the dielectric thickness, the carrier mobility and pre-factor n0
are mainly affected and determined by certain process conditions for high-κ dielectrics
fabrication. Thus, the effective way to reduce VCCs is to increase the dielectric
thickness. However, it severely limits the scaling of high-κ dielectric for improving
capacitance density of MIM capacitors. In order to meet the continuously increased
capacitance density requirement as well as small VCCs, dielectrics with much higher
dielectric constants than HfO2 needs to be researched and implemented in order for
future applications. Besides, it is believed that carrier mobility and pre-factor n0 are
strongly affected by the fabrication methods and process conditions [3, 12, 29-31],
which directly correlates to the quality of the high-κ materials. In particular, Y. L. Tu
observed that the deposition temperature could affect the VCCs of Ta2O5 MIM
capacitor to some extent [3]. However, low thermal budget in back-end line integration
severely limits the improvement of high-κ dielectrics quality, which impedes the
successfully wide implementation of high-κ dielectrics for MIM capacitors application.
Recently, several plasma treatment schemes prove to be effective for the leakage
property improvement for some high-κ films at low temperature compatible with
BEOL process [30, 31], which may also be promising for the improvement of C-V
characteristics for high-κ MIM capacitors.
Chapter 5 Understanding Voltage Coefficients of High-κ MIM Capacitors
129
5.4. Conclusion
In this chapter, a free carrier injection model has been established successfully
to explore the VCCs’ mechanism for high-κ MIM capacitors using HfO2 as the
dielectric. For the first time, it has been revealed that the thickness dependence of
VCCs, which exhibits a relation of nt−∝α (n~2), is an intrinsic problem due to the
electrical field increment when the dielectric film is scaled down. This finding is of
great implication for high-κ MIM capacitors application in many aspects and is also
useful for the design purpose. In addition, the frequency dependences of VCCs, and
the stress modified VCCs have also been discussed. For both cases, the C-V curves
bent downwards with the increase of frequency and/or under electrical stress, i.e. the
VCCs will decrease with frequency and/or after electrical stress. Based on the free
carrier model, it is proposed that the VCCs variations are attributed to the change of
relaxation time, which is in the origin of carrier mobility and/or pre-factor n0 change in
the dielectric film. In conclusion, this model could be also easily applied to other high-
κ MIM capacitors predicting the C-V characteristics, and help to understand the
process-property correlationship of high-κ dielectrics.
Chapter 5 Understanding Voltage Coefficients of High-κ MIM Capacitors
130
References:
[1] The international Technology Roadmap for Semiconductors, Semiconductor
Industry Association, 2002.
[2] R. B. van Dover, R. M. Flemming, L. F. Schneemeyer, G. B. Alers, and D. J.
Werder, “Advanced dielectrics for gate oxide, DRAM and rf capacitors,” in Proc.
of IEDM, pp. 823-826, 1998.
[3] Y. L. Tu, H. L. Lin, L. L. Chao, D. Wu, C. S. Tsai, C. Wang, C. F. Huang, C. H. Lin,
and J. Sun, “Characterization and comparison of high-κ metal-insulator-metal
(MIM) capacitors in 0.13µm Cu BEOL for mixed-mode and RF applications,” in
Proc. Symp. VLSI Technology, pp. 79-80, 2003.
[4] A. Kar-Roy, C. Hu, M. Racanelli, C. A. Compton, P. Kempf, G. Jolly, P. N.
Sherman, J. Zheng, Z. Zhang, and A. Yin, “High density metal insulator metal
capacitors using PECVD nitride for mixed signal and RF circuits,” IITC, pp. 245-
247, 1999.
[5] X. Yu, C. Zhu, H. Hu, A. Chin, M. F. Li, B. J. Cho, D.-L. Kwong, P.D. Foo, and M.
B. Yu, “A high-density MIM capacitor (13 fF/µm2) using ALD HfO2 dielectrics,”
IEEE Electron Device Lett., Vol. 24, No. 2, pp.63-65, 2003.
[6] S. J. Kim, B. J. Cho, M. F. Li, X. Yu, C. Zhu, A. Chin, and D.-L. Kwong, “PVD
HfO2 for high-precision MIM capacitor applications,” IEEE Electron Device Lett,
Vol. 24, No. 6, pp.387-389, 2002.
[7] H. Hu, C. Zhu, Y. F. Lu, M. F. Li, B. J. Cho, and W. K. Choi, “A high performance
MIM capacitor using HfO2 dielectrics,” IEEE Electron Device Lett., Vol. 23, No. 9,
pp.514-516, 2002.
[8] S. B. Chen, C. H. Lai, A. Chin, J. C. Hsieh, and J. Liu, “High-density MIM
capacitors using Al2O3 and AlTiOx dielectrics,” IEEE Electron Device Lett, Vol. 23,
Chapter 5 Understanding Voltage Coefficients of High-κ MIM Capacitors
131
No. 4, pp.185-187, 2002.
[9] M. Y. Yang, C. H. Huang, A. Chin, C. Zhu, M. F. Li, and D.-L. Kwong, “High-
density MIM capacitors using AlTaOx dielectrics,” IEEE Electron Device Lett., Vol.
24, No.5, pp.306-308, 2003.
[10] C. Zhu, H. Hu, X Yu, A. Chin, M. F. Li, and D. -L. Kwong, “Voltage and
temperature dependence of capacitance of high-κ HfO2 MIM capacitors: A
unified understanding and prediction,” in Proc. of IEDM, pp. 879-882, 2003.
[11] K.-S. Tan, S. Kiriake, M. de Wit, J. W. Fattaruso, C.-Y. Tsay, W. E. Matthews, and
R. K. Hester, “Error correction techniques for high-performance differential A/D
converters,” IEEE J. Solid-State Circuits, Vol. 25, pp. 1318-1327, 1990.
[12] S. Blonkowski, M. Regache, and A. Halimaoui, “Investigation and modeling of
the electrical properties of metal-insulator-metal structures formed from chemical
vapor deposited Ta2O5 films,” J. Appl. Phy., Vol. 90, pp. 1501-1508, 2002.
[13] R. Coelho, “Sur ia relaxation d’une charge d’espace,” Rev. Phys. Appl., Vol. 18,
pp. 137-146, 1983.
[14] R. Coelho, Physics of dielectrics for the engineer (Elsevier, 1979.)
[15] J. J. O’dwyer, The theory of electrical conduction and breakdown in solid
dielectric (Oxford university Press, 1973.)
[16] L. I. Maissel and R. Glang, Handbook of thin film technology (McGraw-Hill
Book Company, 1983)
[17] W. Zhu, T. P. Ma, T. Tamagawa and Y. Di, “HfO2 and HfAlO for CMOS:
thermal stability and current transport,” in Proc. of IEDM, pp. 463-466, 2001.
[18] S. M. Sze, Physics of Semiconductor Devices, 2nd ed. (Wiley, New York, 1981.)
[19] H. Hu, S. -J. Ding, H. Lim, C. Zhu, M. F. Li, S. J. Kim, X. Yu, J. H. Chen, Y. F.
Yong, B. J. Cho, D. S. H. Chan, Subhash C Rustagi, M. B. Yu, C. H. Tung, A. Y.
Chapter 5 Understanding Voltage Coefficients of High-κ MIM Capacitors
132
Du, D. My, P. D. Foo, A. Chin, and D.-L. Kwong, “High performance ALD
HfO2-Al2O3 laminate MIM capacitors for RF and mixed signal IC applications,”
in Proc. of IEDM, pp. 379-382, 2003.
[20] H. Zhang, R. Solanki, B. Roberds, G. Bai and I. Banerjee, “High permittivity thin
film nanolaminates,” J. Appl. Phy., Vol. 87, pp. 1921-1924, 2000.
[21] W. K. Chim, T. H. Ng, B. H. Koh, W. K. Choi, J. X. Zheng, C. H. Tung, and A. Y.
Du, “Interfacial and bulk properties of zirconium dioxide as a gate dielectric in
metal-insulator-semiconductor structures and current transport mechanisms,” J.
Appl. Phy., Vol. 93, pp. 4788-4793, 2003.
[22] T. Yoshitomi, Y. Ebuchi, H. Kimijama, T. Ohguro, E. Morifuji,. H.S. Momose,
K. Kasai, K. Ishimaru, F..Matsuoka, Y. Katsumata, M. Kinugawa, and H. Iwai,
“High performance MIM capacitor for RF BiCMOS/CMOS LSIs,” in Proc. of
BCTM, pp. 133-136, 1999.
[23] H. Hu, C. Zhu, X. Yu, A. Chin, M. F. Li, B. J. Cho, and D. -L. Kwong, “MIM
capacitors using atomic-layer-deposited high-κ (HfO2)1-x(Al2O3)x dielectrics,”
IEEE Electron Device Lett., Vol. 24, pp. 60-62, 2003.
[24] S. J. Kim, B. J. Cho, M. F. Li, C. Zhu, A. Chin, and D. -L. Kwong, “HfO2 and
lanthanide-doped HfO2 MIM capacitors for RF/mixed IC applications,” in Proc.
Symp. VLSI Technology, pp.77-78, 2003.
[25] S. Van Huylenbroeck, S. Decoutere, R. Venegas, S. Jenei, and G. Winderickx,
“Investigation of PECVD dielectrics for nondispersive metal-insulator-metal
capacitors,” IEEE Electron Device Lett., Vol. 23, No. 4, pp. 191-193, 2002.
[26] J. A. Babcock, S. G. Balster, A. Pinto, C. Dirnecker, P. Steinmann, R. Jumpertz,
and B. El-Kareh, “Analog characteristics of metal-insulator-metal capacitors
Chapter 5 Understanding Voltage Coefficients of High-κ MIM Capacitors
133
using PECVD nitride dielectrics,” IEEE Electron Device Letters, Vol. 22, No. 5,
pp. 230-232, 2001.
[27] B. Gross, “Dose rate dependence of carrier mobility,” Solid State Commun., Vol.
15, pp. 1655-1657, 1974.
[28] E H Nicollian and J R Brews, MOS (Metal Oxide Semiconductor) Physics and
technology (Wiley, 1982)
[29] H. Hu, C. Zhu, X. F. Lu, Y. H. Wu, T. Liew, M. F. Li, B. J. Cho, W. K. Choi and
N. Yakovlev, “Physical and electrical characterization of HfO2 metal-insulator-
metal capacitors for Si analog circuit applications,” J. Appl. Phy., Vol. 94, pp.
551-557, 2003.
[30] G. B. Alers, R. M. Fleming, Y. H. Wong, B. Dennis and A. Pinczuk, “Nitrogen
plasma annealing for low temperature Ta2O5 films,” Appl. Phys. Lett., Vol. 72,
No. 11, pp. 1308-1310, 1998.
[31] S. J. Chang, J. S. Lee, J. F. Chen, S. C. Sun, C. H. Liu, U. H. Liaw and B. R.
Huang, “Improvement of electrical and reliability properties of tantalum
pentoxide by high-density plasma (HDP) annealing in N2O,” IEEE Electron
Device Lett., Vol. 23, No. 11, pp. 643-645, 2002.
Chapter 6 Summary and Future Works
134
Chapter 6
Summary and Future Works
6.1. Summary
In this thesis, HfO2 based high-κ MIM capacitors have been thoroughly
investigated. These have been accomplished by preparing HfO2 based high-κ films
using various preparation methods such as pulsed-laser deposition (PLD), sputtering,
atomic-layer-deposition (ALD) and studying the material and electrical properties of
these high-κ films. The important findings and conclusions obtained in the course of
the studies can be summarized as the following:
1) HfO2 high-κ dielectrics prepared by PLD have been fabricated for MIM capacitors
application for the first time with superior electrical performance; a good
understanding of process-structure-property correlation for HfO2 film processing
has been achieved.
2) RF characteristics of HfO2 based high-κ MIM capacitors were investigated; the
results indicate that HfO2 based high-κ materials exhibit good capacitance-
frequency dependency, i.e. the dielectric constant of HfO2 remains almost constant
in the entire frequency range up to 20 GHz. In addition, thickness dependence of
stress induced leakage currents and voltage linearity for HfO2 MIM capacitors
have been discussed with the help of an equivalent circuit model, which are
proposed to be in the origin of traps generation in the dielectric films.
Chapter 6 Summary and Future Works
135
3) ALD prepared high-κ HfO2-Al2O3 MIM capacitors using laminate, stack and
sandwich structures were characterized. The electronic conduction mechanism,
voltage coefficients of capacitance (VCCs) dependence, etc have been investigated
in detail. In comparison with stack and sandwich structures, the laminate structure
is superior in terms of electrical performance. In addition, ALD technique shows
the advantages of film quality, uniformity, and the ease of dielectric structure
engineering over PLD and PVD methods.
4) Finally, a free carrier injection model has been established successfully to explore
the VCCs’ mechanism for high-κ MIM capacitors using HfO2 as the dielectric. It
has been revealed that the thickness dependence of VCCs, which exhibits a
relation of nt−∝α (n~2), is an intrinsic problem due to the electrical field increment
when the dielectric film is scaled down. In addition, the frequency dependences of
VCCs, and the stress modified VCCs have been also discussed using the free
carrier model. It is proposed that the VCCs variations are attributed to the change
of relaxation time, which is in the origin of carrier mobility and carrier
concentration change in the dielectric film.
6.2. Future works
There are a few aspects the author thinks would be worth for further
investigation:
1) HfO2 films processing
In this work, HfO2 films are in their as-deposited states without any post-
deposition treatment, traditional furnace annealing in this work was found to be
less effective for the quality improvement of thick HfO2 films which might be due
Chapter 6 Summary and Future Works
136
to the allowable thermal budget in back-end of line (BEOL) integration. Therefore,
it is worthwhile to develop some novel thermal treatment schemes, such as multi-
deposition and multi-annealing method, high density plasma annealing process,
and so on.
2) Dielectrics properties engineering
The reported VCCs and temperature coefficient of capacitance (TCCs) for high-κ
dielectrics are much larger than those of SiO2 and Si3N4. Considering the positive
VCC and TCC values of most high-κ dielectrics, it would be nice to search
alternative dielectrics in possession of negative VCCs and TCCs values, and
incorporating those dielectrics into current high-κ systems to achieve low TCCs
and VCCs values.
3) VCCs mechanism study
In this work, our current results indicate that the VCCs dependence on many
parameters such as thickness, frequency, and electrical stress is mainly a bulk
effect. However, it is believed that the metal/dielectric interface may also play a
role affecting the VCCs. The effects of the interface properties on the VCCs needs
more study.
In addition, the extent of capacitance variation is shown to be dependent on the
bias polarity, how could this by affected by each leakage component (like Schottky
emission, Poole-Frenkel conduction and so on.) need further investigation.
4) Integration of HfO2 based dielectrics
In the current technology node, HfO2 based dielectrics needs to be put into Cu/low-
κ BEOL process for their real use, the process related issues like the proper choice
of metal stacks, the compatibility with low-κ material, the effects of plasma
Chapter 6 Summary and Future Works
137
etching on high-κ dielectrics, and so on, are useful topics for integration of HfO2
based materials.
List of Publications
138
LIST OF PUBLICATIONS
1. H. Hu, C. Zhu, Y. F. Lu, M. F. Li, B. J. Cho, and W. K. Choi, “A high
performance MIM capacitor using HfO2 dielectrics,” IEEE Electron Device Lett.,
Vol. 23, pp. 514-516, 2002.
2. H. Hu, C Zhu, Y. F. Lu, Y. H. Wu, T. Liew, M. F. Li, B.J. Cho, W. K. Choi, and
N. Yakovlev, “Physical and electrical characterization of HfO2 metal-insulator-
metal capacitors for Si analog circuit applications,” J. Appl. Phys., Vol. 94, No. 1,
pp. 551-557, 2003.
3. H. Hu, C. Zhu, X. Yu, A. Chin, M. F. Li, B. J. Cho, and D. -L. Kwong, “MIM
capacitors using atomic-layer-deposited high-κ (HfO2)1-x(Al2O3)x dielectrics,”
IEEE Electron Device Lett., Vol. 24, pp. 60-62, 2003.
4. X. Yu, C. Zhu, H. Hu, A. Chin, M. F. Li, B. J. Cho, D. -L. Kwong, F. D. Foo, and
M. B. Yu, “A high density MIM capacitor (13 fF/µm2) using ALD HfO2
dielectrics,” IEEE Electron Device Lett., Vol. 24, pp. 63-65, 2003.
5. H. Hu, C. Zhu, Y. F. Lu, J. N. Zeng, Y. H. Wu, T. Liew, M. F. Li, and W. K. Choi,
“Material and electrical characterization of HfO2 films for MIM capacitors
application,” Mat. Res. Soc. Symp. Proc. Vol. 766, E.3.3.1, 2003.
6. X. Yu, C. Zhu, H. Hu, A. Chin, M. F. Li, B. J. Cho, D. -L. Kwong, P. D. Foo, and
M. B. Yu, “MIM capacitors with HfO2 and HfAlOx for Si RF and analog
applications,” Mat. Res. Soc. Symp. Proc. Vol. 766, E.5.9.1, 2003.
7. H. Hu, S. –J. Ding, H. F. Lim, C. Zhu, M. F. Li, S. J. Kim, X. Yu, J. H. Chen, Y. F.
Yong, B. J. Cho, D. S. H. Chan, Subhash C Rustagi, M. B Yu, C. H Tung, A. Y.
Du, D. My, P. D. Foo, A. Chin, and D.-L. Kwong, “High performance ALD HfO2-
List of Publications
139
Al2O3 laminate MIM capacitors for RF and mixed signal IC applications,” in Proc.
of IEDM, pp. 379-382, 2003.
8. C. Zhu, H. Hu, X Yu, S. J. Kim, A. Chin, M. F. Li, B. J. Cho, and D. -L. Kwong,
“Voltage and temperature dependence of capacitance of high-κ HfO2 MIM
capacitors: A unified understanding and prediction,” in Proc. of IEDM, pp. 879-
882, 2003.
9. H. Hu, S. –J. Ding, C. Zhu, Y. F. Lu, M. F. Li, B. J. Cho, and D. S. H. Chan, S. C.
Rustagi, M. B. Yu, A. Chin, and D. -L. Kwong, “Investigation of PVD HfO2 MIM
capacitors for Si RF and mixed signal ICs application,” International
Semiconductor Device Research Symposium (ISDRS), pp. 328-329, 2003.
10. S. –J. Ding, H. Hu, S. J. Kim, H. F. Lim, C. Zhu, M. F. Li, B. J. Cho, D. S. H.
Chan, S. C. Rustagi, M. B. Yu, A. Chin, D. –L. Kwong, “High performance MIM
capacitor using ALD high-κ HfO2-Al2O3 laminate dielectrics,” IEEE Electron
Device Lett., Vol. 24, pp. 730-732, 2003.
11. S. –J. Ding, H. Hu, H. F. Lim, S. J. Kim, X. F. Yu, C. Zhu, M. F. Li, B. J. Cho, D.
S. H. Chan, S. C. Rustagi, M. B. Yu, A. Chin, D. –L. Kwong, “DC, RF, and
reliability characteristics of atomic layer deposited HfO2-Al2O3 laminate MIM
capacitors for Si RF IC applications,” IEEE Trans. on Electron Devices Vol. 51,
pp. 886-894, 2004.
12. S. –J. Ding, H. Hu,, C. Zhu, M. F. Li, S. J. Kim, B. J. Cho, S. H. Chan, M. B. Yu,
A. Y. Du, A. Chin, D. –L. Kwong, “Evidence and understanding of atomic-layer-
deposited HfO2-Al2O3 laminate MIM capacitors outperforming sandwich
counterparts,” accepted by IEEE Electron Device Lett.