on.the.web.accucell.edsf2007 · 2015. 8. 11. · title: microsoft powerpoint -...

48
AccuCellによる高精度のセルキャラクタライゼーション 株式会社シルバコジャパン

Upload: others

Post on 15-Mar-2021

2 views

Category:

Documents


0 download

TRANSCRIPT

Page 1: On.The.Web.Accucell.EDSF2007 · 2015. 8. 11. · Title: Microsoft PowerPoint - On.The.Web.Accucell.EDSF2007.ppt Author: kashimura Created Date: 1/29/2007 4:05:36 PM

AccuCellによる高精度のセル・キャラクタライゼーション

株式会社シルバコ・ジャパン

Page 2: On.The.Web.Accucell.EDSF2007 · 2015. 8. 11. · Title: Microsoft PowerPoint - On.The.Web.Accucell.EDSF2007.ppt Author: kashimura Created Date: 1/29/2007 4:05:36 PM

2

Silvaco/Simucad社社社社プロダクトラインアッププロダクトラインアッププロダクトラインアッププロダクトラインアップ

Page 3: On.The.Web.Accucell.EDSF2007 · 2015. 8. 11. · Title: Microsoft PowerPoint - On.The.Web.Accucell.EDSF2007.ppt Author: kashimura Created Date: 1/29/2007 4:05:36 PM

3

デザインデザインデザインデザイン・・・・チャレンジチャレンジチャレンジチャレンジ

ASIC-SoC / Custom-SoC

ハード IP、再利用IP •モデルの不備•遅延の正確性•デザインの知識•ファンクション・ベリファイ•実行時間

メモリ•マニュアル手法•正確性•モデルの不備•実行時間

スタンダード・セル &カスタ

ムセル•タイミングの正確性、カバレッジ•複雑なセルや、I/Oのキャラク

タライズ•新規セルに対する迅速なキャラクタライズ

フル・カスタム•タイミング・クロージャ•デザインのデバッグ•ファンクション・ベリファイ

•複雑なセットアップ

ますますますますますますますます増増増増えるえるえるえる 「「「「正確性正確性正確性正確性」「」「」「」「速度速度速度速度」「」「」「」「デザインデザインデザインデザイン・・・・カバレッジカバレッジカバレッジカバレッジ」」」」のののの必要性必要性必要性必要性

Page 4: On.The.Web.Accucell.EDSF2007 · 2015. 8. 11. · Title: Microsoft PowerPoint - On.The.Web.Accucell.EDSF2007.ppt Author: kashimura Created Date: 1/29/2007 4:05:36 PM

4

フル・カスタム

AccuCore

スタンダード・セル&カスタム・セル

AccuCell

AccuCell / AccuCore ソリューションソリューションソリューションソリューション

ASIC-SoC/ Custom - SoC

ハードIP、再利用IP

AccuCore

Page 5: On.The.Web.Accucell.EDSF2007 · 2015. 8. 11. · Title: Microsoft PowerPoint - On.The.Web.Accucell.EDSF2007.ppt Author: kashimura Created Date: 1/29/2007 4:05:36 PM

5

デザインデザインデザインデザイン・・・・チャレンジチャレンジチャレンジチャレンジ

生産性• 広範囲に渡るエンジニアのマニュアル・ワークを排除• キャラクタライズのセットアップ、ランタイムの時間を減少• トータル・デザインフローにおけるTATを減少させる

• 複数のツールではなく、一つのツールで実現

パフォーマンス• タイミング、パワー、ファンクションを正確に出力しているか• サブミクロン・テクノロジー / 複雑な回路に対応する

正確性• ファンクションを正確に抽出し、ベクタは、すべての要求を網羅して

いるか• Spiceシミュレータの精度は?

Page 6: On.The.Web.Accucell.EDSF2007 · 2015. 8. 11. · Title: Microsoft PowerPoint - On.The.Web.Accucell.EDSF2007.ppt Author: kashimura Created Date: 1/29/2007 4:05:36 PM

6

AccuCell / AccuCore ソリューションソリューションソリューションソリューション

生産性• AccuCell / AccuCore独自の自動化対応でエンジニアの負担を軽

減 (パーティションに分割・ファンクション抽出・ベクタ生成)• 高速SmartSpiceおよびSmartSpice API機能でTATの減少• Characterize機能とSpiceシミュレータをシームレスに統合

パフォーマンス• 過去の多大な実績に基づく、独自のファンクション抽出、ベクタ生

成機能で複雑な回路にも対応する高パフォーマンスを実現• SmartSpiceにおける最新Spiceモデルに対応済み

正確性• 「正確性」に信頼の置けるSmartSpiceを採用

Page 7: On.The.Web.Accucell.EDSF2007 · 2015. 8. 11. · Title: Microsoft PowerPoint - On.The.Web.Accucell.EDSF2007.ppt Author: kashimura Created Date: 1/29/2007 4:05:36 PM

7

Simucad SoCソリューションソリューションソリューションソリューション

AccuCore STA

フルチップタイミング解析

AccuCore

ブロック・コアキャラクタライゼーション

AccuCellセル・キャラクタライゼーション

Page 8: On.The.Web.Accucell.EDSF2007 · 2015. 8. 11. · Title: Microsoft PowerPoint - On.The.Web.Accucell.EDSF2007.ppt Author: kashimura Created Date: 1/29/2007 4:05:36 PM

8

AccuCell / AccuCore のののの区別区別区別区別についてについてについてについて

AccuCore

AccuCore

AccuCell

Block Characterization

Full / Gate Level STA

Cell Characterization

(~ 1000 Devices)

(1000 ~ Devices)AccuCell

Page 9: On.The.Web.Accucell.EDSF2007 · 2015. 8. 11. · Title: Microsoft PowerPoint - On.The.Web.Accucell.EDSF2007.ppt Author: kashimura Created Date: 1/29/2007 4:05:36 PM

9

AccuCell and AccuCore のののの基本的特徴基本的特徴基本的特徴基本的特徴

AccuCell AccuCore

I/O Data Input Capacitance Input CapacitanceDelay DelaySetup / Hold Setup / HoldPower Calc

FunctionofCapability

Characterize CharacterizePartitioningSTA

SupportedFormat

.lib (SPDM) .libTLF TLFVerilog Verilogalfmentorvital

AccuCell and AccuCoreは別プロダクトです。AccuCellの機能がAccuCoreに包含されているわけではありません。

Page 10: On.The.Web.Accucell.EDSF2007 · 2015. 8. 11. · Title: Microsoft PowerPoint - On.The.Web.Accucell.EDSF2007.ppt Author: kashimura Created Date: 1/29/2007 4:05:36 PM

10

AccuCell のののの利点利点利点利点

他社におけるアプローチ

マニュアルでのトランジション記述

トランジションからベクタへの変換

シミュレーションの実行

マニュアルでのファンクション確認

結果の取り出し

モデル作成

ライブラリのチェック

Simucad社におけるアプローチ

AccuCell

Page 11: On.The.Web.Accucell.EDSF2007 · 2015. 8. 11. · Title: Microsoft PowerPoint - On.The.Web.Accucell.EDSF2007.ppt Author: kashimura Created Date: 1/29/2007 4:05:36 PM

11

AccuCell のののの概要概要概要概要

• ファンクション抽出、ベクタ生成を自動化• 高パフォーマンスのSmartSpiceとの統合• 最新Spiceモデルに対応

• マニュアルでのキャラクタライズ手法が可能• タイミング、セットアップ・ホールド、キャパシタンス、パワーなどの

キャラクタライズ・データはSpiceの精度を保持• Liberty format .lib モデル、Verilog、Vital、TLFモデルを生成• Solaris / Linux 互換 (Linux64ビット・マシンに対応)

Page 12: On.The.Web.Accucell.EDSF2007 · 2015. 8. 11. · Title: Microsoft PowerPoint - On.The.Web.Accucell.EDSF2007.ppt Author: kashimura Created Date: 1/29/2007 4:05:36 PM

12

キャラクタライズキャラクタライズキャラクタライズキャラクタライズををををドライブドライブドライブドライブするためのするためのするためのするためのベクタベクタベクタベクタ生成生成生成生成

• 該当するセルのキャラクタライズにおいて、無駄が無く必要な部分のみのベクタを生成することは、大変重要なポイントになっています

例えば、

ディレイディレイディレイディレイ

出力出力出力出力のののの傾傾傾傾きききき

入力入力入力入力ピンピンピンピン・・・・キャパシタンスキャパシタンスキャパシタンスキャパシタンス

最大最大最大最大キャパシタンスキャパシタンスキャパシタンスキャパシタンス

セットアップセットアップセットアップセットアップ&&&&ホールドホールドホールドホールド

パワーパワーパワーパワー

Page 13: On.The.Web.Accucell.EDSF2007 · 2015. 8. 11. · Title: Microsoft PowerPoint - On.The.Web.Accucell.EDSF2007.ppt Author: kashimura Created Date: 1/29/2007 4:05:36 PM

13

AccuCell のののの使用例使用例使用例使用例

• 新規ライブラリのキャラクタライゼーション

• 購入ライブラリの再キャラクタライゼーション

• 汎用デザインにおける、カスタムPVT(プロセス・電源・温度)の対応

• ファンダリや、プロセスを変更

• 新規カスタム・セルをキャラクタライズ

• 複雑なセルをキャラクタライズ• ドミノ / ダイナミック セル

• 入・出力ピンが多いセル

• 既存ライブラリのクォリティ・チェック(QC)

AccuCell は、ハイハイハイハイ・・・・パフォーマンスパフォーマンスパフォーマンスパフォーマンス、、、、 低電源低電源低電源低電源、タイミングが重要視されるセルセルセルセル・・・・ベースベースベースベース のデザイン・フローに最適です!

Page 14: On.The.Web.Accucell.EDSF2007 · 2015. 8. 11. · Title: Microsoft PowerPoint - On.The.Web.Accucell.EDSF2007.ppt Author: kashimura Created Date: 1/29/2007 4:05:36 PM

14

AccuCell 入力入力入力入力、、、、出力出力出力出力、、、、双方向双方向双方向双方向インタフェースインタフェースインタフェースインタフェース

Verilogネットリスト

Cadence社 TLFフォーマット

Synopsys社 LibertyTM (.lib)

AccuCell

Characterization and

Modeling Tool

セル・リスト

ライブラリ・コンフィグ・ファイル

TCLスクリプト

SPICEモデル

SPICEネットリスト

入力 出力

VHDL / VITAL

Mentor Graphics社 ATPGツールキャラクタライズデータ・ベース

SmartSpiceHSPICETM

ELDOTM

データ・シート

Page 15: On.The.Web.Accucell.EDSF2007 · 2015. 8. 11. · Title: Microsoft PowerPoint - On.The.Web.Accucell.EDSF2007.ppt Author: kashimura Created Date: 1/29/2007 4:05:36 PM

15

入力 出力

Verilogネットリスト

Cadence社 TLFフォーマット

Synopsys社 LibertyTM (.lib)

AccuCell

Characterization and

Modeling Tool

セル・リスト

ライブラリ・コンフィグ・ファイル

TCLスクリプト

SPICEモデル

SPICEネットリスト

VHDL / VITAL

Mentor Graphic社 ATPGキャラクタライズデータ・ベース

SmartSpiceHSPICEELDO データ・シート

AccuCellのののの入力入力入力入力

• 実行するセルのリストをもとにAccuCellを実行し、必要の無いセルは

リストからはずすことにより、非実行

• SPICEネットリスト内にRCを入れ込むことも可能

• SmartSpiceで使用可能なSPICEモデルをすべて使用可能

• ライブラリ・コンフィグレーション・ファイルにより、ライブラリに関するすべてのオプション設定が可能

• Tcl スクリプトによりカスタマイズ・フローの構築やレポートを出力

Page 16: On.The.Web.Accucell.EDSF2007 · 2015. 8. 11. · Title: Microsoft PowerPoint - On.The.Web.Accucell.EDSF2007.ppt Author: kashimura Created Date: 1/29/2007 4:05:36 PM

16

• Synopsys社 Liberty™ (.lib)

• Cadence社 TLF (.tlf) フォーマット

• Simucad (svc)データ・ベース・フォーマット

• ファンクション、テスト・ベンチVerilogネットリスト

• データ・シート

入力 出力

Verilogネットリスト

Cadence社 TLFフォーマット

Synopsys社 LibertyTM (.lib)

AccuCell

Characterization and

Modeling Tool

セル・リスト

ライブラリ・コンフィグ・ファイル

TCLスクリプト

SPICEモデル

SPICEネットリスト

VHDL / VITAL

Mentor Graphic社 ATPGキャラクタライズデータ・ベース

SmartSpiceHSPICEELDO データ・シート

AccuCellのののの出力出力出力出力

Page 17: On.The.Web.Accucell.EDSF2007 · 2015. 8. 11. · Title: Microsoft PowerPoint - On.The.Web.Accucell.EDSF2007.ppt Author: kashimura Created Date: 1/29/2007 4:05:36 PM

17

• AccuCoreでは、SmartSpice APIを使用した最速キャラクタライズ

を実現しています

• AccuCore は、外部SPICEとしてHSPICE, ELDOをご利用いただけ

ます

• AccuCore は、SQLデータ・ベースを用いてインクリメンタル・キャラ

クタライゼーションを実現しています

入力 出力

Verilogネットリスト

Cadence社 TLFフォーマット

Synopsys社 LibertyTM (.lib)

AccuCell

Characterization and

Modeling Tool

セル・リスト

ライブラリ・コンフィグ・ファイル

TCLスクリプト

SPICEモデル

SPICEネットリスト

VHDL / VITAL

Mentor Graphic社 ATPGキャラクタライズデータ・ベース

SmartSpiceHSPICEELDO データ・シート

AccuCellのののの双方向双方向双方向双方向インタフェースインタフェースインタフェースインタフェース

Page 18: On.The.Web.Accucell.EDSF2007 · 2015. 8. 11. · Title: Microsoft PowerPoint - On.The.Web.Accucell.EDSF2007.ppt Author: kashimura Created Date: 1/29/2007 4:05:36 PM

18

AccuCell デザインデザインデザインデザイン・・・・フローフローフローフロー

セルセルセルセル・・・・ライブラリライブラリライブラリライブラリ

ファンクションファンクションファンクションファンクション抽出抽出抽出抽出

ベクタベクタベクタベクタ生成生成生成生成 シミュレーションシミュレーションシミュレーションシミュレーション管理管理管理管理

モデルモデルモデルモデル生成生成生成生成

SpiceネットリストネットリストネットリストネットリストSpiceモデルモデルモデルモデルCell / ライブラリライブラリライブラリライブラリ・・・・コンフィグコンフィグコンフィグコンフィグ

SmartSpice

(with API)

EldoHSPICE

.lib ファイルファイルファイルファイル

.tlf ファイルファイルファイルファイルVerilog (Function)

Verilog (Test Bench)

AccuCellAccuCell

ライブラリチェックライブラリチェックライブラリチェックライブラリチェック

入力入力入力入力ファイルファイルファイルファイルのののの読読読読みみみみ込込込込みみみみ

Page 19: On.The.Web.Accucell.EDSF2007 · 2015. 8. 11. · Title: Microsoft PowerPoint - On.The.Web.Accucell.EDSF2007.ppt Author: kashimura Created Date: 1/29/2007 4:05:36 PM

19

AccuCell デザインデザインデザインデザイン・・・・フローフローフローフロー STEP 1

入力ファイルの読み込み

• ライブラリ・コンフィグ・ファイル - ライブラリに使用される設定条件• セル・リスト - ライブラリに存在するキャラクタライズ実行セルのリ

スト• セル・コンフィグ・ファイル - それぞれのセルに特化した条件や、

Spiceネットリストのパスを記述• Spiceネットリスト• Spiceモデル - SPICE実行時に必要なSpiceモデル• TCLファイル - バッチモード実行時のTCLファイル

AccuCellAccuCell入力ファイルの読み込み

STEP 1

Page 20: On.The.Web.Accucell.EDSF2007 · 2015. 8. 11. · Title: Microsoft PowerPoint - On.The.Web.Accucell.EDSF2007.ppt Author: kashimura Created Date: 1/29/2007 4:05:36 PM

20

AccuCell デザインデザインデザインデザイン・・・・フローフローフローフロー コンフィグコンフィグコンフィグコンフィグ・・・・ファイルファイルファイルファイル

ライブラリ全体におけるコンフィグ・ファイル(cell.cfg により置換さ

れます)

Library.cfg

../library

nand.cfg nor.cfg … dff.cfg aoi.cfg

../library/nand ../library/nor ../library/dff ../library/aoi

個々のセルに対するセル・コンフィグ・ファイル (library.cfg を置換することができます)

Page 21: On.The.Web.Accucell.EDSF2007 · 2015. 8. 11. · Title: Microsoft PowerPoint - On.The.Web.Accucell.EDSF2007.ppt Author: kashimura Created Date: 1/29/2007 4:05:36 PM

21

AccuCell デザインデザインデザインデザイン・・・・フローフローフローフロー ライブラリライブラリライブラリライブラリ・・・・コンフィグコンフィグコンフィグコンフィグ・・・・ファイルファイルファイルファイル

ライブラリ・コンフィグ・ファイル <library名>.cfg

ライブラリ・コンフィグ・ファイルには、下記のようなライブラリ全体に使用される条件を設定することが可能です。

• ライブラリ (ライブラリ名 作成するモデルの種類)

• Spice設定 (SPICEコマンド、オプション等の設定)

• キャラクタライゼーション (温度条件、解析ステップ等の設定)

• 入力信号の傾き・出力にかかる負荷• 入力ピンのキャパシタンス• セットアップ・ホールド (セットアップ・ホールド条件等の設定)

• Verilogコマンド (Verilog出力の有無)

• パワー・キャラクタライゼーション・コマンド• ログ・アウトプット・コマンド

Page 22: On.The.Web.Accucell.EDSF2007 · 2015. 8. 11. · Title: Microsoft PowerPoint - On.The.Web.Accucell.EDSF2007.ppt Author: kashimura Created Date: 1/29/2007 4:05:36 PM

22

AccuCell デザインデザインデザインデザイン・・・・フローフローフローフロー ライブラリライブラリライブラリライブラリ・・・・コンフィグコンフィグコンフィグコンフィグ・・・・ファイルファイルファイルファイル例例例例

#--- LIBRARY COMMANDSLIBRARY_NAME mylibMODEL_TYPE synthesis tlf generic svc verilog

#--- SPICE COMMANDSSPICE_TYPE SmartSpiceSMARTSPICE_OPTIONS {scale=1.0}MOSFET_TYPE P pmosMOSFET_TYPE N nmosINC_CMD "'/home/user/tutorial/model25.inc"

#--- CHARACTERIZATION COMMANDSTEMP 125SUPPLY_V_HIGH 3.0SCALE_FACTOR 1.0TRAN_ANALYSIS_STEP 0.01

#--- INPUT SLOPE RANGES / OUTPUT CAP RANGESEXTEND_SLOPE 1SLOPE_TABLE {0.05 0.150 0.650}CAP_TABLE {0.008 0.020 0.080}AUTO_TABLE_SELECT {0.008 0.080} {0.05 0.65}ATS_MAX_DELAY_ERROR 0.04ATS_MAX_SLEW_ERROR 0.04

#--- INPUT PIN CAPCALC_C_EFF 1C_EFF_METHOD currentCHAR_MAXCAP 1MAXCAP_DEGRADATION 0MAXCAP_MAXSLEW 1.0

#--- SETUP AND HOLD COMMANDS# User Provided TablesSH_DATA_SLOPE_TABLE {0.010 0.100}SH_CLK_SLOPE_TABLE {0.010 0.100}SETHOLD_DELAY 0.15CALC_MPW 1CHAR_RECREM 1

#--- VERILOG COMMANDSOPTIMIZE_LOGIC 1

#--- POWER CHAR. COMMANDS (FOR SYNOPSYS ONLY)CHAR_POWER 1 CHAR_POWER_METHOD averageLEAKAGE_POWER_UNIT uWCOMBINE_DELAY_N_POWER 1

#--- LOG OUTPUT COMMANDSPRINT_EQNS 1VERBOSE 1

Page 23: On.The.Web.Accucell.EDSF2007 · 2015. 8. 11. · Title: Microsoft PowerPoint - On.The.Web.Accucell.EDSF2007.ppt Author: kashimura Created Date: 1/29/2007 4:05:36 PM

23

AccuCell デザインデザインデザインデザイン・・・・フローフローフローフロー セルセルセルセル・・・・コンフィグコンフィグコンフィグコンフィグ・・・・ファイルファイルファイルファイル

セル・コンフィグ・ファイル <cell名>.cfg

セル・コンフィグ・ファイルには、下記のようなセル固有の条件を設定することが可能です

• セル一般情報 (Spiceサブ・サーキット・ファイル名、セル名等の設定)

• 入・出力ピンの定義• 電源・グランド・ピンの定義• セル固有情報 (エリア情報、Truth Table のパスなど)

Page 24: On.The.Web.Accucell.EDSF2007 · 2015. 8. 11. · Title: Microsoft PowerPoint - On.The.Web.Accucell.EDSF2007.ppt Author: kashimura Created Date: 1/29/2007 4:05:36 PM

24

AccuCell デザインデザインデザインデザイン・・・・フローフローフローフロー セルセルセルセル・・・・コンフィグコンフィグコンフィグコンフィグ・・・・ファイルファイルファイルファイル例例例例

#--- Combinational Configuration File (NAND2.cfg)#--- General Cell Commands#---IN_FILE_NAME NAND2.spiCELL_NAME NAND2TOP_SPICE_SUBCKT NAND2

#--- Input/Output Pins for the Cellinputs A Boutputs Y

#--- Power/Ground Pins for the Cellpowers VDDgrounds VSS

#--- Cell Specific Commands (OPTIONAL)#CELL_AREA 42.5#

#specify any EQN or TBL file for the cell##EQN_FILE_NAME nand2.eqn#TBL_FILE_NAME nand2.tbl

Page 25: On.The.Web.Accucell.EDSF2007 · 2015. 8. 11. · Title: Microsoft PowerPoint - On.The.Web.Accucell.EDSF2007.ppt Author: kashimura Created Date: 1/29/2007 4:05:36 PM

25

AccuCell デザインデザインデザインデザイン・・・・フローフローフローフロー 自動自動自動自動コンフィグコンフィグコンフィグコンフィグ・・・・ファイルファイルファイルファイル設定設定設定設定

AccuCellでは、 すでにSynopsis .lib ファイルが存在している場合、“lib2cfg” ルーティンを用いて自動で

コンフィグ・ファイルを設定することが可能です nand.cfg

nor.cfg

dff.cfg

...

aoi.cfg

Library_sps.lib lib2cfg Library_cfg

Page 26: On.The.Web.Accucell.EDSF2007 · 2015. 8. 11. · Title: Microsoft PowerPoint - On.The.Web.Accucell.EDSF2007.ppt Author: kashimura Created Date: 1/29/2007 4:05:36 PM

26

AccuCell デザインデザインデザインデザイン・・・・フローフローフローフロー TCL コマンド・ファイル

gen_lib cell.list library.cfg

library.tcl

INVNAND2NOR2AOI222DFFLAT1..

cell.list

Page 27: On.The.Web.Accucell.EDSF2007 · 2015. 8. 11. · Title: Microsoft PowerPoint - On.The.Web.Accucell.EDSF2007.ppt Author: kashimura Created Date: 1/29/2007 4:05:36 PM

27

AccuCell デザインデザインデザインデザイン・・・・フローフローフローフロー 入力入力入力入力のののの傾傾傾傾きききき、、、、出力負荷出力負荷出力負荷出力負荷 ユーザーユーザーユーザーユーザー定義定義定義定義

lib.cfg

#------COMMANDS FOR INPUT SLOPES and#------OUTPUT LOADS

EXTEND_SLOPE 1SLOPE_LOWER_THR 0.2SLOPE_UPPER_THR 0.8SLOPE_TABLE {0.10 0.2 0.3 0.4}CAP_TABLE {0.011 0.022 0.033 0.044 0.055}

Page 28: On.The.Web.Accucell.EDSF2007 · 2015. 8. 11. · Title: Microsoft PowerPoint - On.The.Web.Accucell.EDSF2007.ppt Author: kashimura Created Date: 1/29/2007 4:05:36 PM

28

AccuCell デザインデザインデザインデザイン・・・・フローフローフローフロー 自動自動自動自動テーブルテーブルテーブルテーブル選択機能選択機能選択機能選択機能(ATS)

lib.cfg

#---- COMMANDS for ATS (Automatic Table Select)

AUTO_TABLE_SELECT {<cap_min> <cap_max>} {<slew_min> <slew_max>ATS_MAX_DELAY_ERROR 0.05ATS_MAX_SLEW_ERROR 0.05

Page 29: On.The.Web.Accucell.EDSF2007 · 2015. 8. 11. · Title: Microsoft PowerPoint - On.The.Web.Accucell.EDSF2007.ppt Author: kashimura Created Date: 1/29/2007 4:05:36 PM

29

AccuCell デザインデザインデザインデザイン・・・・フローフローフローフロー 自動自動自動自動テーブルテーブルテーブルテーブル選択機能選択機能選択機能選択機能(ATS)

• 自動テーブル選択機能は、正確なキャラクタライズを実行するために必要最小限のテーブル・ポイントを設定

• オーバー・サンプリングを除去します

• 適切なポイントを選択するので、ラン・タイムが減少

Page 30: On.The.Web.Accucell.EDSF2007 · 2015. 8. 11. · Title: Microsoft PowerPoint - On.The.Web.Accucell.EDSF2007.ppt Author: kashimura Created Date: 1/29/2007 4:05:36 PM

30

AccuCell デザインデザインデザインデザイン・・・・フローフローフローフロー 自動自動自動自動テーブルテーブルテーブルテーブル選択機能選択機能選択機能選択機能(ATS)

Page 31: On.The.Web.Accucell.EDSF2007 · 2015. 8. 11. · Title: Microsoft PowerPoint - On.The.Web.Accucell.EDSF2007.ppt Author: kashimura Created Date: 1/29/2007 4:05:36 PM

31

STEP 2

AccuCell デザインデザインデザインデザイン・・・・フローフローフローフロー STEP 2222

ファンクション抽出

• AccuCellは、各セルのSpiceサブ・サーキット・ファイルよりファンク

ション抽出を自動実行• マニュアルでの抽出も可能で、ファンクション・イクエーション・ファ

イルにて定義• 自動ファンクション抽出では、ファンクション・イクエーションをログ

ファイルに出力、自動抽出の検証が可能

AccuCellAccuCellファンクション抽出

Page 32: On.The.Web.Accucell.EDSF2007 · 2015. 8. 11. · Title: Microsoft PowerPoint - On.The.Web.Accucell.EDSF2007.ppt Author: kashimura Created Date: 1/29/2007 4:05:36 PM

32

AccuCell デザインデザインデザインデザイン・・・・フローフローフローフロー STEP 3333

ベクタ生成

• AccuCellは、ファンクション・イクエーション・ファイルを基にベクタ

を自動生成• すべての出力ピンのトランジションを検知• マニュアルでのベクタ入力をサポート• 最もキャラクタライズ効率の良いベクタをAccuCellの内部アルゴリ

ズムによりPWLフォーマットにて生成

AccuCellAccuCellベクタ生成

STEP 3

Page 33: On.The.Web.Accucell.EDSF2007 · 2015. 8. 11. · Title: Microsoft PowerPoint - On.The.Web.Accucell.EDSF2007.ppt Author: kashimura Created Date: 1/29/2007 4:05:36 PM

33

SmartSpice

AccuCell デザインデザインデザインデザイン・・・・フローフローフローフロー STEP 4444

シミュレーション管理 (Characterization)

• AccuCellは、ライブラリおよびセル・コンフィグ・ファイルに記述して

ある条件を基にした入力の傾き・出力の負荷などとともに、前ステップにて生成されたベクタをSpiceシミュレータに受け渡し

• SmartSpiceを使用し、パフォーマンスの向上• SmartSpice API機能との連係(シミュレーション管理)で最適化さ

れた処理を実現• 外部Spiceを使用可能(HSPICE/ELDO)

AccuCellAccuCellシミュレーション管理

STEP 4

Page 34: On.The.Web.Accucell.EDSF2007 · 2015. 8. 11. · Title: Microsoft PowerPoint - On.The.Web.Accucell.EDSF2007.ppt Author: kashimura Created Date: 1/29/2007 4:05:36 PM

34

SmartSpice API機能

� SmartSpice API機能機能機能機能をををを使用使用使用使用してしてしてして、、、、パラメータパラメータパラメータパラメータのののの変更変更変更変更をををを直接直接直接直接メモリーメモリーメモリーメモリー上上上上

でででで実行実行実行実行

� 再読再読再読再読みみみみ込込込込みのみのみのみの時間時間時間時間がががが短縮短縮短縮短縮されされされされ、、、、さらなるさらなるさらなるさらなるスピードアップスピードアップスピードアップスピードアップをををを実現実現実現実現!!!!

AccuCell デザインデザインデザインデザイン・・・・フローフローフローフロー SmartSpice API 機能機能機能機能

SmartSpiceSmartSpice

AccuCellAccuCell

MemoryMemory

Page 35: On.The.Web.Accucell.EDSF2007 · 2015. 8. 11. · Title: Microsoft PowerPoint - On.The.Web.Accucell.EDSF2007.ppt Author: kashimura Created Date: 1/29/2007 4:05:36 PM

35

AccuCell デザインデザインデザインデザイン・・・・フローフローフローフロー STEP 5

モデル生成

• ユーザーが、コンフィグ・ファイルにて指定したモデルを生成• 各セルごとのモデル・データを一つのライブラリとしてまとめて生成

可能• HTML形式で、データ・シートの出力が可能

AccuCellAccuCellモデル生成

STEP 5

Page 36: On.The.Web.Accucell.EDSF2007 · 2015. 8. 11. · Title: Microsoft PowerPoint - On.The.Web.Accucell.EDSF2007.ppt Author: kashimura Created Date: 1/29/2007 4:05:36 PM

36

AccuCell デザインデザインデザインデザイン・・・・フローフローフローフロー Synopsys .libファイルファイルファイルファイル例例例例

cell (inv) {area : 0;pin (a) {direction : input ;capacitance : 0.01148;clock : false;}pin (y) {direction : output;function : "(!a)";internal_power () {related_pin : "a";rise_power (pwr_template2x2) {index_1 ("0.10000, 0.20000");index_2 ("0.01000, 0.05000");values ("0.09014, 0.21378", ¥

"0.11058, 0.22391");}fall_power (pwr_template2x2) {index_1 ("0.10000, 0.20000");index_2 ("0.01000, 0.05000");values ("-0.04322, -0.17155", ¥

"-0.02703, -0.16291");}}

timing () {related_pin : "a";timing_sense : negative_unate;cell_rise (delay_template2x2) {index_1 ("0.10000, 0.20000");index_2 ("0.01000, 0.05000");values ("0.06763, 0.16838", ¥

"0.09858, 0.19751");}rise_transition (delay_template2x2) {index_1 ("0.10000, 0.20000");index_2 ("0.01000, 0.05000");values ("0.09407, 0.31646", ¥

"0.11881, 0.32827");}cell_fall (delay_template2x2) {index_1 ("0.10000, 0.20000");index_2 ("0.01000, 0.05000");values ("0.02340, 0.08110", ¥

"0.01223, 0.08637");}fall_transition (delay_template2x2) {index_1 ("0.10000, 0.20000");index_2 ("0.01000, 0.05000");values ("0.06579, 0.15559", ¥

"0.09483, 0.18652");}}}cell_leakage_power : "0.22411";}

Page 37: On.The.Web.Accucell.EDSF2007 · 2015. 8. 11. · Title: Microsoft PowerPoint - On.The.Web.Accucell.EDSF2007.ppt Author: kashimura Created Date: 1/29/2007 4:05:36 PM

37

SmartSpice

AccuCell デザインデザインデザインデザイン・・・・フローフローフローフロー STEP 6

ライブラリチェック

• AccuCellの機能より、Verilog ファンクション・ネットリストの出力と

テスト・ベンチ・ファイルが生成されます。• 論理シミュレータSILOSなどを使用して、ライブラリのチェックを実

行可能

AccuCellAccuCellライブラリチェック

STEP 6

Page 38: On.The.Web.Accucell.EDSF2007 · 2015. 8. 11. · Title: Microsoft PowerPoint - On.The.Web.Accucell.EDSF2007.ppt Author: kashimura Created Date: 1/29/2007 4:05:36 PM

38

AccuCell デザインデザインデザインデザイン・・・・フローフローフローフロー Verilogテストテストテストテスト・・・・ベンチベンチベンチベンチ・・・・ファイルファイルファイルファイル例例例例

`define timing

`timescale 1ns/1psmodule test;

reg [48:0] dr ;reg [48:0] cr ;reg d ,c ;wire q ;integer index,count;

DFF DFF (.q ( q ) , .d ( d ) , .c ( c ));

initialbegin

dr = 49'b 0000001110000000000111111110000001111000011111000;cr = 49'b 0010100100100010x01010x01x101x1010x010x011x1011x1;

for (count=49;count>0;count=count-1)begin

index=count-1;d = dr[index] ;c = cr[index] ;#10;

end$finish;

end

initial$monitor($time, " %b%b%b ",d ,c ,q );endmodule

Page 39: On.The.Web.Accucell.EDSF2007 · 2015. 8. 11. · Title: Microsoft PowerPoint - On.The.Web.Accucell.EDSF2007.ppt Author: kashimura Created Date: 1/29/2007 4:05:36 PM

39

AccuCell デザインデザインデザインデザイン・・・・フローフローフローフロー Verilogファンクションファンクションファンクションファンクション・・・・ファイルファイルファイルファイル例例例例

`timescale 1ns/1ps`include "../template.v"`celldefine

module DFF ( q , d , c );

output q ;input d , c ;wire d , c ;wire q ;reg svc_notifier ;`ifdef timingspecify(posedge c => (q : c ) ) = (0.988:1.553:2.127,0.811:1.211:1.613) ;$setup (d , posedge c , 0.096:0.131:0.155, svc_notifier);$hold (posedge c , d , -0.146:-0.109:-0.063, svc_notifier);$width ( negedge c , 0.748094:0.748094:0.748094 , 0 , svc_notifier);

endspecify

`endif // timing`ifdef functionalDFF_q_udp DFF_q_udp ( q , d , c );`endif // functional`ifdef timingDFF_q_udp DFF_q_udp ( q , d , c , svc_notifier );`endif // timing

endmodule`ifdef functionalprimitive DFF_q_udp ( q , d , c ); output q ;reg q ;input d , c ;table

0 r : ? : 0 ; // active clock`ifdef optimism0 p : 0 : 0 ; // active clock - removing pessimism`endif // optimism1 r : ? : 1 ; // active clock`ifdef optimism1 p : 1 : 1 ; // active clock - removing pessimism`endif // optimism? n : ? : - ; // inactive clock* ? : ? : - ; // ignore data edgesendtableendprimitive`endif // functional`ifdef timingprimitive DFF_q_udp ( q , d , c , svc_notifier ); output q ;reg q ;input d , c , svc_notifier ;

table0 r ? : ? : 0 ; // active clock`ifdef optimism0 p ? : 0 : 0 ; // active clock - removing pessimism`endif // optimism1 r ? : ? : 1 ; // active clock`ifdef optimism1 p ? : 1 : 1 ; // active clock - removing pessimism`endif // optimism? n ? : ? : - ; // inactive clock* ? ? : ? : - ; // ignore data edges? ? * : ? : x ; // notifierendtableendprimitive`endif // timing`endcelldefine

Page 40: On.The.Web.Accucell.EDSF2007 · 2015. 8. 11. · Title: Microsoft PowerPoint - On.The.Web.Accucell.EDSF2007.ppt Author: kashimura Created Date: 1/29/2007 4:05:36 PM

40

AccuCell デザインデザインデザインデザイン・・・・フローフローフローフロー データデータデータデータ・・・・シートシートシートシート

Page 41: On.The.Web.Accucell.EDSF2007 · 2015. 8. 11. · Title: Microsoft PowerPoint - On.The.Web.Accucell.EDSF2007.ppt Author: kashimura Created Date: 1/29/2007 4:05:36 PM

41

AccuCell デザインデザインデザインデザイン・・・・フローフローフローフロー データデータデータデータ・・・・シートシートシートシート

Page 42: On.The.Web.Accucell.EDSF2007 · 2015. 8. 11. · Title: Microsoft PowerPoint - On.The.Web.Accucell.EDSF2007.ppt Author: kashimura Created Date: 1/29/2007 4:05:36 PM

42

AccuCell デザインデザインデザインデザイン・・・・フローフローフローフロー データデータデータデータ・・・・シートシートシートシート

Page 43: On.The.Web.Accucell.EDSF2007 · 2015. 8. 11. · Title: Microsoft PowerPoint - On.The.Web.Accucell.EDSF2007.ppt Author: kashimura Created Date: 1/29/2007 4:05:36 PM

43

AccuCell デザインデザインデザインデザイン・・・・フローフローフローフロー SmartViewでのでのでのでの波形表示波形表示波形表示波形表示

波形表示ファイル.rawの出力により、SmartViewでの波形確認が可能

Page 44: On.The.Web.Accucell.EDSF2007 · 2015. 8. 11. · Title: Microsoft PowerPoint - On.The.Web.Accucell.EDSF2007.ppt Author: kashimura Created Date: 1/29/2007 4:05:36 PM

44

AccuCell デザインデザインデザインデザイン・・・・フローフローフローフロー 3333Dグラフィカルグラフィカルグラフィカルグラフィカル・・・・ビュービュービュービュー SmartView

Libertyフォーマット.libをSmartViewに読み込み、3Dグラフィカル・ビューで、ディレイを確認

Page 45: On.The.Web.Accucell.EDSF2007 · 2015. 8. 11. · Title: Microsoft PowerPoint - On.The.Web.Accucell.EDSF2007.ppt Author: kashimura Created Date: 1/29/2007 4:05:36 PM

45

• シグナル・インテグリティ (SI)のサポート強化

� ノイズ・キャラクタライズ用Cadence社 ECSM フォーマットファイル

• ノイズおよびIRドロップの解析が可能

• Cadence社 SignalStormTM / CeltIcTM に対応

� ノイズ・キャラクタライズ用Synopsys社 CCS フォーマットファイル

• ノイズおよびIRドロップの解析が可能

• Synopsys社 Liberty フォーマットのノイズ・モデル

• Synopsys社 Galaxy SITM / PrimeTime SITM に対応

AccuCell and AccuCore ロードロードロードロード・・・・マップマップマップマップ

Page 46: On.The.Web.Accucell.EDSF2007 · 2015. 8. 11. · Title: Microsoft PowerPoint - On.The.Web.Accucell.EDSF2007.ppt Author: kashimura Created Date: 1/29/2007 4:05:36 PM

46

• パフォーマンス強化

� Firebird データベースを統合し、インクリメンタル・キャラクタライゼーショ

ンの実行

� Sun Microsystems の提唱する Grid エンジンを採用

� 並列処理が可能な、マルチ・スレッド実行

• 外部SPICE

� 外部SPICEエンジンとしてSpectreを追加

AccuCell / AccuCore ロードロードロードロード・・・・マップマップマップマップ

Page 47: On.The.Web.Accucell.EDSF2007 · 2015. 8. 11. · Title: Microsoft PowerPoint - On.The.Web.Accucell.EDSF2007.ppt Author: kashimura Created Date: 1/29/2007 4:05:36 PM

47

• Real-Time Remixは、トークンおよびタイム・ベース(年間,月間などの時間単位)のフレキシブルな革命的な新ライセンス・ソリューション

• 全てのシングルスレッド製品およびマルチスレッド製品それぞれに必要な トークン数が決まっており、IC 設計チームは、所有するトークンの合計数の範囲内で自由にSimucad製品を組み合わせて使用可能

• ワイド・エリア・ネットワーク(WAN)でつながれた世界中のすべての設計オフィス間で共同使用可能

• すでに購入済みの、あるいは、将来追加した従来の永久ライセンスや期間限定ライセンスは、Real-Time Remix と併用可能

• Linux 32、Linux 64、Solaris 32、Solaris 64、およびWindowsなどマルチ・プラットフォーム対応ライセンスです

• EDA トークンの年間固定費には、保守料金(技術サポートおよびバージョンアップ)が含まれています

トークントークントークントークン・・・・ライセンスライセンスライセンスライセンス

Page 48: On.The.Web.Accucell.EDSF2007 · 2015. 8. 11. · Title: Microsoft PowerPoint - On.The.Web.Accucell.EDSF2007.ppt Author: kashimura Created Date: 1/29/2007 4:05:36 PM

48

• 製品別およびユーザ別の使用ピークを示すヒストグラム表示や、データベースのエクスポート等の機能を装備したライセンス管理ツールを提供

• 設計チームの規模拡大に応じて、必要な期間のみ、トークンを追加購入することができます

トークントークントークントークン・・・・ライセンスライセンスライセンスライセンス