high bandwidth data transfer on and off- chip for hep ... · the low power gbtx (lpgbtx) low power...

51
High bandwidth data transfer on and off- chip for HEP: modeling, design and verification Tomasz Hemperek, Hans Krüger

Upload: others

Post on 11-Jun-2020

4 views

Category:

Documents


0 download

TRANSCRIPT

Page 1: High bandwidth data transfer on and off- chip for HEP ... · The Low Power GBTX (LpGBTX) Low Power Dissipation and Small Footprint: Target: 500 mW Bandwidth: Low-Power mode 2.56 Gb/s

High bandwidth data transfer on and off-chip for HEP: modeling, design and

verification

Tomasz Hemperek, Hans Krüger

Page 2: High bandwidth data transfer on and off- chip for HEP ... · The Low Power GBTX (LpGBTX) Low Power Dissipation and Small Footprint: Target: 500 mW Bandwidth: Low-Power mode 2.56 Gb/s

Outline

[email protected] 2 IFDEPS 2018

Introduction

Modeling

Design

Verification

Ouput links

Examples

DHP (Belle 2)

RD53A (ATLAS/CMS)

VeloPix (LHCb)

Page 3: High bandwidth data transfer on and off- chip for HEP ... · The Low Power GBTX (LpGBTX) Low Power Dissipation and Small Footprint: Target: 500 mW Bandwidth: Low-Power mode 2.56 Gb/s

Moore's law in HEP (pixel detectors)

Name D-OMEGA Ion LHC1 FE-I3 FE-I4 RD53

Year 1991 ~1996 ~2005 ~2011 2017/2019

Technology Node 3 µm 1µ 250 nm 130 nm 65 nm

Chip size 8.3x6.6 mm2 8x6.35 mm2 10.8x7.6 mm2 10.2x19 mm2 20x22mm2

Pixel size 75x500 µm2 50x500 µm2 50x400 µm2 50x250 µm2 50x50 µm2

Pixel array 16x63 16x127 18x160 80x336 ~400x400

Transistor count ??? 800k 3.5M 80M >500M

[email protected] 3 IFDEPS 2018

Page 4: High bandwidth data transfer on and off- chip for HEP ... · The Low Power GBTX (LpGBTX) Low Power Dissipation and Small Footprint: Target: 500 mW Bandwidth: Low-Power mode 2.56 Gb/s

Memory Density

Technology node 130nm 65nm 28nm

6T SRAM cell (um2) 2.4 0.52 0.127

bit size in memory (um2) ~ 3.2 ~ 0.7 ~ 0.16

10bit words in 100x100um ~ 310 ~ 1430 ~ 6250

NRI/MPW* (mm2) $1000-$2000 $3000-$4000 $8000-$10000

[email protected] 4 IFDEPS 2018

Page 5: High bandwidth data transfer on and off- chip for HEP ... · The Low Power GBTX (LpGBTX) Low Power Dissipation and Small Footprint: Target: 500 mW Bandwidth: Low-Power mode 2.56 Gb/s

[email protected]

Issues in pixel detector readout in HEP

• Time stamping (40MHz) • Hit rate (<3GHz/cm2) • Trigger rate (up to 4MHz) • Wait time for trigger (up to 35us) • Cooling (+40 to -30C) • Cables (up to 6m to DAQ) • Power delivery/support mass • Data Rates (>2Gbits/s/cm2) • Resolution (<15um) • Radiation > 5MGry • SEU/SET

outer

Inner

Radiation levels:

at 5 cm : ~15 MGy (2•1016 neq/cm2)

at 25cm : ~1 MGy (1015 neq/cm2)

* estimates for 10years of operations

5 IFDEPS 2018

Page 6: High bandwidth data transfer on and off- chip for HEP ... · The Low Power GBTX (LpGBTX) Low Power Dissipation and Small Footprint: Target: 500 mW Bandwidth: Low-Power mode 2.56 Gb/s

Hybrid Pixel evolution in HEP

25 mm

RD53 CMOS 65 nm

[email protected]

50

mm

50 mm

Readout Chip

Passive Pixel SensorE

particle track

+

+

+

+

-

-

-

-

+-

+-

+-

+-

+-

+-CLICpix 65 nm

<25 mm

65/28nm + 130-180nm DMAPS

25

mm

?

6 IFDEPS 2018

<30ps timing

Page 7: High bandwidth data transfer on and off- chip for HEP ... · The Low Power GBTX (LpGBTX) Low Power Dissipation and Small Footprint: Target: 500 mW Bandwidth: Low-Power mode 2.56 Gb/s

[email protected]

Switch to big “D”, little “A”

Same pattern for HEP

7 IFDEPS 2018

Page 8: High bandwidth data transfer on and off- chip for HEP ... · The Low Power GBTX (LpGBTX) Low Power Dissipation and Small Footprint: Target: 500 mW Bandwidth: Low-Power mode 2.56 Gb/s

[email protected]

Evolution of array organization

8 IFDEPS 2018

Traditional Design: design 1 pixel step and repeat identical

copies custom made digital

ex. FE-I3 (250nm)

More Recent: design few-pixel region step and repeat identical

copies synthesized digital

ex. FE-I4 (130nm)

Recent: synthesized entire design

with analog IP in a hierarchical way

ex. RD53A (65nm)

Page 9: High bandwidth data transfer on and off- chip for HEP ... · The Low Power GBTX (LpGBTX) Low Power Dissipation and Small Footprint: Target: 500 mW Bandwidth: Low-Power mode 2.56 Gb/s

Modeling - Introduction

[email protected]

FIFO

line driver

compression & framing

channel encoding

Questions: - How to portion pixel array (buffering and readout)? - How wide buses? - How fast clocks (domain crossing)? - What data format/encoding? - How to compress? - How big the FIFOs and how many? - What data processing? - How much time to send and trigger?

in pixel storage/FIFO

pixel bus

FIFO

FIFO

FIFO

FIFO

column FIFO

data concentration

9 IFDEPS 2018

Page 10: High bandwidth data transfer on and off- chip for HEP ... · The Low Power GBTX (LpGBTX) Low Power Dissipation and Small Footprint: Target: 500 mW Bandwidth: Low-Power mode 2.56 Gb/s

Modeling – python (C++…)

[email protected]

https://gist.github.com/themperek/31720b7a186618b17f489a3ad504638c

Simple and good for exploration. Use UVM?

FIFO

FIFO

FIFO

FIFO

FIFO

FIFO

Trigger Data

10 IFDEPS 2018

Page 11: High bandwidth data transfer on and off- chip for HEP ... · The Low Power GBTX (LpGBTX) Low Power Dissipation and Small Footprint: Target: 500 mW Bandwidth: Low-Power mode 2.56 Gb/s

[email protected] IFDEPS 2018 11

Digital Flow – from digital perspective

analog layout

lib abstract (oa) hdl

synthesis

p&r Timing*

timing models (sdf)

simulation

Activity (vcd)

power

lvs/drc/etc

eq

uiv

ale

nce

simulation

The software zoo: Synthesis

Place & Route

Timing & Si

Power Verification

DFT / ATPG

Equivalence

Formal

Simulation (many tools)

Page 12: High bandwidth data transfer on and off- chip for HEP ... · The Low Power GBTX (LpGBTX) Low Power Dissipation and Small Footprint: Target: 500 mW Bandwidth: Low-Power mode 2.56 Gb/s

The design (RD53A)

[email protected]

192x400 pixels

8x8 pix

8x8 pix

8x8 pix

8x8 pix

1x4 pixel region

4x4 pixel region

OR

8x8 Pixel Core

8x8 Pixel Core

8x8 Pixel Core

8x8 Pixel Core

Digital Chip Bottom

8x8 Pixel Core

8x8 Pixel Core

~ 2

70 µ

m

~ 1

.5 m

m

MacroCOL Bias

70 mm

An

alo

g B

IA

S

Bia

s

Bia

s

Sensitive area 192 rows x 400

columns

MacroCOL Bias

MacroCOL Bias

Padframe

ShLDO_An ShLDO_Dig Driver/Rec

Digital Chip Bottom (DCB)

Dig

ital li

nes

ADC Calibr.

Bias DACs Sensors CDR/PLL

POR

Analog Chip Bottom (ACB)

Ring osc.

Top pad row (debug)

9.6

mm

An

alo

g B

IA

S

An

alo

g B

IA

S

Dig

ital li

nes

Dig

ital li

nes

ShLDO_An ShLDO_Dig ShLDO_An ShLDO_Dig ShLDO_An ShLDO_Dig

Fully digital with analog IP

Hierarchical

Fully automated

1 day to resin and verify the whole chip

12 IFDEPS 2018

Page 13: High bandwidth data transfer on and off- chip for HEP ... · The Low Power GBTX (LpGBTX) Low Power Dissipation and Small Footprint: Target: 500 mW Bandwidth: Low-Power mode 2.56 Gb/s

Verification Introduction

[email protected]

Verification takes more time then design.

It has to start before/together with the design.

Failing a $1M chip (65nm) is not a good idea.

No way out for complex digital chips.

ASIC Golden Model

real data random data

bad data

?

report coverage

13 IFDEPS 2018

Verification Plan -> Most important part (trash in trash out)

Page 14: High bandwidth data transfer on and off- chip for HEP ... · The Low Power GBTX (LpGBTX) Low Power Dissipation and Small Footprint: Target: 500 mW Bandwidth: Low-Power mode 2.56 Gb/s

Universal Verification Methodology (UVM)

[email protected]

https://arxiv.org/pdf/1408.3232.pdf

14 IFDEPS 2018

Very complex industrial standard Formall verification ...

Example for RD53A: UVM

Page 15: High bandwidth data transfer on and off- chip for HEP ... · The Low Power GBTX (LpGBTX) Low Power Dissipation and Small Footprint: Target: 500 mW Bandwidth: Low-Power mode 2.56 Gb/s

[email protected] IFDEPS 2018 15

Verification – DAQ Integration

ASIC

FPGA Firmware

slow control

data receiver

bu

s

fifo

HDL/Simulation

Simulation

Ethernet/USB/PCIe

Control/PC

Basil

python tests

Exactly the same python code can be used for testing physical chip.

Same firmware for FPGA (ready to use by DAQ). DAQ specification.

Can get first data within minutes from chip delivery.

Solution in C++ and other languages exist,

Page 16: High bandwidth data transfer on and off- chip for HEP ... · The Low Power GBTX (LpGBTX) Low Power Dissipation and Small Footprint: Target: 500 mW Bandwidth: Low-Power mode 2.56 Gb/s

[email protected] IFDEPS 2018 16

Work organization/procedures

• We use version control (for everything) – Branching for new features

– Poll request review on request

– Features and bugs discussions via issues system (not email)

• Continuous integration

• Agile development vs waterfall

Page 17: High bandwidth data transfer on and off- chip for HEP ... · The Low Power GBTX (LpGBTX) Low Power Dissipation and Small Footprint: Target: 500 mW Bandwidth: Low-Power mode 2.56 Gb/s

Output Links

[email protected]

Channel Coding

Clocking/PLL

Serializer Line Driver

17 IFDEPS 2018

Limitation is often cables no the transmitter.

Page 18: High bandwidth data transfer on and off- chip for HEP ... · The Low Power GBTX (LpGBTX) Low Power Dissipation and Small Footprint: Target: 500 mW Bandwidth: Low-Power mode 2.56 Gb/s

Output Links – Line encoding

[email protected]

Examples: 8b/10b :Ethernet, Fibre Channel, high-speed video applications Ex. implemetation: Aurora 8b10b from Xilinx 64b66b: SONET and SDH telecommunication Ex. implemetation: Aurora 64b66b from Xilinx

18 IFDEPS 2018

Considerations: Run length DC balance Hamming distance Support by DAQ Framing/Streaming

Cables

Page 19: High bandwidth data transfer on and off- chip for HEP ... · The Low Power GBTX (LpGBTX) Low Power Dissipation and Small Footprint: Target: 500 mW Bandwidth: Low-Power mode 2.56 Gb/s

[email protected] IFDEPS 2018 19

Output Links - Clocking

Phase Locked Loop (PLL)

Serializer

Page 20: High bandwidth data transfer on and off- chip for HEP ... · The Low Power GBTX (LpGBTX) Low Power Dissipation and Small Footprint: Target: 500 mW Bandwidth: Low-Power mode 2.56 Gb/s

Output Links – Line Driver

[email protected] 20 IFDEPS 2018

IN-IN+

OUT+

IN-

LVDS CML SST

Power: ~3-4mA Speed: ~1Gbit/s

Power: ~20-30mA Speed: >10Gbit/s

Power: lower then CML Speed: >10Gbit/s

IN-

IN+

OUT+

OUT-

Page 21: High bandwidth data transfer on and off- chip for HEP ... · The Low Power GBTX (LpGBTX) Low Power Dissipation and Small Footprint: Target: 500 mW Bandwidth: Low-Power mode 2.56 Gb/s

[email protected] IFDEPS 2018 -21-

Pre-emphasis

Implementation with a digital filter (FIR filter)

• 2-tap FIR

• 3-tap FIR

a1 a0

dt

IN

OUT

a1 a0

dt

a2

dt IN

OUT

a0=0.75 a1=-0.25

a0=-0.1 a1=0.7 a2=-0.2

dt=500ps

3 taps allow steeper transfer function compared to 2 tap

Page 22: High bandwidth data transfer on and off- chip for HEP ... · The Low Power GBTX (LpGBTX) Low Power Dissipation and Small Footprint: Target: 500 mW Bandwidth: Low-Power mode 2.56 Gb/s

EXAMPLES

[email protected] IFDEPS 2018 22

Page 23: High bandwidth data transfer on and off- chip for HEP ... · The Low Power GBTX (LpGBTX) Low Power Dissipation and Small Footprint: Target: 500 mW Bandwidth: Low-Power mode 2.56 Gb/s

[email protected] IFDEPS 2018 23

DHP - BELLE 2 Pixel Module

Page 24: High bandwidth data transfer on and off- chip for HEP ... · The Low Power GBTX (LpGBTX) Low Power Dissipation and Small Footprint: Target: 500 mW Bandwidth: Low-Power mode 2.56 Gb/s

Examples – DHP

[email protected]

3 mm

4 m

m

300k Gates, >3MB SRAM(~100 Blocks)

PLL, 1.6Gb/s serial link, CML preamhasis

~100 x LVDS and HSTL IO

12x DACs, ADC

4 Power Domains, 2 Clock Domains, Lot of FIFOs/memory and processing.

24 IFDEPS 2018

DCD chip256 inputs, 8-bit ADC per input4:1 output MUX

768x250 pixel 192x1000 channelsDEPFET Matrix (rows x cols)

PLL

Switcher

sequencer

Deserializer

Raw data memory

Pedestal subtraction

Common mode correction

FIFO 1

Hit finder

FIFO 2

Link layer framing

8b/10b protocol

Gbit TXDHP

JTAG

Analog blocks

320MHz Offset memory

Pedestalmemory

1.6GHz

6 channels perDCD will be

left unconnected

one 1.6GB output link per chip

20.6 Gbps (320Mbps x 64 lines)

~10MHz read-out frequency (ADC)

Per DHP chip: • 8x8 bit wide data

inputs • 8x2 bit wide offset

correction outputs

To SWITCHERS

~ 150GBit/s per pixel detector

Page 25: High bandwidth data transfer on and off- chip for HEP ... · The Low Power GBTX (LpGBTX) Low Power Dissipation and Small Footprint: Target: 500 mW Bandwidth: Low-Power mode 2.56 Gb/s

[email protected]

DHP - Modeling/Verification

25 IFDEPS 2018

C++ model UVM Test Enviromtn

Page 26: High bandwidth data transfer on and off- chip for HEP ... · The Low Power GBTX (LpGBTX) Low Power Dissipation and Small Footprint: Target: 500 mW Bandwidth: Low-Power mode 2.56 Gb/s

[email protected]

DHP – Output link – CML 1.6 Gbit/s

Preemphasis Off Preemphasis On

26 IFDEPS 2018

20m cables

Page 27: High bandwidth data transfer on and off- chip for HEP ... · The Low Power GBTX (LpGBTX) Low Power Dissipation and Small Footprint: Target: 500 mW Bandwidth: Low-Power mode 2.56 Gb/s

[email protected] 27

ATLAS @ LHC

IFDEPS 2018

Page 28: High bandwidth data transfer on and off- chip for HEP ... · The Low Power GBTX (LpGBTX) Low Power Dissipation and Small Footprint: Target: 500 mW Bandwidth: Low-Power mode 2.56 Gb/s

RD53A – ATLAS/CMS Prototype

[email protected] 28 IFDEPS 2018

- Full operation with 2 differential lines - 3GHz/cm2 hit rate - ~160k pixels (finally) - 25ns time resoltion - 12-35us trigger deleay/latency - 1/10 trigger (4MHz) - 600e- threshold - ADCs - DACs - LDOs/ShuntLDOs - Temperature Sensors - 5Gbit/s output bandwidth - JTAG - …

>40k FE (~6G pixels)

Page 29: High bandwidth data transfer on and off- chip for HEP ... · The Low Power GBTX (LpGBTX) Low Power Dissipation and Small Footprint: Target: 500 mW Bandwidth: Low-Power mode 2.56 Gb/s

1) 50% Analog Front End (AFE) 50% Digital cells

AFE

Digital logic

35 15

2) The pixel matrix is built up of 8 x 8 pixel cores 16 analog islands (quads) embedded in a flat digital synthesized sea

3) A pixel core can be simulated at transistor level with analog simulator 4) All cores (for each FE flavour) are identical Hierarchical verifications

2x2 quad

[email protected] IFDEPS 2018 29

50µm X 50µm Pixel floorplan

Page 30: High bandwidth data transfer on and off- chip for HEP ... · The Low Power GBTX (LpGBTX) Low Power Dissipation and Small Footprint: Target: 500 mW Bandwidth: Low-Power mode 2.56 Gb/s

basic layout unit: 8x8 digital Pixel Core synthesized as one digital circuit

192 x 400 pixels

8x8 pix

8x8 pix

8x8 pix

8x8 pix

1x4 pixel

region

2x8 pixel

region

OR

• One Pixel Core contains multiple Pixel Regions (PR) and some additional arbitration and clock logic

• Pixel Regions share most of logic and trigger latency buffering

Distributed Buffering Architecture (DBA) : • Distributed TOT storage (in pixel) • Integrated with Lin and Diff FE

Centralized Buffering Architecture (CBA) • Centralized TOT storage (in region) • Integrated with Sync FE (Fast ToT)

[email protected]

Pixel array logic organization

30 IFDEPS 2018

Page 31: High bandwidth data transfer on and off- chip for HEP ... · The Low Power GBTX (LpGBTX) Low Power Dissipation and Small Footprint: Target: 500 mW Bandwidth: Low-Power mode 2.56 Gb/s

[email protected] IFDEPS 2018 31

RD53A - Centralized Buffer Architecture - 2x8

Page 32: High bandwidth data transfer on and off- chip for HEP ... · The Low Power GBTX (LpGBTX) Low Power Dissipation and Small Footprint: Target: 500 mW Bandwidth: Low-Power mode 2.56 Gb/s

~ 3

00

mm

~

15

00

mm

MacroCOL Bias 70 mm

An

alo

g B

IAS

Bia

s

Bia

s

An

alo

g B

IAS

An

alo

g B

IAS

Dig

ital

lin

es

Dig

ital

lin

es 192 rows x 400 columns

MacroCOL Bias

MacroCOL Bias

Dig

ital

lin

es

IO frame

ShLDO_An ShLDO_Dig ShLDO_An ShLDO_Dig ShLDO_An ShLDO_Dig ShLDO_An ShLDO_Dig Driver/Rec

Dig

ital

lin

es

Digital Chip Bottom (DCB) [sorting, buffering, even bilding, trigering, encoding (compresion) ...]

Dig

ital

lin

es

ADC Calibr. Bias DACs Bias DACs CDR/PLL POR

Analog Chip Bottom (ACB)

[email protected] IFDEPS 2018 32

RD53A

Page 33: High bandwidth data transfer on and off- chip for HEP ... · The Low Power GBTX (LpGBTX) Low Power Dissipation and Small Footprint: Target: 500 mW Bandwidth: Low-Power mode 2.56 Gb/s

[email protected] IFDEPS 2018 33

RD53A – Ouput Link

PLL/CDR

VCO=1.28G20b

SERIALIZER

CML TX

PRE-EMPHASIS

TX_P

TX_N

DA

TA

DIGITAL CORE

(AURORA FRAMING)

1.2

8G

bit/s

4x

CL

K

160

MH

z

Configurable 3-tap pre-emphasis filter:

- Cable limited - Fiber -> radiation

Page 34: High bandwidth data transfer on and off- chip for HEP ... · The Low Power GBTX (LpGBTX) Low Power Dissipation and Small Footprint: Target: 500 mW Bandwidth: Low-Power mode 2.56 Gb/s

The Low Power GBTX (LpGBTX)

Low Power Dissipation and Small Footprint:

Target: 500 mW

Bandwidth:

Low-Power mode

2.56 Gb/s for the optical down link

5.12 Gb/s for the optical up link

High-Speed mode:

2.56 Gb/s for the optical down link

10.24 Gb/s for the optical up link

[email protected] IFDEPS 2018 34

Page 35: High bandwidth data transfer on and off- chip for HEP ... · The Low Power GBTX (LpGBTX) Low Power Dissipation and Small Footprint: Target: 500 mW Bandwidth: Low-Power mode 2.56 Gb/s

[email protected] IFDEPS 2018 35

VeloPix - LHCb

• Vertex detector surrounding collision region – In vacuum – Close to the beam: 5.1 mm

• From silicon strips to pixels • New R/O chip VeloPix, derived from Timepix3 • In total 624 ASICs, ~41 Mpixels • Trigger-less readout (~2.9 Tbits/s)

Page 36: High bandwidth data transfer on and off- chip for HEP ... · The Low Power GBTX (LpGBTX) Low Power Dissipation and Small Footprint: Target: 500 mW Bandwidth: Low-Power mode 2.56 Gb/s

Examples – VeloPix

[email protected]

• Pixel matrix: – 256 x 256 pixels – 128 x 64 super pixels (2x4 pixels each) – @40MHz

• Packet-based architecture:

– 8 pixels/packet + 9 bit time stamp 30% reduction in data rate

• Data-driven readout:

– 20 Mpackets/s/double column

• 40, 80, 160 and 320 MHz TMR clock domains

in the periphery • 1 to 4 configurable serializers (GWT)

• Similar to the GBT frame

36 IFDEPS 2018

Page 37: High bandwidth data transfer on and off- chip for HEP ... · The Low Power GBTX (LpGBTX) Low Power Dissipation and Small Footprint: Target: 500 mW Bandwidth: Low-Power mode 2.56 Gb/s

64 EoCs 64 EoCs

Left Data Fabric

Right Data Fabric

Cen

ter

N

od

e

Pixel Matrix

FIFO

19.20 Gbps

Data Node

Router/ Scramblers/ Framing

4.8 Gbps per bus

4 x 5.12 Gbps GWT link

Data out 160 Mpackets/s/node

64x up to 20 Mpackets/s 64x up to 20 Mpackets/s

IFDEPS 2018 37

Periphery data path

[email protected]

Page 38: High bandwidth data transfer on and off- chip for HEP ... · The Low Power GBTX (LpGBTX) Low Power Dissipation and Small Footprint: Target: 500 mW Bandwidth: Low-Power mode 2.56 Gb/s

[email protected]

VeloPix - GWT

dc: 13mA ,

ripples: <2mV p-p

MUX 16 phases

Reg

<0:7>

data

8bit @

320MHz

Reg

<8:15>

data

8bit @

320MHz

Driver

negedge

posedge

CM

OS

Multi-phase DLL Edge-

combiner

ph_0

ph_1

ph_2

ph_3

ph_14

ph_15

VDD_HS

GND_HS

GND_CORE

VDD_CORE

serialized data @ 5.12 Gbps

dc: 7mA ,

ripples: <2mV p-p

• Low power 5.12 Gbps byte-interleaved serializer and wireline transmitter

320MHz

38 IFDEPS 2018

Page 39: High bandwidth data transfer on and off- chip for HEP ... · The Low Power GBTX (LpGBTX) Low Power Dissipation and Small Footprint: Target: 500 mW Bandwidth: Low-Power mode 2.56 Gb/s

Example possibilities

[email protected]

- Integrate storage and data processing it single pixels: - pattern recognition - histogramming (in pixel spectral analysis) - conversion to photons -> compression - clustering and subpixel counting (COG) - infinite* dynamic range

100 um

10

0 u

m

SRAM 384x40 bits

100x198

um

ADC ADC

ADC ADC

FE

FE

FE FE

SRAM 3072x40 bits

368x231 um

ADC TDC

ADC TDC

ADCTOT

ADC TDC

200 um

20

0 u

m

FE

FE FE

FE

39 IFDEPS 2018

25

50 um

50

um

SRAM ~800x40

bits

AD

C

AD

C

FE

FE

FE

65nm

65nm 28nm

FE

Page 40: High bandwidth data transfer on and off- chip for HEP ... · The Low Power GBTX (LpGBTX) Low Power Dissipation and Small Footprint: Target: 500 mW Bandwidth: Low-Power mode 2.56 Gb/s

Conclusions

[email protected] 40 IFDEPS 2018

Move from digitally assisted analog design to analog assisted digital

Chips are more complex with lot of memory and data processing

New tools for design

Different type of verification (mistakes are very expensive)

High speed serial communication

Lot of opportunities in exploring small feature size

Page 41: High bandwidth data transfer on and off- chip for HEP ... · The Low Power GBTX (LpGBTX) Low Power Dissipation and Small Footprint: Target: 500 mW Bandwidth: Low-Power mode 2.56 Gb/s

Thank you!

Page 42: High bandwidth data transfer on and off- chip for HEP ... · The Low Power GBTX (LpGBTX) Low Power Dissipation and Small Footprint: Target: 500 mW Bandwidth: Low-Power mode 2.56 Gb/s

[email protected]

Design Flow – from analog perspective

Analog Chip Bottom

(Virtuoso/ADE-XL) PADFRAME

(Virtuoso/ADE-XL)

Top Level

(RTL/Innovus/Verilog)

LEF/

LIB

Simulation –System Verilog/UVM –Python –Verilog AMS (Wreal) –Fast Spice

Digital Chip Bottom

(RTL/Verilog)

Calibre DRC/LVS

Tapeout

gd

s

.v, .vams

PIXEL ARRAY

Analog front-end (Virtuoso/ADE-XL)

PIXEL CORE (8x8) (RTL/Innovus/Verilog)

PIXEL CORE (8x8) (Virtuoso/ADE-XL)

(DRC/LVS)

LEF/

LIB

O

A

VCD stimuli to an. blocks

42 IFDEPS 2018

Page 43: High bandwidth data transfer on and off- chip for HEP ... · The Low Power GBTX (LpGBTX) Low Power Dissipation and Small Footprint: Target: 500 mW Bandwidth: Low-Power mode 2.56 Gb/s

[email protected]

SAR ADC IN 65nm - Layout

40 um

70

um

Layout is not area optimal Possible de-cup under DAC?

DAC

Only external sample signal needed!

43 IFDEPS 2018

Page 44: High bandwidth data transfer on and off- chip for HEP ... · The Low Power GBTX (LpGBTX) Low Power Dissipation and Small Footprint: Target: 500 mW Bandwidth: Low-Power mode 2.56 Gb/s

[email protected] IFDEPS 2018 -44-

Pre-emphasis

Implementation with a digital filter (FIR filter)

• 2-tap FIR

• 3-tap FIR

a1 a0

dt

IN

OUT

a1 a0

dt

a2

dt IN

OUT

a0=0.75 a1=-0.25

a0=-0.1 a1=0.7 a2=-0.2

dt=500ps

3 taps allow steeper transfer function compared to 2 tap

Page 45: High bandwidth data transfer on and off- chip for HEP ... · The Low Power GBTX (LpGBTX) Low Power Dissipation and Small Footprint: Target: 500 mW Bandwidth: Low-Power mode 2.56 Gb/s

IFDEPS 2018 45

CML Cable Driver Implementation (RD53A)

TXDATA_N TXDATA_P

CML output configuration • EN • TAP0_BIAS[9:0] • TAP1_BIAS[9:0] • TAP2_BIAS[9:0]

0

SER_DATA

SER_CLK

0

INV_TAP1 EN_TAP1 INV_TAP2 EN_TAP2

Tap configuration

ITAP0

50

50

pre- driver

ITAP1 ITAP2

TAP1

Output stage

TAP0

TAP2

EN

TAP configuration • INV_TAP[2:1] • EN_TAP[2:1]

TAP0

TAP1

TAP2

Configurable 3-tap pre-emphasis filter

[email protected]

Page 46: High bandwidth data transfer on and off- chip for HEP ... · The Low Power GBTX (LpGBTX) Low Power Dissipation and Small Footprint: Target: 500 mW Bandwidth: Low-Power mode 2.56 Gb/s

[email protected] IFDEPS 2018 46

CML Cable Driver Implementation (RD53A)

pre-driver output stage

pre-tap

main post-tap

prog. delay prog.

amplitudes

CML_TX simulation • “no-load” • pre- and post-tap active • DEL_POST= 3, DEL_PRE = 0) • IN_MAIN bias =[3, 4, 5 mA)

Page 47: High bandwidth data transfer on and off- chip for HEP ... · The Low Power GBTX (LpGBTX) Low Power Dissipation and Small Footprint: Target: 500 mW Bandwidth: Low-Power mode 2.56 Gb/s

Modeling – UVM/Verilog

[email protected]

http://ieeexplore.ieee.org/document/8069646/

A lot of work. Can be reused for verification.

47 IFDEPS 2018

Page 48: High bandwidth data transfer on and off- chip for HEP ... · The Low Power GBTX (LpGBTX) Low Power Dissipation and Small Footprint: Target: 500 mW Bandwidth: Low-Power mode 2.56 Gb/s

[email protected]

Verification Plan

48 IFDEPS 2018

Verification Plan

Most important part.

Page 49: High bandwidth data transfer on and off- chip for HEP ... · The Low Power GBTX (LpGBTX) Low Power Dissipation and Small Footprint: Target: 500 mW Bandwidth: Low-Power mode 2.56 Gb/s

Waterfall vs Agile

Changing and unclear specifications?

[email protected] 49 IFDEPS 2018

Page 50: High bandwidth data transfer on and off- chip for HEP ... · The Low Power GBTX (LpGBTX) Low Power Dissipation and Small Footprint: Target: 500 mW Bandwidth: Low-Power mode 2.56 Gb/s

Verification - Formal

[email protected]

// SystemVerilog Assertion property p_arb; @(posedge clk) req |=> ##[0:2] gnt; endproperty assert property (p_arb);

50 IFDEPS 2018

Single Event Upsets …

Page 51: High bandwidth data transfer on and off- chip for HEP ... · The Low Power GBTX (LpGBTX) Low Power Dissipation and Small Footprint: Target: 500 mW Bandwidth: Low-Power mode 2.56 Gb/s

[email protected]

Design Flow

• Design in “big A small D” methodology • Blocks designed and verified individually • Full chip digital and mixed-signal verification • Work synchronization with integrated Revision Control System • Big chip = many difficulties with software and PDK!

Analog Block

Design & Verification

Digital Block

Design & Verification

Integration

Full Chip

Verification

51 IFDEPS 2018