hdmi 1.4/2.0 receiver subsystem v2 - ザイリンクス - all ... 1.4/2.0 rx subsystem 6 pg236 2017...

85
HDMI 1.4/2.0 Receiver Subsystem v2.0 製品ガ イ ド Vivado Design Suite PG236 2017 4 5 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に よっては英語版の更新に対応していないものがあります。日本語版は参考用としてご使用の上、最新情報につきまし ては、必ず最新英語版をご参照ください。

Upload: lenga

Post on 24-Mar-2018

250 views

Category:

Documents


2 download

TRANSCRIPT

Page 1: HDMI 1.4/2.0 Receiver Subsystem v2 - ザイリンクス - All ... 1.4/2.0 RX Subsystem 6 PG236 2017 年 4 月 5 日 japan.xilinx.com 第 1 章: 概要 ライセンスおよび注文情報

HDMI 1.4/2.0 Receiver Subsystem v2.0

製品ガイド

Vivado Design Suite

PG236 2017 年 4 月 5 日

この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料によっては英語版の更新に対応していないものがあります。日本語版は参考用としてご使用の上、最新情報につきましては、必ず最新英語版をご参照ください。

Page 2: HDMI 1.4/2.0 Receiver Subsystem v2 - ザイリンクス - All ... 1.4/2.0 RX Subsystem 6 PG236 2017 年 4 月 5 日 japan.xilinx.com 第 1 章: 概要 ライセンスおよび注文情報

Shortened Title with Core Version 2PG000 (v6.0) 2016 年 2 月 22 日 japan.xilinx.com

目次

IP の概要

第 1 章: 概要アプリ ケーシ ョ ン . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5

サポート されていない機能 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5

ラ イセンスおよび注文情報 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6

第 2 章: 製品仕様規格 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12

性能 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12

リ ソース使用状況 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12

ポートの説明 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13

ク ロ ッ ク と リセッ ト . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 28

第 3 章: サブシステムを使用するデザイン一般的なデザイン ガイ ド ライン . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 29

ク ロ ッキング . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 34

リセッ ト . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 36

第 4 章: デザイン フローの手順サブシステムのカスタマイズおよび生成 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 37

サブシステムへの制約 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 43

シ ミ ュレーシ ョ ン . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 45

合成およびインプリ メンテーシ ョ ン . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 45

第 5 章: サンプル デザインリ ファレンス デザインの実行 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 46

付録 A: 検証、 互換性、 相互運用性相互運用性 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 63

ハードウェア テス ト . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 63

ビデオ解像度 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 64

付録 B: デバッグザイ リ ンクス ウェブサイ ト . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 68

デバッグ ツール . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 69

ハードウェア デバッグ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 70

インターフェイスのデバッグ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 70

付録 C: アプリケーシ ョ ン ソフ トウェア開発デバイス ド ラ イバー . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 71

Page 3: HDMI 1.4/2.0 Receiver Subsystem v2 - ザイリンクス - All ... 1.4/2.0 RX Subsystem 6 PG236 2017 年 4 月 5 日 japan.xilinx.com 第 1 章: 概要 ライセンスおよび注文情報

Shortened Title with Core Version 3PG000 (v6.0) 2016 年 2 月 22 日 japan.xilinx.com

付録 D: その他のリソースおよび法的通知ザイ リ ンクス リ ソース . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 83

参考資料 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 83

改訂履歴 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 84

法的通知 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 85

Page 4: HDMI 1.4/2.0 Receiver Subsystem v2 - ザイリンクス - All ... 1.4/2.0 RX Subsystem 6 PG236 2017 年 4 月 5 日 japan.xilinx.com 第 1 章: 概要 ライセンスおよび注文情報

HDMI 1.4/2.0 RX Subsystem 4PG236 2017 年 4 月 5 日 japan.xilinx.com Production 製品仕様

はじめに

HDMI 1.4/2.0 Receiver Subsystem は複数の HDMI® RX IP サブコア 1 つにまとめた階層型 IP で、 これらを 1 つの IP と して出力します。 HDMI 1.4/2.0 Receiver Subsystem はそのままですぐに使用できるため、 サブコアを一から組み立てるこ となく HDMI RX システムを構築して動作させるこ とができます。

機能

• HDMI 2.0/1.4b 互換

• 1 ク ロ ッ ク入力につき 2 または 4 シンボル/ピクセルをサポート

• 最大 4,096 x 2,160 @ 60fps の解像度をサポート

• 8、 10、 12、 および 16 ビッ トのディープ カラーをサポート

• RGB、 YUV 4:4:4、 YUV 4:2:2、 YUV 4:2:0 の色空間をサポート

• AXI4-Stream ビデオ出力ス ト リームとネイティブ ビデオ出力ス ト リームをサポート

• 最大 8 チャネルのオーディオをサポート

• InfoFrame

• データ ディ スプレイ チャネル (DDC)

• ホッ ト プラグ検出

• 3D ビデオをサポート

• High-bandwidth Digital Copy Protection (HDCP) 1.4 をサポート (オプシ ョ ン)

• HDCP 2.2 をサポート (オプシ ョ ン)

• Video over AXIS 準拠の NTSC/PAL をサポート (オプション)

• Video over AXIS 準拠の YUV420 をサポート (オプシ ョ ン)

• HPD アクティブ極性をサポート (オプシ ョ ン)

• ケーブル検出アクティブ極性をサポート (オプシ ョ ン)

IP の概要

この LogiCORE™ IP について

サブシステムの概要

サポート されるデ

バイス ファ ミ リ (1)

UltraScale+™ ファ ミ リ (GTHE4)UltraScale™ アーキテクチャ (GTHE3)

Zynq®-7000 All Programmable SoC

7 シ リーズ (GTXE2、 GTHE2)Artix®-7 (GTPE2)

サポート される

ユーザー インターフェイス

AXI4-Lite、 AXI4-Stream

リ ソースPerformance and Resource Utilization

(ウェブ ページ)

サブシステムに含まれるもの

デザイン ファイル RTL

サンプル デザイン Vivado IP インテグレーター

テス トベンチ なし

制約ファイル XDC

シ ミ ュレーシ ョ ン モデル

なし

サポート される ソフ ト ウェア ド ラ イバー (2)

スタンドアロン

テスト済みデザイン フロー (3)

デザイン入力 Vivado® Design Suite

シ ミ ュレーシ ョ ン

サポート されるシ ミ ュレータについては、

『Vivado Design Suite ユーザー ガイ ド :リ リース ノート ガイ ド、 インス トール

およびライセンス』 を参照

合成 Vivado 合成

サポート

ザイ リ ンクス サポート ウェブ ページで提供

注記:1. サポート されているデバイスの一覧は、 Vivado IP カタログを参

照して ください。

2. スタンドアロン ド ライバーの詳細は、 SDK ディ レク ト リ (<install_directory>/doc/usenglish/xilinx_drivers.htm) を参照して く

ださい。 Linux OS およびド ライバー サポートの情報は、 Xilinx Wiki ページを参照して ください。

3. サポート されているツールのバージ ョ ンは、

『Vivado Design Suite ユーザー ガイ ド : リ リース ノート ガイ ド、

インス トールおよびライセンス』 を参照してください。

Page 5: HDMI 1.4/2.0 Receiver Subsystem v2 - ザイリンクス - All ... 1.4/2.0 RX Subsystem 6 PG236 2017 年 4 月 5 日 japan.xilinx.com 第 1 章: 概要 ライセンスおよび注文情報

HDMI 1.4/2.0 RX Subsystem 5PG236 2017 年 4 月 5 日 japan.xilinx.com

第 1 章

概要HDMI 1.4/2.0 Receiver Subsystem は、 物理層と接続して HDMI デコード機能を実行するのに必要なロジッ クをすべて備えた高機能なソフ ト IP です。 このサブシステムは階層型 IP で、 HDMI RX に関連する複数のサブ コアを 1 つの IP にま とめて出力します。 これはキャプチャされた TMDS データをビデオ物理層から受け取り ます。 次に、 HDMI スト リームからビデオ ス ト リームとオーディオ ス ト リームを抽出し、 ビデオ ス ト リームとオーディオ ス ト リームに変換します。

このサブシステムの性能と品質は、 設計時に Vivado® 統合設計環境 (IDE) で完全にコンフ ィギュレーシ ョ ンできます。

アプリケーシ ョ ン

HDMI (High-Definition Multimedia Interface) はビデオとオーディオの転送に使用する一般的なインターフェイス と して、 DVD、 メディア プレーヤー、 デジタル テレビ、 デジタル ビデオ カメ ラ、 モバイル タブレッ ト 、 スマート フォンなどほとんどの民生ビデオ機器に採用されています。 また、 業務用カメ ラ、 ビデオ スイ ッチャー、 コンバーター、モニター、 およびビデオ ウォールや公共ディ スプレイ サインなど業務用途でも広く採用されています。

このサブシステムでテス ト済みのビデオ解像度については、 付録 A 「検証、 互換性、 相互運用性」 を参照してください。

サポート されていない機能

このサブシステムでは、 次の機能はサポート されていません。

• リ ップ シンク

• CEC

• HEAC

• HDMI 2.0 デュアル表示

• HDMI 2.0 マルチ ス ト リーム オーディオ

Page 6: HDMI 1.4/2.0 Receiver Subsystem v2 - ザイリンクス - All ... 1.4/2.0 RX Subsystem 6 PG236 2017 年 4 月 5 日 japan.xilinx.com 第 1 章: 概要 ライセンスおよび注文情報

HDMI 1.4/2.0 RX Subsystem 6PG236 2017 年 4 月 5 日 japan.xilinx.com

第 1 章: 概要

ライセンスおよび注文情報

ライセンス チェ ッカー

IP にライセンス キーが必要な場合、 そのキーの認証が必要です。 Vivado® デザイン ツールでは、 設計フローにライセンスが必要な IP の使用をゲーティングする、 ライセンス チェッ クポイン トが複数あ り ます。 ライセンス チェッ クが正常に終了する と、 IP の生成が継続されます。 正常に終了しなければ、 IP の生成はエラーとな り停止します。 ライセンス チェッ クポイン トが適用されるのは、 次のツールです。

• Vivado 合成

• Vivado インプリ メンテーシ ョ ン

• write_bitstream (Tcl コマンド )

重要: チェッ クポイン トでは、 IP のライセンス レベルは無視されます。 有効なライセンスの有無のみを検証します。IP ラ イセンス レベルは確認しません。

ハード ウェア評価ライセンスを使用している場合、 コアはタイムアウ ト後に HDMI ス ト リームの送信を停止します。このタイムアウ トはシステム CPU ク ロ ッ クに基づきます。 たとえば、 100MHz で動作するシステムでハード ウェア評価ライセンスを使用した場合、 通常動作を開始してから約 4 時間後に IP がタイムアウ ト します。

ライセンスの種類

このザイ リ ンクス LogiCORE™ IP モジュールは、 ザイ リ ンクス コア ライセンス契約の条件に基づいて提供されます。 このモジュールは、 Vivado® Design Suite に付属します。 シ ミ ュレーシ ョ ンおよびハード ウェアでサブシステムのすべての機能を利用するには、 コアのライセンスをご購入いただく必要があ り ます。 価格および提供状況については、 ザイ リ ンクス販売代理店にお問い合わせください。

詳細は、 ザイ リ ンクス サイ トの HDMI ウェブ ページを参照してください。

その他のザイ リ ンクス LogiCORE IP モジュールに関する情報は、 ザイ リ ンクス IP コアのページを参照してください。 その他のザイ リ ンクス LogiCORE IP モジュールおよびツールの価格や提供状況については、 ザイ リ ンクス販売代理店にお問い合わせください。

Page 7: HDMI 1.4/2.0 Receiver Subsystem v2 - ザイリンクス - All ... 1.4/2.0 RX Subsystem 6 PG236 2017 年 4 月 5 日 japan.xilinx.com 第 1 章: 概要 ライセンスおよび注文情報

HDMI 1.4/2.0 RX Subsystem 7PG236 2017 年 4 月 5 日 japan.xilinx.com

第 2 章

製品仕様この章では、 サブシステムとそのパフォーマンスおよびリ ソース使用量の詳細について説明します。

図 2-1 に、 HDMI 1.4/2.0 Receiver Subsystem の概略ブロ ッ ク図を示します。

HDMI RX Subsystem は HDMI RX コアの上位に構成されます。 コンフ ィギュレーシ ョ ン パラ メーターの設定に応じ、HDMI RX コアの周囲に各種のサポート モジュールが追加されます。 HDMI RX コアはネイティブ ビデオ インターフェイスをサポートするよ うに設計されていますが、 既存のビデオ処理 IP コアの多くは AXI4-Stream ベースです。このため、 通常は AXI4-Stream ベースのビデオを出力できるよ うに Video In to AXI4-Stream ブリ ッジなどのコンバーター モジュールを追加して HDMI RX Subsystem を構成します。 これによ り、 HDMI RX Subsystem をザイ リ ンクスのほかのビデオ処理 IP コアとシームレスに連携させるこ とができます。 HDMI RX Subsystem は、 オプシ ョ ン機能と して HDCP 1.4 および HDCP 2.2 復号化をサポート します。

図 2-2 に、Vivado IDE の [Video Interface] で [AXI4-Stream] を選択した場合の HDMI RX Subsystem の内部構造を示します。 この図は、 [Include HDCP 1.4 decryption]、 [Include HDCP 2.2 decryption]、 [Video over AXIS compliant NTSC/PAL Support]、 [Video over AXIS compliant YUV420 Support] をすべてオンにした場合のものです。

HDMI 1.4/2.0 Receiver Subsystem は、 次の 2 つのビデオ インターフェイスをサポート しています。

• AXI4-Stream ビデオ インターフェイス

• ネイティブ ビデオ インターフェイス

X-Ref Target - Figure 2-1

図 2-1: サブシステムのブロック図

Page 8: HDMI 1.4/2.0 Receiver Subsystem v2 - ザイリンクス - All ... 1.4/2.0 RX Subsystem 6 PG236 2017 年 4 月 5 日 japan.xilinx.com 第 1 章: 概要 ライセンスおよび注文情報

HDMI 1.4/2.0 RX Subsystem 8PG236 2017 年 4 月 5 日 japan.xilinx.com

第 2 章: 製品仕様

HDMI RX Subsystem は、 Video In to AXI4-Stream ブリ ッジ モジュールなしで構築されるこ とで、 ネイティブ ビデオ インターフェイスをサポートするオプシ ョ ンも提供します。 したがって、 HDMI RX Subsystem は自身のビデオ デバイスにネイティブ ビデオを出力するこ とができます。 ネイティブ ビデオ モードでも、 HDMI RX Subsystem はオプシ ョ ンで HDCP 1.4 および HDCP 2.2 復号化をサポートできます。

図 2-3 に、Vivado IDE の [Video Interface] で [Native Video] を選択した場合の HDMI RX Subsystem の内部構造を示します。 この図は、 [Include HDCP 1.4 decryption] と [Include HDCP 2.2 decryption] の両方をオンにした場合のものです。

ビデオ インターフェイスのデータ幅は、 Vivado IDE のコンフ ィギュレーシ ョ ン パラ メーター [Number of pixels per clock on Video Interface] と [Max bits per component] で設定します。

オーディオ インターフェイスは 32 ビッ トの AXI4-Stream マスター バスです。 サブシステムは、 キャプチャしたオーディオを複数チャネルの AXI オーディオ ス ト リームに変換し、 このインターフェイスでオーディオ データを出力します。

CPU インターフェイスは AXI4-Lite バス インターフェイスで、 MicroBlaze™ または Zynq®-7000 SoC プロセッサに接続します。 HDMI RX Subsystem は複数のサブモジュールで構成されており、 ソフ ト ウェア アクセスを必要とするサブモジュールはすべて AXI Crossbar で接続されます。 したがって、 MicroBlaze または Zynq-7000 SoC プロセッサは HDMI RX Subsystem 内の各サブモジュールに個別にアクセスして制御できます。

重要: これらのサブモジュールに対して直接レジスタ レベルでアクセスするこ とはできません。

HDMI RX Subsystem のデバイス ド ラ イバーには API と して抽象化したレイヤーがあ り、 これを利用して特定の機能をインプ リ メン ト します。 この AXI4-Lite スレーブ インターフェイスは、 シングル ビート読み出し /書き込みデータ転送をサポート します。 バース ト転送はサポート しません。

HDMI RX Subsystem はザイ リ ンクス Video PHY Controller に接続されており、 HDMI ケーブルから電気信号を取り込み、 それを HDMI ス ト リームに変換します。 次に、 HDMI ス ト リームをネイティブのビデオ ス ト リームとオーディオ ス ト リームに変換します。 このサブシステムは、 ネイティブ ビデオ フォーマッ ト または AXI4-Stream フォーマッ

X-Ref Target - Figure 2-2

図 2-2: HDMI RX Subsystem の内部構造 (AXI4-Stream ビデオ インターフェイス モード )

X-Ref Target - Figure 2-3

図 2-3: HDMI RX Subsystem の内部構造 (ネイテ ィブ ビデオ インターフェイス モード )

Page 9: HDMI 1.4/2.0 Receiver Subsystem v2 - ザイリンクス - All ... 1.4/2.0 RX Subsystem 6 PG236 2017 年 4 月 5 日 japan.xilinx.com 第 1 章: 概要 ライセンスおよび注文情報

HDMI 1.4/2.0 RX Subsystem 9PG236 2017 年 4 月 5 日 japan.xilinx.com

第 2 章: 製品仕様

ト (選択したコンフ ィギュレーシ ョ ンに基づく ) のいずれかのビデオ ス ト リームを AXI4-Stream オーディオと共にほかのプロセッシング モジュールに送信します。

以降のセクシ ョ ンでは、 サブシステムがサポートする各機能について説明します。

オーディオ クロック再生成信号

サブシステムがオーディオ ク ロ ッ ク再生成 (ACR) 信号を出力できるため、 レシーバー オーディオ ペリ フェラルはオーディオ ク ロ ッ クの再生成が可能です。

オーディオ ク ロ ッ クの再生成アーキテクチャは HDMI RX Subsystem の一部ではあ り ません。 ユーザーがアプリ ケーシ ョ ンにオーディオ ク ロ ッ クを供給する必要があ り ます。 このクロ ッ クは、 オーディオ ク ロ ッ クの要件、 オーディオ サンプル周波数およびジッターに応じて内部 PLL または外部クロ ッ ク ソースを使用して供給します。 HDMI TX Subsystem が DVI モードで使用されている場合は、 ACR 入力は無視されます。 設計者は、 ACR 入力をオープンのままにするか、 または何らかの固定値に接続する (たとえば、 acr_cts、 acr_n、 および acr_valid を 0 に接続する) かを選択できます。 HDMI RX Subsystem が DVI モードで使用されている場合、 ACR 出力は未接続のまにできます。オーディオ パターン ジェネレーター システムに含まれるサンプル ACR モジュールは、第 5 章 「サンプル デザイン」を参照してください。

データ ディスプレイ チャネル (DDC)このサブシステムでは、エンド ユーザーの構築した HDMI シンク デバイスでターゲッ トの HDMI ソース デバイス とネゴシエーシ ョ ンを実行し、 サポート される機能と能力を決定できます。 ソース デバイス とシンク デバイス間の通信は、 DDC ライン (HDMI ケーブルに含まれる I2C バス) を用いて実装します。

ホッ ト プラグ検出

このサブシステムは、 HDMI ソース デバイスと HDMI シンク デバイス間の通信メカニズムの 1 つと してホッ ト プラグ検出 (HPD) 機能をサポート します。 たとえば、 HDMI ソース デバイス とシンク デバイスの間に HDMI ケーブルが挿入される とケーブル検出信号がアサート されます。 サブシステムは hpd 信号を出力し、 これが ト リガーとなってソース デバイス とシンク デバイス間の通信が開始します。

InfoFrame

すべての HDMI システムでは、補助ビデオ情報 (AVI) InfoFrame とオーディオ InfoFrame の 2 つの基本的な InfoFrame をサポート しています。 InfoFrame は 4 バイ トのヘッダーと 32 バイ トのデータ (ペイロード ) で構成されます。すべての InfoFrame タイプは、 CEA-861-F で詳し く説明されています。

HDMI RX Subsystem ド ラ イバーには、 InfoFrame を取得するための一般的な API 関数があ り ます。 次に、 関数呼び出しの例を示します。

u8 AuxBuffer[36];memcpy(AuxBuffer, XV_HdmiRxSs_GetAuxiliary(&HdmiRxSsPtr), sizeof(AuxBuffer));

HdmiRxSsPtr は HDMI RX Subsystem へのポインターで、AuxBuffer は AUX InfoFrame ヘッダーとペイロード データを格納するために割り当てられたローカル バッファーです。

図 2-4 は、 DMI データ アイランド パケッ トの一種である HDMI InfoFrame の構造を示しています。 HDMI では、すべてのデータ アイランド パケッ トは 4 バイ トのパケッ ト ヘッダーと 32 バイ トのパケッ ト内容で構成されます。 パケッ ト ヘッダーには 24 ビッ ト (3 バイ ト ) のデータ と 8 ビッ ト (1 バイ ト ) の BCH ECC パリティが含まれます。

Page 10: HDMI 1.4/2.0 Receiver Subsystem v2 - ザイリンクス - All ... 1.4/2.0 RX Subsystem 6 PG236 2017 年 4 月 5 日 japan.xilinx.com 第 1 章: 概要 ライセンスおよび注文情報

HDMI 1.4/2.0 RX Subsystem 10PG236 2017 年 4 月 5 日 japan.xilinx.com

第 2 章: 製品仕様

図 2-5 に示すパケッ ト本体は、 4 つのサブパケッ トで構成されます。各サブパケッ トには 56 ビッ ト (7 バイ ト ) のデータ と 8 ビッ ト (1 バイ ト ) の BCH ECC パリティが含まれます。

注記:1. ECC は HDMI 1.4/2.0 Receiver Subsystem コア内で計算されます。 したがって、 ソフ ト ウェア内で HDMI 仕様に従って

HB0…HB2、 および PB0、 PB1…PB26、 PB27 を構築する必要があ り ます。

2. チェッ クサム値 (PB0) を計算する際は、 ECC の値は無視されます。

InfoFrame の構造の詳細は、 HDMI 1.4 仕様 [参照 10] のセクシ ョ ン 5.2.3.4 および 5.2.3.5 を参照してください。

X-Ref Target - Figure 2-4

図 2-4: パケッ ト ヘッダー

X-Ref Target - Figure 2-5

図 2-5: パケッ ト本体

Page 11: HDMI 1.4/2.0 Receiver Subsystem v2 - ザイリンクス - All ... 1.4/2.0 RX Subsystem 6 PG236 2017 年 4 月 5 日 japan.xilinx.com 第 1 章: 概要 ライセンスおよび注文情報

HDMI 1.4/2.0 RX Subsystem 11PG236 2017 年 4 月 5 日 japan.xilinx.com

第 2 章: 製品仕様

HDCP

HDMI RX Subsystem に含まれるザイ リ ンクス LogiCORE™ IP HDCP™ (High-bandwidth Digital Content Protection) コアのレシーバーは、 HDCP に対応した 2 つのデバイス間でオーディオ/ビジュアル コンテンツをセキュアに受信するために使用します。 HDMI RX Subsystem には、 HDCP 1.4 IP コアと HDCP 2.2 IP コアの両方のレシーバーが含まれます。ただし、 HDCP 2.2 は HDCP 1.4 プロ ト コルを置き換えるもので、 下位互換性はないため、 どちらのコンテンツ保護方式を使用するかを Vivado IDE で選択する必要があ り ます。 選択肢と して次の 4 とおりがあ り ます。

• HDCP なし

• HDCP 1.4 のみ

• HDCP 2.2 のみ

• HDCP 1.4 および HDCP 2.2

目安と して、HDCP 2.2 は UHD (Ultra-High Definition) 解像度のコンテンツを復号化する場合に使用し、HDCP 1.4 はそれよ り も低解像度のレガシ コンテンツを保護する場合に使用します。

図 2-6 に、 HDCP 1.4 と HDCP 2.2 を両方有効にした場合の HDMI RX Subsystem の構成を示します。 両方の HDCP プロ ト コルを有効にした場合、 HDMI Subsystem は HDCP 1.4 と HDCP 2.2 を数珠つなぎにしたカスケード接続トポロジと して自身をコンフ ィギュレーシ ョ ンします。 HDMI RX コアの HDCP Egress インターフェイスからは暗号化された A/V データが送出され、 これをアクティブな HDCP ブロ ッ クで復号化した後、 HDCP Ingress インターフェイス経由で HDMI RX コアに戻してから AXI4-Stream ビデオ インターフェイスまたはネイティブ ビデオ インターフェイス経由でシステム内のほかのビデオ プロセンシング モジュールに送信します。 両方の HDCP プロ ト コルが同時にアクティブにならないよ うに、 HDMI RX Subsystem は適切な HDMI RX Subsystem API 関数を呼び出して片方の HDCP プロ ト コルをパッシブにします。

X-Ref Target - Figure 2-6

図 2-6: HDMI RX コアと HDCP 1.4 および HDCP 2.2 の組み合わせ

Page 12: HDMI 1.4/2.0 Receiver Subsystem v2 - ザイリンクス - All ... 1.4/2.0 RX Subsystem 6 PG236 2017 年 4 月 5 日 japan.xilinx.com 第 1 章: 概要 ライセンスおよび注文情報

HDMI 1.4/2.0 RX Subsystem 12PG236 2017 年 4 月 5 日 japan.xilinx.com

第 2 章: 製品仕様

規格

HDMI 1.4/2.0 Receiver Subsystem は、AXI4-Stream ビデオ プロ ト コルおよび AXI4-Lite インターコネク ト規格に準拠しています。詳細は、 『Vivado AXI リ ファレンス ガイ ド』 (UG1037) [参照 1] を参照してください。 HDMI 仕様 [参照 10] も参照してください。

HDMI RX Subsystem は HDMI 1.4b および HDMI 2.0 仕様 [参照 10] に準拠しています。

ザイ リ ンクスの HDCP 1.4 コアは、 『High-bandwidth Digital Content Protection system Revision 1.4』 [参照 11] に準拠しています。

ザイ リ ンクスの HDCP 2.2 は、 Digital Content Protection (DCP) LLC が発行した HDCP 2.2 仕様 『High-bandwidth Digital Content Protection, Mapping HDCP to HDMI, Revision 2.2』 [参照 11] に準拠しています。

性能

性能およびリ ソース使用状況の詳細は、 Performance and Resource Utilization (ウェブ ページ) をご覧ください。

最大周波数

DC 特性および AC スイ ッチ特性の詳細は、 次の文書を参照して ください。 ト ランシーバーと コアを正し く動作させるには、 これらデータシートに記載された周波数の範囲に従う必要があ り ます。

• 『Kintex UltraScale FPGA データシート : DC 特性および AC スイ ッチ特性』 (DS892) [参照 2]

• 『Virtex UltraScale FPGA データシート : DC 特性および AC スイ ッチ特性』 (DS893) [参照 3]

• 『Kintex-7 FPGA データシート : DC 特性および AC スイ ッチ特性』 (DS182) [参照 4]

• 『Virtex-7 T/XT FPGA データシート : DC 特性および AC スイ ッチ特性』 (DS183) [参照 5]

• 『Artix-7 FPGA データシート : DC 特性および AC スイ ッチ特性』 (DS181) [参照 6]

• 『Kintex UltraScale FPGA データシート : DC 特性および AC スイ ッチ特性』 (DS922) [参照 7]

• 『Virtex UltraScale FPGA データシート : DC 特性および AC スイ ッチ特性』 (DS923) [参照 8]

• 『Zynq UltraScale+ MPSoC データシート : DC 特性および AC スイ ッチ特性』 (DS925) [参照 9]

リソース使用状況

性能およびリ ソース使用状況の詳細は、 Performance and Resource Utilization (ウェブ ページ) をご覧ください。

Page 13: HDMI 1.4/2.0 Receiver Subsystem v2 - ザイリンクス - All ... 1.4/2.0 RX Subsystem 6 PG236 2017 年 4 月 5 日 japan.xilinx.com 第 1 章: 概要 ライセンスおよび注文情報

HDMI 1.4/2.0 RX Subsystem 13PG236 2017 年 4 月 5 日 japan.xilinx.com

第 2 章: 製品仕様

ポートの説明

図 2-7 ~図 2-10 に、 AXI4-Stream ビデオ インターフェイスを選択した場合の HDMI 1.4/2.0 Receiver Subsystem のポート を示します。 AXI4-Stream ビデオ バス信号の詳細を示すために、 VIDEO_OUT ポートのみ展開して示しています。

このサブシステムには、 デフォルトで次の 3 つのインターフェイスがあ り ます。

• AXI4-Lite 制御インターフェイス (S_AXI_CPU_IN)

• ビデオ インターフェイス (VIDEO_IN)

• オーディオ インターフェイス (AUDIO_IN)

X-Ref Target - Figure 2-7

図 2-7: HDMI RX Subsystem のピン配置 – AXI4-Stream ビデオ インターフェイス、 HDCP なしの場合

Page 14: HDMI 1.4/2.0 Receiver Subsystem v2 - ザイリンクス - All ... 1.4/2.0 RX Subsystem 6 PG236 2017 年 4 月 5 日 japan.xilinx.com 第 1 章: 概要 ライセンスおよび注文情報

HDMI 1.4/2.0 RX Subsystem 14PG236 2017 年 4 月 5 日 japan.xilinx.com

第 2 章: 製品仕様

X-Ref Target - Figure 2-8

図 2-8: HDMI RX Subsystem のピン配置 – AXI4-Stream ビデオ インターフェイス、 HDCP 1.4 のみの場合

Page 15: HDMI 1.4/2.0 Receiver Subsystem v2 - ザイリンクス - All ... 1.4/2.0 RX Subsystem 6 PG236 2017 年 4 月 5 日 japan.xilinx.com 第 1 章: 概要 ライセンスおよび注文情報

HDMI 1.4/2.0 RX Subsystem 15PG236 2017 年 4 月 5 日 japan.xilinx.com

第 2 章: 製品仕様

X-Ref Target - Figure 2-9

図 2-9: HDMI RX Subsystem のピン配置 – AXI4-Stream ビデオ インターフェイス、 HDCP 2.2 のみの場合

Page 16: HDMI 1.4/2.0 Receiver Subsystem v2 - ザイリンクス - All ... 1.4/2.0 RX Subsystem 6 PG236 2017 年 4 月 5 日 japan.xilinx.com 第 1 章: 概要 ライセンスおよび注文情報

HDMI 1.4/2.0 RX Subsystem 16PG236 2017 年 4 月 5 日 japan.xilinx.com

第 2 章: 製品仕様

図 2-11 ~図 2-14 に、 ネイティブ ビデオ インターフェイスを選択した場合の HDMI 1.4/2.0 Receiver Subsystem のポート を示します。 ネイティブ ビデオ バス信号の詳細を示すために、 VIDEO_OUT ポートのみ展開して示しています。

X-Ref Target - Figure 2-10

図 2-10: HDMI RX Subsystem のピン配置 – AXI4-Stream ビデオ インターフェイス、 HDCP 1.4 および HDCP 2.2 の場合

Page 17: HDMI 1.4/2.0 Receiver Subsystem v2 - ザイリンクス - All ... 1.4/2.0 RX Subsystem 6 PG236 2017 年 4 月 5 日 japan.xilinx.com 第 1 章: 概要 ライセンスおよび注文情報

HDMI 1.4/2.0 RX Subsystem 17PG236 2017 年 4 月 5 日 japan.xilinx.com

第 2 章: 製品仕様

X-Ref Target - Figure 2-11

図 2-11: HDMI RX Subsystem のピン配置 – ネイティブ ビデオ インターフェイス、 HDCP なしの場合

Page 18: HDMI 1.4/2.0 Receiver Subsystem v2 - ザイリンクス - All ... 1.4/2.0 RX Subsystem 6 PG236 2017 年 4 月 5 日 japan.xilinx.com 第 1 章: 概要 ライセンスおよび注文情報

HDMI 1.4/2.0 RX Subsystem 18PG236 2017 年 4 月 5 日 japan.xilinx.com

第 2 章: 製品仕様

X-Ref Target - Figure 2-12

図 2-12: HDMI RX Subsystem のピン配置 – ネイテ ィブ ビデオ インターフェイス、 HDCP 1.4 のみの場合

Page 19: HDMI 1.4/2.0 Receiver Subsystem v2 - ザイリンクス - All ... 1.4/2.0 RX Subsystem 6 PG236 2017 年 4 月 5 日 japan.xilinx.com 第 1 章: 概要 ライセンスおよび注文情報

HDMI 1.4/2.0 RX Subsystem 19PG236 2017 年 4 月 5 日 japan.xilinx.com

第 2 章: 製品仕様

X-Ref Target - Figure 2-13

図 2-13: HDMI RX Subsystem のピン配置 – ネイテ ィブ ビデオ インターフェイス、 HDCP 2.2 のみの場合

Page 20: HDMI 1.4/2.0 Receiver Subsystem v2 - ザイリンクス - All ... 1.4/2.0 RX Subsystem 6 PG236 2017 年 4 月 5 日 japan.xilinx.com 第 1 章: 概要 ライセンスおよび注文情報

HDMI 1.4/2.0 RX Subsystem 20PG236 2017 年 4 月 5 日 japan.xilinx.com

第 2 章: 製品仕様

X-Ref Target - Figure 2-14

図 2-14: HDMI RX Subsystem のピン配置 – ネイテ ィブ ビデオ インターフェイス、 HDCP 1.4 および HDCP 2.2 の場合

Page 21: HDMI 1.4/2.0 Receiver Subsystem v2 - ザイリンクス - All ... 1.4/2.0 RX Subsystem 6 PG236 2017 年 4 月 5 日 japan.xilinx.com 第 1 章: 概要 ライセンスおよび注文情報

HDMI 1.4/2.0 RX Subsystem 21PG236 2017 年 4 月 5 日 japan.xilinx.com

第 2 章: 製品仕様

CPU インターフェイス

表 2-1 に、 AXI4-Lite 制御インターフェイスの信号を示します。 このインターフェイスは AXI4-Lite インターフェイスで、 s_axi_cpu_aclk ク ロ ッ ク レートで動作します。 サブシステムの制御は、 サブシステム ド ラ イバー経由でのみサポート されます。

重要: これらのサブモジュールに対して直接レジスタ レベルでアクセスするこ とはできません。 すべてのアクセスはド ライバー API を介して実行されます。

表 2-1: CPU インターフェイスのポート

名称 方向 幅 説明

s_axi_cpu_aresetn 入力 1 リセッ ト (アクティブ Low)

s_axi_cpu_aclk 入力 1 AXI4-Lite 制御インターフェイス用クロ ッ ク

S_AXI_CPU_IN_awaddr 入力 18 書き込みアドレス

S_AXI_CPU_IN_awprot 入力 3 書き込みアドレス保護

S_AXI_CPU_IN_awvalid 入力 1 書き込みアドレスの Valid 信号

S_AXI_CPU_IN_awready 出力 1 書き込みアドレスの Ready 信号

S_AXI_CPU_IN_wdata 入力 32 書き込みデータ

S_AXI_CPU_IN_wstrb 入力 4 書き込みデータのス ト ローブ

S_AXI_CPU_IN_wvalid 入力 1 書き込みデータの Valid 信号

S_AXI_CPU_IN_wready 出力 1 書き込みデータの Ready 信号

S_AXI_CPU_IN_bresp 出力 2 書き込み応答。

S_AXI_CPU_IN_bvalid 出力 1 書き込み応答の Valid 信号

S_AXI_CPU_IN_bready 入力 1 書き込み応答の Ready 信号

S_AXI_CPU_IN_araddr 入力 18 読み出しアドレス

S_AXI_CPU_IN_arprot 入力 3 読み出しアドレス保護

S_AXI_CPU_IN_arvalid 入力 1 読み出しアドレスの Valid 信号

S_AXI_CPU_IN_aready 出力 1 読み出しアドレスの Ready 信号

S_AXI_CPU_IN_rdata 出力 32 読み出しデータ

S_AXI_CPU_IN_rresp 出力 2 読み出しデータの応答信号

S_AXI_CPU_IN_rvalid 出力 1 読み出しデータの Valid 信号

S_AXI_CPU_IN_rready 入力 1 読み出しデータの Ready 信号

Page 22: HDMI 1.4/2.0 Receiver Subsystem v2 - ザイリンクス - All ... 1.4/2.0 RX Subsystem 6 PG236 2017 年 4 月 5 日 japan.xilinx.com 第 1 章: 概要 ライセンスおよび注文情報

HDMI 1.4/2.0 RX Subsystem 22PG236 2017 年 4 月 5 日 japan.xilinx.com

第 2 章: 製品仕様

ビデオ出力スト リーム インターフェイス

HDMI 1.4/2.0 Receiver Subsystem は次に示す 2 種類のビデオ出力ス ト リーム インターフェイスをサポート していますが、 これらは最終的に HDMI 1.4/2.0 Receiver Subsystem VIDEO_OUT インターフェイスにマップされます。

• AXI4-Stream ビデオ インターフェイス

• ネイティブ ビデオ インターフェイス

表 2-2 に、 AXI4-Stream ビデオ出力ス ト リーミ ング インターフェイスの信号を示します。 このインターフェイスは AXI4-Stream マスター インターフェイスで、 s_axis_video_aclk ク ロ ッ ク レートで動作します。 データ幅は、Vivado IDE の [Max bits per component] (BPC) と [Number of pixels per clock on Video Interface] (PPC) で設定できます。

ネイテ ィブ ビデオ出力インターフェイス

表 2-3 に、 ネイティブ ビデオ出力インターフェイスの信号を示します。 このインターフェイスは標準ビデオインターフェイスで、 video_clk ク ロ ッ ク レートで動作します。 データ幅は、 Vivado IDE の [Max bits per component] (BPC) と [Number of pixels per clock on Video Interface] (PPC) で設定できます。

表 2-2: ビデオ出力スト リーム インターフェイス

名称 方向 幅 説明

s_axis_video_aclk 入力 1 AXI4-Stream ク ロ ッ ク

s_axis_video_aresetn 入力 1 リセッ ト (アクティブ Low)

VIDEO_OUT_tdata 出力 3*BPC*PPC データ

VIDEO_OUT_tlast 出力 1 ライン終了

VIDEO_OUT_tready 入力 1 Ready 信号

VIDEO_OUT_tuser 出力 1 フレーム開始 (SOF)

VIDEO_OUT_tvalid 出力 1 Valid 信号

表 2-3: ネイテ ィブ ビデオ出力インターフェイス

名称 方向 幅 説明

video_clk 入力 1 ビデオ ク ロ ッ ク

VIDEO_OUT_field 出力 1 フ ィールド ID (インターレース ビデオの場合のみ)

VIDEO_OUT_active_video 出力 1 アクティブ ビデオ

VIDEO_OUT_data 出力 3*BPC*PPC データ

VIDEO_OUT_hsync 出力 1 水平同期

VIDEO_OUT_vsync 出力 1 垂直同期

注記:1. ネイティブ ビデオ インターフェイスを選択した場合、 s_axis_video_aclk と s_axis_video_aresetn は HDMI 1.4/2.0 Receiver

Subsystem のインターフェイス ポートから除外されます。

2. video_clk は Video PHY Controller によって生成されます。 詳細は、 『Video PHY Controller LogiCORE IP 製品ガイ ド』 (PG230) [参照 22] を参照して ください。

3. ネイティブ ビデオ インターフェイスを選択した場合、 ハード ウェア リ セッ トはあ り ません。

Page 23: HDMI 1.4/2.0 Receiver Subsystem v2 - ザイリンクス - All ... 1.4/2.0 RX Subsystem 6 PG236 2017 年 4 月 5 日 japan.xilinx.com 第 1 章: 概要 ライセンスおよび注文情報

HDMI 1.4/2.0 RX Subsystem 23PG236 2017 年 4 月 5 日 japan.xilinx.com

第 2 章: 製品仕様

オーディオ出力スト リーム インターフェイス

表 2-4 に、 AXI4-Stream オーディオ出力ス ト リーミ ング インターフェイスの信号を示します。 オーディオ インターフェイスは 24 ビッ ト オーディオ サンプルを IEC 60958 フォーマッ トで伝送します。 最大 8 チャネルがサポート されます。 オーディオ インターフェイスは 32 ビッ ト AXI4-Stream マスター インターフェイスで、s_axis_audio_aclk ク ロ ッ ク レートで動作します。

オーディオ クロック再生成インターフェイス

オーディオ ク ロ ッ ク再生成 (ACR) インターフェイスにはサイ クル タイム スタンプ (CTS) パラ メーター ベクターとオーディオ ク ロ ッ ク再生成値 (N) パラ メーター ベクターがあ り ます。どちら も 20 ビッ ト幅です。CTS および N パラメーターが安定する と、 Valid 信号が High に駆動されます。 詳細は、 HDMI 1.4 仕様 [参照 10] の第 7 章を参照して ください。

サブシステムでは、 Valid 信号がアサート される前に CTS および N パラ メーターを設定する必要があ り ます。

表 2-5 に、 オーディオ ク ロ ッ ク再生成 (ACR) インターフェイスの信号を示します。 このインターフェイスは s_axis_audio_aclk のクロ ッ ク レートで動作します。

表 2-4: オーディオ出力スト リーム インターフェイス

名称 方向 幅 説明

s_axis_audio_aclk 入力 1ク ロ ッ ク (オーディオ ス ト リーミ ング ク ロ ッ クは、オーディオ サンプル周波数の 128 倍以上とする必要があ り ます)

s_axis_audio_aresetn 入力 1 リセッ ト (アクティブ Low)

AUDIO_OUT_tdata 出力 32

データ

[31] P (パリティ )

[30] C (チャネル ステータス)

[29] U (ユーザー ビッ ト )

[28] V (Validity ビッ ト )

[27:4] オーディオ サンプル ワード

[3:0] PR (プリ アンブル コード )

4'b0001 サブフレーム 1/オーディオ ブロッ クの開始

4'b0010 サブフレーム 1

4'b0011 サブフレーム 2

AUDIO_OUT_tid 出力 3 チャネル ID

AUDIO_OUT_tready 入力 1 Ready 信号

AUDIO_OUT_tvalid 出力 1 Valid 信号

表 2-5: オーディオ クロック再生成 (ACR) インターフェイス

名称 方向 幅 説明

acr_cts 出力 20 CTS

acr_n 出力 20 N

acr_valid 出力 1 Valid 信号

Page 24: HDMI 1.4/2.0 Receiver Subsystem v2 - ザイリンクス - All ... 1.4/2.0 RX Subsystem 6 PG236 2017 年 4 月 5 日 japan.xilinx.com 第 1 章: 概要 ライセンスおよび注文情報

HDMI 1.4/2.0 RX Subsystem 24PG236 2017 年 4 月 5 日 japan.xilinx.com

第 2 章: 製品仕様

HDMI リンク入力インターフェイス

表 2-6 に、 HDMI リ ンク入力インターフェイスの信号を示します。 このインターフェイスは link_clk ク ロ ッ ク レートで動作します。

データ ディスプレイ チャネル インターフェイス

表 2-7 に、 データ ディ スプレイ チャネル (DDC) インターフェイスの信号を示します。

HDCP 1.4 キー入力インターフェイス (AXI4-Stream スレーブ インターフェイス)表 2-8 に、 HDCP 1.4 キー インターフェイスの信号を示します。 このインターフェイスは hdcp14_key_aclk のクロ ッ ク レートで動作します。

表 2-6: HDMI リンク入力インターフェイス

名称 方向 幅 説明

link_clk 入力 1 リ ンク ク ロ ッ ク

LINK_DATA0_IN_tdata 入力 40 リ ンク データ 0

LINK_DATA0_IN_tvalid 入力 1 リ ンク データ 0 の Valid 信号

LINK_DATA1_IN_tdata 入力 40 リ ンク データ 1

LINK_DATA1_IN_tvalid 入力 1 リ ンク データ 1 の Valid 信号

LINK_DATA2_IN_tdata 入力 40 リ ンク データ 2

LINK_DATA2_IN_tvalid 入力 1 リ ンク データ 2 の Valid 信号

表 2-7: データ ディスプレイ チャネル (DDC) インターフェイス

名称 方向 幅 説明

ddc_scl_i 入力 1 DDC シ リ アル ク ロ ッ ク入力

ddc_scl_o 出力 1 DDC シ リ アル ク ロ ッ ク出力

ddc_scl_t 出力 1 DDC シ リ アル ク ロ ッ ク ト ラ イステート

ddc_sda_i 入力 1 DDC シ リ アル データ入力

ddc_sda_o 出力 1 DDC シ リ アル データ出力

ddc_sda_t 出力 1 DDC シ リ アル データ ト ラ イステート

表 2-8: HDCP 1.4 キー入力インターフェイス

名称 方向 幅 説明

HDCP_KEY_IN_tdata 入力 64 HDCP 1.4 キー データ

HDCP_KEY_IN_tlast 入力 1 キー データ終了

HDCP_KEY_IN_tready 出力 1 Ready 信号

HDCP_KEY_IN_tuser 入力 8 キー データ開始

HDCP_KEY_IN_tvalid 入力 1 Valid 信号

hdcp14_key_aclk 出力 1 AXI4-Stream ク ロ ッ ク

hdcp14_key_aresetn 出力 1 リセッ ト (アクティブ Low)

Page 25: HDMI 1.4/2.0 Receiver Subsystem v2 - ザイリンクス - All ... 1.4/2.0 RX Subsystem 6 PG236 2017 年 4 月 5 日 japan.xilinx.com 第 1 章: 概要 ライセンスおよび注文情報

HDMI 1.4/2.0 RX Subsystem 25PG236 2017 年 4 月 5 日 japan.xilinx.com

第 2 章: 製品仕様

HDCP 1.4 のレシーバーを動作させるには、キーを AXI4-Stream インターフェイス経由で HDCP 1.4 コン ト ローラーに送信するための HDCP キー管理モジュールが必要です。 図 2-15 に、 HDMI RX Subsystem をキー管理バス (AXI4-Stream) 経由で HDCP キー管理モジュールに接続する方法を例と して示します。 HDCP キー管理モジュールは HDMI RX Subsystem には含まれません。 HDCP 1.4 デザインの詳細は、 『HDCP v1.4 製品ガイ ド』 (PG224) [参照 24] を参照してください。

これに対し、 HDCP 2.2 のキーの取り扱い方法はやや異なり、 ソフ ト ウェア アプリ ケーシ ョ ンのみで制御します。HDCP 2.2 ド ラ イバーにロードするキーを安全に格納および取り出すためのインフラス ト ラ クチャは、 ユーザー アプリ ケーシ ョ ンで用意する必要があ り ます。 ユーザー アプリ ケーシ ョ ンを使用してロードする必要のあるキーの詳細は、 『HDCP 2.2 製品ガイ ド』 (PG249) [参照 23] を参照してください。

HDCP 2.2 割り込み出力 表 2-9 に、 HDCP 2.2 割り込み出力ポートの信号を示します。

hdcp14_start_key_transmit 出力 1 キー送信開始

hdcp14_reg_key_sel 出力 3 キー選択

hdcp14_irq 出力 1 HDCP 1.4 割り込み

hdcp14_timer_irq 出力 1 HDCP 1.4 タイマー割り込み

表 2-8: HDCP 1.4 キー入力インターフェイス (続き)

名称 方向 幅 説明

X-Ref Target - Figure 2-15

図 2-15: HDCP 1.4 キー管理バス (AXI4-Stream)

表 2-9: HDCP 2.2 割り込み出力インターフェイス

名称 方向 幅 説明

hdcp22_irq 出力 1 HDCP 2.2 割り込み

hdcp22_timer_irq 出力 1 HDCP 2.2 タイマー割り込み

Page 26: HDMI 1.4/2.0 Receiver Subsystem v2 - ザイリンクス - All ... 1.4/2.0 RX Subsystem 6 PG236 2017 年 4 月 5 日 japan.xilinx.com 第 1 章: 概要 ライセンスおよび注文情報

HDMI 1.4/2.0 RX Subsystem 26PG236 2017 年 4 月 5 日 japan.xilinx.com

第 2 章: 製品仕様

AXI4-Stream ビデオ インターフェイスのその他の信号

表 2-10 に、 AXI4-Stream ビデオ インターフェイスを選択した場合のその他の信号を示します。

1. hpd (ホッ ト プラグ検出) 信号は HDMI シンクで駆動され、 HDMI ケーブルが接続される とアサート されます。 これによ り、

HDMI ソースは HDMI シンクの存在がわかり ます。 HDMI RX Subsystem を使用して HDMI シンク システムを設計する と きに、

PCB で電圧レベル シフターを使用する場合、 hpd 信号の極性はアクティブ High のままです。 これに対し、 hpd 信号にインバー

ターを追加する場合は HDMI RX Subsystem の GUI で [Hot Plug Detect Active] を [Low] に設定する必要があ り ます。 HPD を使用

する一般的な方法は 2 とおりです。 HPD を ト グルして (通常 100 ~ 500ms)、 HDCP 認証プロセスを開始します。 または、 よ り長

く (1 秒 よ り長く ) HPD を ト グルして、 HDMI シンクの状態 (接続されているかど うか) をケーブルの抜き差しなしにソースに通

知します。 HPD のアサートおよびリ リースに使用されるソフ ト ウェア API は XV_HdmiRxSs_SetHpd です。

2. ケーブル検出信号は、 いくつかのレベル シフターを経由して HDMI ケーブル コネク タから 5V 電源信号に接続されます。 これ

によ り、 HDMI ソースが接続されているこ とが HDMI RX Subsystem に通知されます。

表 2-10: AXI4-Stream ビデオ インターフェイスのその他の信号

名称 方向 幅 説明

hpd 出力 1

XGUI オプシ ョ ンで[Hot Plug Detect Active] が [High] (デフォルト ) の場合

0 - ホッ ト プラグ検出がディアサート されている

1 - ホッ ト プラグ検出がアサート されている

XGUI オプシ ョ ンで[Hot Plug Detect Active] が [Low] の場合(1)

0 - ホッ ト プラグ検出がアサート されている

1 - ホッ ト プラグ検出がディアサート されている

cable_detect 入力 1

XGUI オプシ ョ ンで[Cable Detect Active] が [High] (デフォルト ) の場合

0 - ケーブル検出がディアサート されている

1 - ケーブル検出がアサート されている

XGUI オプシ ョ ンで[Cable Detect Active] が [Low] の場合(2)

0 - ケーブル検出がアサート されている

1 - ケーブル検出がディアサート されている

irq 出力 1 CPU に対する割り込み要求。 アクティブ High。

video_clk 入力 1

ネイティブ ビデオの基準クロ ッ ク

[Video Interface] で [AXI4-Stream] を選択した場合、 HDMI RX Subsystem に追加される Video In to AXI4-Stream ブリ ッジ モジュールによってネイティブ ビデオが AXI4-Stream ビデオに変換されます。 HDMI RX コアはこの video_clk を使用してビデオ データを出力します。

SB_STATUS_IN_tdata 入力 2サイ ド バンド ステータス入力信号

ビッ ト 0: link_rdy ビッ ト 1: video_rdy

SB_STATUS_IN_tvalid 入力 1 サイ ド バンド ステータス入力 Valid 信号

fid 出力 1

AXI4-Stream バスのフ ィールド ID。 インターレス ビデオでのみ使用。

0 - 偶数フ ィールド1 - 奇数フ ィールド

プログレッシブ ビデオの場合、 出力は常に Low です。

Page 27: HDMI 1.4/2.0 Receiver Subsystem v2 - ザイリンクス - All ... 1.4/2.0 RX Subsystem 6 PG236 2017 年 4 月 5 日 japan.xilinx.com 第 1 章: 概要 ライセンスおよび注文情報

HDMI 1.4/2.0 RX Subsystem 27PG236 2017 年 4 月 5 日 japan.xilinx.com

第 2 章: 製品仕様

ネイテ ィブ ビデオ インターフェイスのその他の信号

表 2-11 に、 ネイティブ ビデオ インターフェイスを選択した場合のその他の信号を示します。

1. hpd (ホッ ト プラグ検出) 信号は HDMI シンクで駆動され、 HDMI ケーブルが接続される とアサート されます。 これによ り、

HDMI ソースは HDMI シンクの存在がわかり ます。 ほとんどの場合、 HDMI シンクは 5V 電源信号に単純に接続されます。 した

がって、 PCB で分圧回路またはレベル シフターを使用する場合、 hpd 信号の極性はアクティブ High のままです。 これに対し、

hpd 信号にインバーターを追加する場合は HDMI Transmitter Subsystem の GUI で [Hot Plug Detect Active] を [Low] に設定する必要

があ り ます。 HDMI RX Subsystem を使用して HDMI シンク システムを設計する と きに、 PCB で電圧レベル シフターを使用する

場合、 hpd 信号の極性はアクティブ High のままです。 これに対し、 hpd 信号にインバーターを追加する場合は HDMI RX Subsystem の GUI で [Hot Plug Detect Active] を [Low] に設定する必要があ り ます。HPD を使用する一般的な方法は 2 とおりです。

HPD を ト グルして (通常 100 ~ 500ms)、 HDCP 認証プロセスを開始します。 または、 よ り長く (1 秒 よ り長く ) HPD を ト グルし

て、 HDMI シンクの状態 (接続されているかど うか) をケーブルの抜き差しなしにソースに通知します。 HPD のアサートおよび

リ リースに使用されるソフ ト ウェア API は XV_HdmiRxSs_SetHpd です。

2. ケーブル検出信号は、 いくつかのレベル シフターを経由して HDMI ケーブル コネク タから 5V 電源信号に接続されます。 これ

によ り、 HDMI ソースが接続されているこ とが HDMI RX Subsystem に通知されます。

表 2-11: ネイテ ィブ ビデオ インターフェイスのその他の信号

名称 方向 幅 説明

hpd 入力 1

XGUI オプシ ョ ンで[Hot Plug Detect Active] が [High] (デフォルト ) の場合

0 - ホッ ト プラグ検出がディアサート されている

1 - ホッ ト プラグ検出がアサート されている

XGUI オプシ ョ ンで[Hot Plug Detect Active] が [Low] の場合(1)

0 - ホッ ト プラグ検出がアサート されている

1 - ホッ ト プラグ検出がディアサート されている

cable_detect 入力 1

XGUI オプシ ョ ンで[Cable Detect Active] が [High] (デフォルト ) の場合

0 - ケーブル検出がディアサート されている

1 - ケーブル検出がアサート されている

XGUI オプシ ョ ンで[Cable Detect Active] が [Low] の場合(2)

0 - ケーブル検出がアサート されている

1 - ケーブル検出がディアサート されている

irq 出力 1 CPU に対する割り込み要求。 アクティブ High。

SB_STATUS_IN_tdata 入力 2サイ ド バンド ステータス入力信号

ビッ ト 0: link_rdy ビッ ト 1: video_rdy

SB_STATUS_IN_tvalid 入力 1 サイ ド バンド ステータス入力 Valid 信号

video_rst 出力 1 video_clk ド メ インのビデオ リセッ ト信号。 アクティブ High。

Page 28: HDMI 1.4/2.0 Receiver Subsystem v2 - ザイリンクス - All ... 1.4/2.0 RX Subsystem 6 PG236 2017 年 4 月 5 日 japan.xilinx.com 第 1 章: 概要 ライセンスおよび注文情報

HDMI 1.4/2.0 RX Subsystem 28PG236 2017 年 4 月 5 日 japan.xilinx.com

第 2 章: 製品仕様

クロックと リセッ ト

表 2-12 に、 クロ ッ ク と リセッ トの概要を示します。 詳細は、 第 3 章の 「ク ロ ッキング」 および第 3 章の 「リセッ ト 」を参照してください。

表 2-12: クロックと リセッ ト

名称 方向 幅 説明

s_axi_cpu_aclk 入力 1 AXI4-Lite CPU 制御インターフェイス ク ロ ッ ク。

s_axi_cpu_aresetn 入力 1

s_axi_cpu_aclk に関連する リセッ ト (アクティブ Low)。 s_axi_cpu_aresetn 信号によ り、 データパスおよび AXI4-Lite レジスタを含むサブシステム全体がリセッ ト されます。

s_axis_video_aclk 入力 1 AXI4-Stream ビデオ出力クロ ッ ク。

s_axis_video_aresetn 入力 1s_axis_video_aclk に関連する リセッ ト (アクティブ Low)。 ビデオ出力の AXI4-Stream データパスを リセッ ト します。

s_axis_audio_aclk 入力 1AXI4-Stream オーディオ出力クロ ッ ク。 オーディオ ス ト リーミ ング ク ロ ッ クは、 オーディオ サンプル周波数の 128 倍以上とする必要があ り ます。

s_axis_audio_aresetn 入力 1s_axis_audio_aclk に関連する リセッ ト (アクティブ Low)。 オーディオ出力の AXI4-Stream データパスをリセッ ト します。

link_clk 入力 1 HDMI リ ンク データ出力クロ ッ ク。 Video PHY Controller のリ ンク ク ロ ッ ク出力に接続します。

video_clk 入力 1 ネイティブ ビデオ インターフェイス用クロ ッ ク。

注記:1. 関連するクロ ッ クが安定するまでリセッ ト をアサートする必要があ り ます。

Page 29: HDMI 1.4/2.0 Receiver Subsystem v2 - ザイリンクス - All ... 1.4/2.0 RX Subsystem 6 PG236 2017 年 4 月 5 日 japan.xilinx.com 第 1 章: 概要 ライセンスおよび注文情報

HDMI 1.4/2.0 RX Subsystem 29PG236 2017 年 4 月 5 日 japan.xilinx.com

第 3 章

サブシステムを使用するデザインこの章では、 サブシステムを使用してデザインを完成させるためのガイ ド ラインおよびその他の情報を紹介します。

一般的なデザイン ガイド ライン

このサブシステムは、 ほかのハードウェア コンポーネン トに接続して完全な HDMI RX システムを構成します。 通常、 接続するハード ウェア コンポーネン トはデバイスごとに異なり ます。 たとえば、 Kintex®-7 デバイス と UltraScale™ デバイスでは PLL アーキテクチャが異なり ます。 したがって、 システムを理解し、 それに応じてサブシステムのパラ メーターを調整する必要があ り ます。 サブシステム API をソフ ト ウェア アプリ ケーシ ョ ンに統合する方法は、 付録 C 「アプ リ ケーシ ョ ン ソフ ト ウェア開発」 で説明します。

オーディオ データ スト リーム

図 3-1 に、 AXI4-Stream のオーディオ サイ クルを示します。 データは、 Valid 信号 (TVLD) と Ready 信号 (TRDY) の両方がアサート されている と きに有効になり ます。 HDMI 1.4/2.0 Receiver Subsystem は隣接するチャネルを順番に (CH0、 CH1、 など) 送信します。 一般にオーディオ ス ト リーム レシーバーは、 チャネルが順番に並んでいるこ とを前提に動作します。 チャネル データが順番に並んでいない場合、 チャネル データがほかのチャネルのサンプル スロ ッ トにマップされるこ とがあ り ます。

HDMI 1.4/2.0 RX Subsystem では、 オーディオ チャネル数はソフ ト ウェア ド ラ イバーで設定します。 実際のユース ケースに適した数のオーディオ チャネルを有効にし、 オーディオ チャネル データを対応するチャネル ID (TID) にマップします。 たとえば、 8 チャネル オーディオを送信する場合、 HDMI 1.4/2.0 RX Subsystem ド ラ イバーでオーディオ チャネル数を 8 に設定します。 次に、 チャネル数に応じたオーディオ データを準備し、 図 3-1 に示すよ うにハード ウェアで HDMI 1.4/2.0 RX Subsystem に送信する必要があ り ます。

X-Ref Target - Figure 3-1

図 3-1: オーディオ サイクル

Page 30: HDMI 1.4/2.0 Receiver Subsystem v2 - ザイリンクス - All ... 1.4/2.0 RX Subsystem 6 PG236 2017 年 4 月 5 日 japan.xilinx.com 第 1 章: 概要 ライセンスおよび注文情報

HDMI 1.4/2.0 RX Subsystem 30PG236 2017 年 4 月 5 日 japan.xilinx.com

第 3 章: サブシステムを使用するデザイン

ビデオ出力スト リーム インターフェイス

AXI4-Stream ビデオ インターフェイスは RGB および YUV444 色空間で 2、 4PPC (Pixels Per Clock) および 8、 10、 12、16BPC (Bits Per Component) をサポート しています。 YUV422 色空間では、 色深度は常に 12 ビッ ト /ピクセルです。

[Max bits per component] を 16 に設定した場合、 4PPC を選択した場合の AXI4-Stream ビデオ プロ ト コルに完全準拠した 4PPC のデータ フォーマッ トは図 3-2 のよ うにな り ます。 2PPC の場合は図 3-3 のよ うにな り ます。

パラ メーターの [Max bits per component] を 12 に設定した場合、実際の BPC が 12 よ り大きいビデオ フォーマッ トは、12 までで切り捨てられます (下位ビッ トが破棄される )。 実際の BPC が Vivado IDE の [Max bits per component] で設定した値よ り小さい場合、 すべてのビッ トが MSB 詰めで伝送され、 下位ビッ トには 0 がパディングされます。 この規則は [Max bits per component] のすべての設定に適用されます。

X-Ref Target - Figure 3-2

図 3-2: クワッ ド ピクセルのデータ フォーマッ ト ([Max bits per component] = 16)

X-Ref Target - Figure 3-3

図 3-3: デュアル ピクセルのデータ フォーマッ ト ([Max bits per component] = 16)

Page 31: HDMI 1.4/2.0 Receiver Subsystem v2 - ザイリンクス - All ... 1.4/2.0 RX Subsystem 6 PG236 2017 年 4 月 5 日 japan.xilinx.com 第 1 章: 概要 ライセンスおよび注文情報

HDMI 1.4/2.0 RX Subsystem 31PG236 2017 年 4 月 5 日 japan.xilinx.com

第 3 章: サブシステムを使用するデザイン

たとえば、 [Max bits per component] を 12 に設定した場合、 AXI4-Stream ビデオ プロ ト コルに完全準拠した 4PPC のデータ フォーマッ トは図 3-4 のよ うにな り ます。 2PPC の場合は図 3-5 のよ うになり ます。

表 3-1: [Max bits per component] のサポート

[Max bits per component] 実際の BPC ハードウェアによって伝送されるビッ ト

16

8 [7:0]

10 [9:0]

12 [11:0]

16 [15:0]

12

8 [7:0]

10 [9:0]

12 [11:0]

16 [15:4]

10

8 [7:0]

10 [9:0]

12 [11:2]

16 [15:6]

8

8 [7:0]

10 [9:2]

12 [11:4]

16 [15:8]

X-Ref Target - Figure 3-4

図 3-4: クワッ ド ピクセルのデータ フォーマッ ト ([Max bits per component] = 12)

G0 / Y0

8-bits

G0 / Y010-bits

B0 / U0

8-bits

B0 / U010-bits

R0 / V0

8-bits

R0 / V010-bits

G1 / Y1

8-bits

G1 / Y110-bits

B1 / U1

8-bits

B1 / U110-bits

R1 / V1

8-bits

R1 / V110-bits

G2 / Y2

8-bits

G2 / Y210-bits

B2 / U2

8-bits

B2 / U210-bits

R2 / V2

8-bits

R2 / V210-bits

G3 / Y3

8-bits

G3 / Y310-bits

B3 / U3

8-bits

B3 / U310-bits

R3 / V3

8-bits

R3 / V310-bits

012243648608496108 72120144 132

Y012-bits

U012-bits

Y112-bits

V012-bits

Y212-bits

U212-bits

Y312-bits

V212-bits

RGB / YUV4448-bits

RGB / YUV44410-bits

YUV42212-bits

G0 / Y012-bits

B0 / U012-bits

R0 / V012-bits

G1 / Y112-bits

B1 / U112-bits

R1 / V112-bits

G2 / Y212-bits

B2 / U212-bits

R2 / V212-bits

G3 / Y312-bits

B3 / U312-bits

R3 / V312-bits

RGB / YUV44412-bits

Page 32: HDMI 1.4/2.0 Receiver Subsystem v2 - ザイリンクス - All ... 1.4/2.0 RX Subsystem 6 PG236 2017 年 4 月 5 日 japan.xilinx.com 第 1 章: 概要 ライセンスおよび注文情報

HDMI 1.4/2.0 RX Subsystem 32PG236 2017 年 4 月 5 日 japan.xilinx.com

第 3 章: サブシステムを使用するデザイン

このビデオ インターフェイスは YUV420 色空間でクワッ ドおよびデュアル ピクセルも伝送できます。 ただし、 現在のデータ フォーマッ トは AXI4-Stream ビデオ プロ ト コルに準拠していません。 図 3-6 と図 3-7 に、 クワ ッ ド ピクセルとデュアル ピクセルのデータ フォーマッ ト を示します。

YUV 4:2:0 ディープ カラー (10、 12、 または 16 ビッ ト ) の場合のデータ表現も図 3-6 および図 3-7 と同様です。 1 つのコンポーネン トで伝送されるビッ ト数が多い (10、 12、 および 16) 点のみ異なり ます。 『AXI4-Stream Video IP およびシステム デザイン ガイ ド』 [参照 12] に準拠させるには、 HDMI RX Subsystem の GUI で YUV 4:2:0 を有効にします。

図 3-8 は、『AXI4-Stream Video IP およびシステム デザイン ガイ ド』 [参照 12] に記載された YUV 4:2:0 AXI4-Stream ビデオ データ表現 (8 ビッ ト ビデオの場合) です。

X-Ref Target - Figure 3-5

図 3-5: デュアル ピクセルのデータ フォーマッ ト ([Max bits per component] = 12)

G0 / Y08-bits

G0 / Y010-bits

B0 / U08-bits

B0 / U010-bits

R0 / V08-bits

R0 / V010-bits

G1 / Y18-bits

G1 / Y110-bits

B1 / U18-bits

B1 / U110-bits

R1 / V18-bits

R1 / V110-bits

0122436486072

Y012-bits

U012-bits

Y112-bits

V012-bits

RGB / YUV4448-bits

RGB / YUV44410-bits

YUV42212-bits

G0 / Y012-bits

B0 / U012-bits

R0 / V012-bits

G1 / Y112-bits

B1 / U112-bits

R1 / V112-bits

RGB / YUV44412-bits

X-Ref Target - Figure 3-6

図 3-6: YUV420 色空間、 クワッ ド ピクセルのデータ フォーマッ ト

X-Ref Target - Figure 3-7

図 3-7: YUV420 色空間、 デュアル ピクセルのデータ フォーマッ ト

Y00Cb00Y01Y02Cb02Y03

012243648608496108 72120144 132

YUV4208-bitsLine 0

Y10Cr00Y11Y12Cr02Y13YUV420

8-bitsLine 1

Page 33: HDMI 1.4/2.0 Receiver Subsystem v2 - ザイリンクス - All ... 1.4/2.0 RX Subsystem 6 PG236 2017 年 4 月 5 日 japan.xilinx.com 第 1 章: 概要 ライセンスおよび注文情報

HDMI 1.4/2.0 RX Subsystem 33PG236 2017 年 4 月 5 日 japan.xilinx.com

第 3 章: サブシステムを使用するデザイン

ただし、 ネイティブ HDMI ビデオ インターフェイスでは、 図 3-9 に示すよ うなビデオ データ表現が必要です。

したがって、 HDMI 1.4/2.0 RX Subsystem には AXI4-Stream ビデオをネイティブ HDMI ビデオに変換するマップ変更機能が追加されています。

このサブシステムは、パラ メーターの [Maximum bits per component] と [Number of pixels per clock on Video Interface] を使用してシステムを柔軟に構成できます。 ビデオ ク ロ ッ ク と リ ンク ク ロ ッ クがターゲッ ト デバイスのサポート範囲となるよ うにこれらのパラ メーターを設定します。 たとえば、 2PPC を選択した場合、 AXI4-Stream ビデオは 4PPC のデザインよ り も高いクロ ッ ク レートで動作する必要があ り ます。 この場合、 システムがタイ ミ ング要件を満たすことはよ り難し くな り ます。 したがって、 高解像度ビデオを送信するデザインには 4PPC データ マッピングを推奨します。

720p60 など、 一部のビデオ解像度では水平タイ ミ ング パラ メーター (1650) が 4 の倍数でないこ とがあ り ます。 この場合、 2PPC データ マッピングを選択する必要があ り ます。

AXI4-Stream ビデオ インターフェイスおよびビデオ データ フォーマッ トの詳細は、 『AXI4-Stream Video IP およびシステム デザイン ガイ ド』 (UG934) [参照 12] を参照してください。

X-Ref Target - Figure 3-8

図 3-8: YUV 4:2:0 AXI4-Stream ビデオ データ (2PPC)

X-Ref Target - Figure 3-9

図 3-9: ネイティブ HDMI ビデオ インターフェイス

Page 34: HDMI 1.4/2.0 Receiver Subsystem v2 - ザイリンクス - All ... 1.4/2.0 RX Subsystem 6 PG236 2017 年 4 月 5 日 japan.xilinx.com 第 1 章: 概要 ライセンスおよび注文情報

HDMI 1.4/2.0 RX Subsystem 34PG236 2017 年 4 月 5 日 japan.xilinx.com

第 3 章: サブシステムを使用するデザイン

クロッキング

S_AXI_CPU_IN、 VIDEO_IN、 および AUDIO_IN はそれぞれ異なるクロ ッ ク レートで動作可能です。 HDMI リ ンク インターフェイス とネイティブ ビデオ インターフェイスもそれぞれ異なるク ロ ッ ク レートで動作します。 したがって、 これらに対して 5 つのクロ ッ ク インターフェイス (順に s_axi_cpu_aclk、 s_axis_video_aclk、s_axis_audio_aclk、 link_clk、 および video_clk) が用意されています。

オーディオ ス ト リーミ ング ク ロ ッ クは、 オーディオ サンプル周波数の 128 倍以上とする必要があ り ます。 オーディオ ク ロ ッ クの再生成は HDMI RX Subsystem の一部ではないため、 ユーザーがアプリ ケーシ ョ ンにオーディオ ク ロ ックを供給する必要があ り ます。 このク ロ ッ クは、 内部 PLL または外部クロ ッ ク ソースを使用して供給できます。

重要: AXI4-Lite CPU ク ロ ッ クは 10MHz で動作させる必要があ り ます。

図 3-10 と表 3-2 に HDMI のクロ ッ ク構造を示します。

X-Ref Target - Figure 3-10

図 3-10: HDMI クロック構造

Data rate < 3.4 Gbps

*1

Data rate >3.4 Gbps

*4

TMDSclock

Dataclock

/4

10 bpc/1.25

8 bpc/1

12 bpc/1.5

16 bpc/2

Pixelclock

Dual/2

Quad/4

Videoclock

Linkclock

Page 35: HDMI 1.4/2.0 Receiver Subsystem v2 - ザイリンクス - All ... 1.4/2.0 RX Subsystem 6 PG236 2017 年 4 月 5 日 japan.xilinx.com 第 1 章: 概要 ライセンスおよび注文情報

HDMI 1.4/2.0 RX Subsystem 35PG236 2017 年 4 月 5 日 japan.xilinx.com

第 3 章: サブシステムを使用するデザイン

表 3-2: クロッキング

HDMI クロッキング

クロック 機能 周波数/レート 例(1)

TMDSク ロ ッ ク

HDMI インターフェイスに対するソース同期クロ ッ ク(HDMI ケーブルで伝送される実際のクロ ッ ク )。

= データ レートの 1/10(データ レートが 3.4Gb/s 未満の場合)

= データ レートの 1/40(データ レートが 3.4Gb/s 超の場合)

データ レート = 2.97Gb/s の場合

TMDS ク ロ ッ ク = 2.97/10=297MHz

データ レート = 5.94Gb/s の場合

TMDS ク ロ ッ ク = 5.94/40 =148.5MHz

データクロ ッ ク

実際のデータ レート ク ロ ッ クです。 このクロ ッ クはシステムでは使用しません。 ク ロ ックの関係を示すためだけに記載しています。

= TMDS ク ロ ッ ク(データ レートが 3.4Gb/s 未満の場合)

= TMDS ク ロ ッ ク * 4(データ レートが 3.4Gb/s 超の場合)

データ レート = 2.97Gb/s の場合

データ ク ロ ッ ク = TMDS ク ロ ッ ク * 1= 297MHz

データ レート = 5.94Gb/s の場合

データ ク ロ ッ ク = TMDS ク ロ ッ ク * 4 = 594MHz

TMDS ク ロ ッ ク =148.5MHz

リ ンクク ロ ッ ク

HDMI 物理層モジュールとサブシステム間のデータ インターフェイスに使用するクロ ッ ク

=データ ク ロ ッ クの 1/4

TMDS ク ロ ッ ク =297MHzデータ ク ロ ッ ク =297MHz

リ ンク ク ロ ッ ク =297MHz/4=74.25MHz

データ ク ロ ッ ク =594MHz

リ ンク ク ロ ッ ク =594MHz/4=148.5MHz

ピクセルクロ ッ ク

内部ピクセル ク ロ ッ クです。このクロ ッ クはシステムでは使用しません。 ク ロ ッ クの関係を示すためだけに記載しています。

8bpc の場合: ピクセル ク ロ ッ ク =データ ク ロ ッ ク10bpc の場合: ピクセル ク ロ ッ ク = データ ク ロ ッ ク /1.25 12bpc の場合: ピクセル ク ロ ッ ク =データ ク ロ ッ ク /1.516bpc の場合: ピクセル ク ロ ッ ク =データ ク ロ ッ ク /2

ビデオクロ ッ ク

ビデオ インターフェイスに使用するクロ ッ ク

デュアル ピクセルの場合: ビデオ ク ロ ッ ク =ピクセル ク ロ ッ ク /2クワ ッ ド ピクセルの場合: ビデオ ク ロ ッ ク =ピクセル ク ロ ッ ク /4

2 ピクセル幅インターフェイスの場合、297MHz/2=148.5MHz

4 ピクセル幅インターフェイスの場合、297MHz/4=74.25MHz

ターゲッ ト デバイスにおける PLL の正しい選択方法の詳細は、 『Video PHY Controller LogiCORE IP 製品ガイ ド』 (PG230) [参照 22] を参照してください。

注記:1. 「例」 は参考にすぎず、 すべての可能な解像度を記載しているわけではあ り ません。 各 GT には固有のハード ウェア要件と制

限があ り ます。 したがって、 GT の異なるデバイスで HDMI 1.4/2.0 Receiver Subsystem を使用する場合は、 クロ ッ ク周波数を計

算してターゲッ ト デバイスでその周波数をサポートできるよ うにする必要があ り ます。 HDMI 1.4/2.0 Receiver Subsystem とザ

イ リ ンクス Video PHY Controller IP コアを組み合わせて使用する方法の詳細は、 『Video PHY Controller LogiCORE IP 製品ガイ

ド』 (PG230) [参照 22] を参照して ください。

Page 36: HDMI 1.4/2.0 Receiver Subsystem v2 - ザイリンクス - All ... 1.4/2.0 RX Subsystem 6 PG236 2017 年 4 月 5 日 japan.xilinx.com 第 1 章: 概要 ライセンスおよび注文情報

HDMI 1.4/2.0 RX Subsystem 36PG236 2017 年 4 月 5 日 japan.xilinx.com

第 3 章: サブシステムを使用するデザイン

例と して 1080p60、 12BPC、 および 2PPC を使用し、 すべてのクロ ッ クの算出方法を示します。

ピクセル ク ロ ッ クは、 毎秒送信する必要があるピクセルの総数を示します。 したがって、 次のよ うにな り ます。

ピクセル ク ロ ッ ク = 水平 (合計) × 垂直 (合計) × フレーム レート= 2200 x 1125 x 60= 148,500,000 = 148.5MHz

リ ンク ク ロ ッ ク = (データ ク ロ ッ ク )/4 = 222.75/4 = 55.6875MHz

ビデオ ク ロ ッ ク = (ピクセル ク ロ ッ ク )/PPC = 148.5/2 = 74.25MHz

データ ク ロ ッ ク = ピクセル ク ロ ッ ク × BPC/8 = 148.5 × 12/8 = 222.75MHz

この例で結合法則を使用する と、

データ ク ロ ッ ク = 222.75MHz < 340MHz

したがって

TMDS ク ロ ッ ク = データ ク ロ ッ ク = 222.75MHz

リセッ ト

各 AXI 入力インターフェイスにはそれぞれ専用のリセッ ト信号があ り ます。 S_AXI_CPU_IN、 VIDEO_IN (AXI4-Stream ビデオ インターフェイス)、 AUDIO_IN に対して順に s_axi_cpu_aresetn、s_axis_video_aresetn、 s_axis_audio_aresetn のリセッ ト信号があ り ます。 これら 3 つのリセッ ト信号はいずれもアクティブ Low です。 リセッ ト信号はサブシステム内の複数のサブブロ ッ クで使用されるため、 すべてのクロ ッ クが安定するまでシステムを リセッ ト状態に保持する必要があ り ます。 ク ロ ッ ク生成ブロッ クからの locked 信号を リセッ ト信号と して使用できます。

注記: ネイティブ ビデオ インターフェイスを選択した場合、 VIDEO_OUT インターフェイスに対する専用のハードウェア リセッ トはあ り ません。 ただし、 HDMI RX Subsystem から出力される video_rst 信号を使用してサブシステムでサポート されるネイティブ ビデオ プロセッシング モジュールを リセッ トできます。

ビデオ解像度 水平 (合計) 水平 (アクテ ィブ) 垂直 (合計) 垂直 (アクティブ) フレーム レート (Hz)

1080p60 2200 1920 1125 1080 60

Page 37: HDMI 1.4/2.0 Receiver Subsystem v2 - ザイリンクス - All ... 1.4/2.0 RX Subsystem 6 PG236 2017 年 4 月 5 日 japan.xilinx.com 第 1 章: 概要 ライセンスおよび注文情報

HDMI 1.4/2.0 RX Subsystem 37PG236 2017 年 4 月 5 日 japan.xilinx.com

第 4 章

デザイン フローの手順この章では、 サブシステムのカスタマイズと生成、 制約、 およびシ ミ ュレーシ ョ ン/合成/インプリ メンテーシ ョ ンの手順について説明します。 一般的な Vivado® デザイン フローおよび IP インテグレーターの詳細は、 次の Vivado Design Suite ユーザー ガイ ドを参照して ください。

• 『Vivado Design Suite ユーザー ガイ ド : IP インテグレーターを使用した IP サブシステムの設計』 (UG994) [参照 13]

• 『Vivado Design Suite ユーザー ガイ ド : IP を使用した設計』 (UG896) [参照 14]

• 『Vivado Design Suite ユーザー ガイ ド : 入門』 (UG910) [参照 15]

• 『Vivado Design Suite ユーザー ガイ ド : ロジッ ク シ ミ ュレーシ ョ ン』 (UG900) [参照 16]

サブシステムのカスタマイズおよび生成

こ こでは、 ザイ リ ンクス ツールを使用し、 Vivado Design Suite でサブシステムをカスタマイズおよび生成する方法について説明します。

Vivado IP インテグレーターで HDMI 1.4/2.0 Receiver Subsystem をブロ ッ ク デザインに追加し、IP カタログを使用してカスタマイズできます。 Vivado IP インテグレーターでサブシステムをカスタマイズおよび生成する方法は、 『Vivado Design Suite ユーザー ガイ ド : I P インテグレーターを使用した IP サブシステムの設計』 (UG994) [参照 13] を参照してください。 IP インテグレーターは、 デザインの検証または生成時に一部のコンフ ィギュレーシ ョ ン値を自動的に計算する場合があ り ます。 値が変わるかど うかを確認するには、 この章のパラ メーターの説明を参照してください。パラ メーター値を確認するには、 Tcl コンソールから validate_bd_design コマンドを実行してください。

サブシステムはユーザー デザインに合わせてカスタマイズできます。 それには、 IP サブシステムに関連する各種パラ メーターの値を次の手順に従って指定します。

1. Flow Navigator で [Create Block Diagram] をク リ ッ クするか、 [IP Integrator] の下にある [Open Block Design] をクリ ッ ク します。

2. 図を右ク リ ッ ク し、 [Add IP] をク リ ッ ク します。

検索可能な IP カタログが開きます。IP インテグレーターのブロ ッ ク デザイン キャンバスの左側にある [Add IP] ボタンをク リ ッ ク しても IP を追加できます。

3. IP 名をク リ ッ ク して Enter キーを押すか、 IP 名をダブルク リ ッ ク します。

4. 選択した IP ブロッ クをダブルク リ ッ クするか、 右ク リ ッ ク して [Customize Block] コマンドをク リ ッ ク します。

詳細は、『Vivado Design Suite ユーザー ガイ ド : IP を使用した設計』 (UG896) [参照 14] および 『Vivado Design Suite ユーザー ガイ ド : 入門』 (UG910) [参照 15] を参照してください。

注記: この章の図には Vivado 統合設計環境 (IDE) のスク リーンシ ョ ッ トが使用されていますが、 現在のバージ ョ ンとはレイアウ トが異なる場合があ り ます。

Page 38: HDMI 1.4/2.0 Receiver Subsystem v2 - ザイリンクス - All ... 1.4/2.0 RX Subsystem 6 PG236 2017 年 4 月 5 日 japan.xilinx.com 第 1 章: 概要 ライセンスおよび注文情報

HDMI 1.4/2.0 RX Subsystem 38PG236 2017 年 4 月 5 日 japan.xilinx.com

第 4 章: デザイン フローの手順

[Toplevel] タブ

図 4-1 に [Toplevel] タブを示します。

[Toplevel] タブには次のパラ メーターがあ り ます。

[Component Name]: コンポーネン ト名は IP インテグレーターによって自動的に設定されます。

[Video Interface]: HDMI RX Subsystem のビデオ インターフェイスを選択します。 [AXIS-Stream] または [Native Video] を選択できます。

[Include HDCP 1.4 decryption]: オンにする と HDCP 1.4 復号化が有効になり ます。

[Include HDCP 2.2 decryption]: オンにする と HDCP 2.2 復号化が有効になり ます。

[Max bits per component]: 1 つのコンポーネン ト あた りの最大ビッ ト数 (BPC) を選択します。 8、 10、 12、 または 16 ビッ ト を選択できます。 このパラ メーターは 「許容可能な」 最大 BPC を設定するものであ り、 実際の BPC はソフ トウェア API で別の値に設定できます。 ただし、 実際の BPC と して設定可能な値は [Max bits per component] の制約を受けます。 たとえば、 [Max bits per component] を 16 に設定した場合は、 ソフ ト ウェア API で実際の BPC は 8、 10、12、 16 のいずれの値にも設定できます。一方 [Max bits per component] を 8 に設定した場合、 ソフ ト ウェア API で設定できる実際の BPC は 8 のみです。

X-Ref Target - Figure 4-1

図 4-1: [Toplevel] タブ

Page 39: HDMI 1.4/2.0 Receiver Subsystem v2 - ザイリンクス - All ... 1.4/2.0 RX Subsystem 6 PG236 2017 年 4 月 5 日 japan.xilinx.com 第 1 章: 概要 ライセンスおよび注文情報

HDMI 1.4/2.0 RX Subsystem 39PG236 2017 年 4 月 5 日 japan.xilinx.com

第 4 章: デザイン フローの手順

[Number of pixels per clock on Video Interface]: 1 つのクロ ッ クあた りのピクセル数 (PPC) を選択します。2 または 4 ピクセルを選択できます。

重要: 1 ク ロ ッ クあた りのピクセル数 (PPC) は、 IP 生成時にのみ選択でき、 デザインで変更するこ とな く使用する必要があ り ます。 水平総解像度が 4 で割り切れないビデオ フォーマッ ト (たとえば、 720p60 の水平総ピクセルは 1650 で、 4 で割り切れない) はサポート されません。 デザインでこのタイプのビデオ フォーマッ ト をサポートするには、Vivado ツールで [Number of pixels per clock on Video Interface] (PPC) を 2 に設定します。

[Video over AXIS compliant NTSC/PAL Support]: オンにする と、 HDMI RX Subsystem は Video over AXIS 準拠の NTSC/PAL をサポート します。

• 現在のハードウェアは 2 のピクセル反復をサポート しています。

• 現在のソフ ト ウェアは 480i60 および 576i50 の解像度をサポート しています。

[Video over AXIS compliant YUV420 Support]: オンにする と、HDMI RX Subsystem は Video over AXIS 準拠の YUV420 をサポート します。

[Hot Plug Detect Active]: HPD アクティブの極性を選択します。 [High] または [Low] を選択できます。

[EDID RAM size]: 256、 512、 1024、 または 4096 を選択できます。

[Cable Detect Active]: ケーブル検出アクティブの極性を選択します。 [High] または [Low] を選択できます。

[Video Bridge] タブ (AXI4-Stream ビデオ インターフェイスのみ)図 4-2 に [Video Bridge] タブを示します。

X-Ref Target - Figure 4-2

図 4-2: [Video Bridge] タブ

Page 40: HDMI 1.4/2.0 Receiver Subsystem v2 - ザイリンクス - All ... 1.4/2.0 RX Subsystem 6 PG236 2017 年 4 月 5 日 japan.xilinx.com 第 1 章: 概要 ライセンスおよび注文情報

HDMI 1.4/2.0 RX Subsystem 40PG236 2017 年 4 月 5 日 japan.xilinx.com

第 4 章: デザイン フローの手順

[Video Bridge] タブには次のパラ メーターがあ り ます。

[FIFO Depth]: 入力 FIFO の段数を設定します。 32、 1024、 2048、 4096、 または 8192 を指定できます。

ネイテ ィブ ビデオ インターフェイスを選択した場合のオプシ ョ ン

図 4-3 に、 ネイティブ ビデオ インターフェイスを選択した場合のオプシ ョ ン画面を示します。

[Include HDCP 1.4 decryption]: オンにする と HDCP 1.4 復号化が有効になり ます。

[Include HDCP 2.2 decryption]: オンにする と HDCP 2.2 復号化が有効になり ます。

注記: HDCP 1.4 および HDCP 2.2 復号化のオプシ ョ ンを設定できるのは HDCP ライセンスを取得している場合のみです。

X-Ref Target - Figure 4-3

図 4-3: ネイテ ィブ ビデオ インターフェイスを選択した場合のオプシ ョ ン

Page 41: HDMI 1.4/2.0 Receiver Subsystem v2 - ザイリンクス - All ... 1.4/2.0 RX Subsystem 6 PG236 2017 年 4 月 5 日 japan.xilinx.com 第 1 章: 概要 ライセンスおよび注文情報

HDMI 1.4/2.0 RX Subsystem 41PG236 2017 年 4 月 5 日 japan.xilinx.com

第 4 章: デザイン フローの手順

図 4-4 に、 有効なライセンスがない場合の [Toplevel] タブを示します。

ユーザー パラメーター

表 4-1 に、 Vivado IDE のフ ィールド とユーザー パラ メーターの対応関係を示します。 ユーザー パラ メーターは Tcl コンソールで表示できます。

X-Ref Target - Figure 4-4

図 4-4: [Toplevel] タブ (HDCP ライセンスなし )

表 4-1: Vivado IDE のパラメーターとユーザー パラメーターの対応表

Vivado IDE のパラメーター /値 ユーザー パラメーター /値 デフォルト値

[Toplevel] タブ

[Video Interface] C_VID_INTERFACE AXI4-Stream

AXI4-Stream 0

Native Video 1

[Include HDCP 1.4 decryption] C_INCLUDE_HDCP_1_4 Exclude

Exclude (オフ) FALSE

Include (オン) TRUE

[Include HDCP 2.2 decryption] C_INCLUDE_HDCP_2_2 Exclude

Exclude (オフ) FALSE

Page 42: HDMI 1.4/2.0 Receiver Subsystem v2 - ザイリンクス - All ... 1.4/2.0 RX Subsystem 6 PG236 2017 年 4 月 5 日 japan.xilinx.com 第 1 章: 概要 ライセンスおよび注文情報

HDMI 1.4/2.0 RX Subsystem 42PG236 2017 年 4 月 5 日 japan.xilinx.com

第 4 章: デザイン フローの手順

出力の生成

詳細は、 『Vivado Design Suite ユーザー ガイ ド : IP を使用した設計』 (UG896) [参照 14] を参照してください。

Include (オン) TRUE

[Video over AXIS compliant NTSC/PAL Support] C_INCLUDE_LOW_RESO_VID Exclude

Exclude (オフ) FALSE

Include (オン) TRUE

[Video over AXIS compliant YUV420 Support] C_INCLUDE_YUV420_SUP Exclude

Exclude (オフ) FALSE

Include (オン) TRUE

[Max bits per component] C_MAX_BITS_PER_COMPONENT 8

8 8

10 10

12 12

16 16

[Number of pixels per clock on Video Interface] C_INPUT_PIXELS_PER_CLOCK 2

2 2

4 4

[Hot Plug Detect Active] C_HPD_INVERT High

High High

Low Low

[Cable Detect Active] C_CD_INVERT High

High High

Low Low

[EDID RAM size] C_EDID_RAM_SIZE 256

256 256

512 512

1024 1024

4096 4096

[Video Bridge] タブ

[FIFO Depth] C_ADDR_WIDTH 1024

32 32

1024 1024

2048 2048

4096 4096

8192 8192

表 4-1: Vivado IDE のパラメーターとユーザー パラメーターの対応表 (続き)

Vivado IDE のパラメーター /値 ユーザー パラメーター /値 デフォルト値

Page 43: HDMI 1.4/2.0 Receiver Subsystem v2 - ザイリンクス - All ... 1.4/2.0 RX Subsystem 6 PG236 2017 年 4 月 5 日 japan.xilinx.com 第 1 章: 概要 ライセンスおよび注文情報

HDMI 1.4/2.0 RX Subsystem 43PG236 2017 年 4 月 5 日 japan.xilinx.com

第 4 章: デザイン フローの手順

サブシステムへの制約

こ こでは、 Vivado Design Suite でサブシステムに制約を指定する方法について説明します。

必須の制約

s_axi_cpu_aclk、 s_axis_video_aclk、 s_axis_audio_aclk、 link_clk、 および video_clk に対するクロ ッ ク周波数の制約があ り ます。 次に例を示します。

create_clock -name s_axi_cpu_aclk -period 10.0 [get_ports s_axi_cpu_aclk]create_clock -name s_axis_audio_aclk -period 10.0 [get_ports s_axis_audio_aclk]create_clock -name link_clk -period 13.468 [get_ports link_clk]create_clock -name video_clk -period 6.734 [get_ports video_clk]create_clock -name s_axis_video_aclk -period 5.0 [get_ports s_axis_video_aclk]

このサブシステムを Vivado® Design Suite フローで Video PHY Controller モジュールと組み合わせて使用する場合、link_clk と video_clk は Video PHY Controller から生成されます。 したがって、 ク ロ ッ ク制約はこれらの生成済みクロ ッ クに対してではなく、 Video PHY Controller の制約に対して設定されます。 詳細は、 『Partial Reconfiguration Controller LogiCORE IP 製品ガイ ド』 (PG230) [参照 22] の 「ク ロ ッキング」 を参照してください。

s_axi_cpu_aclk、 s_axis_video_aclk、 および s_axis_audio_aclk の制約は、 ク ロ ッ ク ウ ィザードを使用するなどしてシステム レベルで生成されます。

Page 44: HDMI 1.4/2.0 Receiver Subsystem v2 - ザイリンクス - All ... 1.4/2.0 RX Subsystem 6 PG236 2017 年 4 月 5 日 japan.xilinx.com 第 1 章: 概要 ライセンスおよび注文情報

HDMI 1.4/2.0 RX Subsystem 44PG236 2017 年 4 月 5 日 japan.xilinx.com

第 4 章: デザイン フローの手順

デバイス、 パッケージ、 スピード グレードの選択

デバイスの制約/依存性の詳細は、 『Video PHY Controller LogiCORE IP 製品ガイ ド』 (PG230) [参照 22] を参照して ください。

表 4-2 に、 HDMI 1.4/2.0 Receiver Subsystem で使用するデバイスおよびスピード グレードの選択を示します。

クロック周波数

AXI4-Lite CPU ク ロ ッ クは 10MHz で動作させる必要があ り ます。 詳細は、 第 3 章の 「ク ロ ッキング」 を参照してください。

クロック管理

このセクシ ョ ンは、 この IP サブシステムには適用されません。

クロック配置

このセクシ ョ ンは、 この IP サブシステムには適用されません。

バンク設定

このセクシ ョ ンは、 この IP サブシステムには適用されません。

表 4-2: デバイスおよびスピード グレードの選択

デバイス ファ ミ リ

PPC 2 4

BPC 8 10 12 16 8 10 12 16

スピード グレード

Artix-7-1 HDMI 1.4(1) HDMI 1.4(1)

-2 HDMI 1.4(1) HDMI 1.4(1)

Kintex-7-1 HDMI 1.4(2) HDMI 1.4(1)

-2 HDMI 2.0(1) HDMI 2.0(2)

Kintex UltraScale

-1HDMI 2.0(2) HDMI 2.0(2)

-2

Virtex-7-1 HDMI 1.4(2) HDMI

2.0(2) HDMI 1.4(1)

-2 HDMI 2.0(1) HDMI 2.0(2)

Virtex UltraScale

-1HDMI 2.0(2) HDMI 2.0(2)

-2

注記:1. HDMI 1.4 のすべての解像度をサポートできます。

2. 4096 x 2160 @ 60fps までの HDMI 2.0 のすべての解像度をサポート します。

Page 45: HDMI 1.4/2.0 Receiver Subsystem v2 - ザイリンクス - All ... 1.4/2.0 RX Subsystem 6 PG236 2017 年 4 月 5 日 japan.xilinx.com 第 1 章: 概要 ライセンスおよび注文情報

HDMI 1.4/2.0 RX Subsystem 45PG236 2017 年 4 月 5 日 japan.xilinx.com

第 4 章: デザイン フローの手順

ト ランシーバーの配置

このセクシ ョ ンは、 この IP サブシステムには適用されません。

I/O 規格と配置

このセクシ ョ ンは、 この IP サブシステムには適用されません。

シミ ュレーシ ョ ン

このサブシステムのシ ミ ュレーシ ョ ンはサポート されません。

合成およびインプリ メンテーシ ョ ン

合成およびインプ リ メンテーシ ョ ンの詳細は、 『Vivado Design Suite ユーザー ガイ ド : IP を使用した設計』 (UG896) [参照 14] を参照して ください。

Page 46: HDMI 1.4/2.0 Receiver Subsystem v2 - ザイリンクス - All ... 1.4/2.0 RX Subsystem 6 PG236 2017 年 4 月 5 日 japan.xilinx.com 第 1 章: 概要 ライセンスおよび注文情報

HDMI 1.4/2.0 RX Subsystem 46PG236 2017 年 4 月 5 日 japan.xilinx.com

第 5 章

サンプル デザインこの章では、 Vivado® フローを使用して HDMI 1.4/2.0 Receiver Subsystem から HDMI サンプル デザインを生成する手順について説明します。

リファレンス デザインの実行

1. Vivado Design Suite を開き、 新規プロジェク ト を作成します。

X-Ref Target - Figure 5-1

Page 47: HDMI 1.4/2.0 Receiver Subsystem v2 - ザイリンクス - All ... 1.4/2.0 RX Subsystem 6 PG236 2017 年 4 月 5 日 japan.xilinx.com 第 1 章: 概要 ライセンスおよび注文情報

HDMI 1.4/2.0 RX Subsystem 47PG236 2017 年 4 月 5 日 japan.xilinx.com

第 5 章: サンプル デザイン

2. ダイアログ ボッ クスで [Next] を 5 回ク リ ッ ク します。

X-Ref Target - Figure 5-2

Page 48: HDMI 1.4/2.0 Receiver Subsystem v2 - ザイリンクス - All ... 1.4/2.0 RX Subsystem 6 PG236 2017 年 4 月 5 日 japan.xilinx.com 第 1 章: 概要 ライセンスおよび注文情報

HDMI 1.4/2.0 RX Subsystem 48PG236 2017 年 4 月 5 日 japan.xilinx.com

第 5 章: サンプル デザイン

3. ボードを選択します (KC705、 ZC706、 および KCU105 がサポート される )。X-Ref Target - Figure 5-3

Page 49: HDMI 1.4/2.0 Receiver Subsystem v2 - ザイリンクス - All ... 1.4/2.0 RX Subsystem 6 PG236 2017 年 4 月 5 日 japan.xilinx.com 第 1 章: 概要 ライセンスおよび注文情報

HDMI 1.4/2.0 RX Subsystem 49PG236 2017 年 4 月 5 日 japan.xilinx.com

第 5 章: サンプル デザイン

4. [Finish] をク リ ッ ク します。

X-Ref Target - Figure 5-4

Page 50: HDMI 1.4/2.0 Receiver Subsystem v2 - ザイリンクス - All ... 1.4/2.0 RX Subsystem 6 PG236 2017 年 4 月 5 日 japan.xilinx.com 第 1 章: 概要 ライセンスおよび注文情報

HDMI 1.4/2.0 RX Subsystem 50PG236 2017 年 4 月 5 日 japan.xilinx.com

第 5 章: サンプル デザイン

5. [IP Catalog] をク リ ッ ク し、[Video Connectivity] の下にある [HDMI 1.4/2.0 Receiver Subsystem] をダブルク リ ッ ク します。

° サンプル デザイン フローでは、 ネイティブ ビデオ インターフェイスはサポート されません。

° IP コンポーネン ト名は変更可能です。 この名前はサンプル デザインのプロジェク ト名と して使用されます。

X-Ref Target - Figure 5-5

Page 51: HDMI 1.4/2.0 Receiver Subsystem v2 - ザイリンクス - All ... 1.4/2.0 RX Subsystem 6 PG236 2017 年 4 月 5 日 japan.xilinx.com 第 1 章: 概要 ライセンスおよび注文情報

HDMI 1.4/2.0 RX Subsystem 51PG236 2017 年 4 月 5 日 japan.xilinx.com

第 5 章: サンプル デザイン

6. HDMI 1.4/2.0 Receiver Subsystem のコンフ ィギュレーシ ョ ン パラ メーターを設定し、 [OK] をク リ ッ ク します。

[Generate Output Products] ダイアログ ボッ クスが表示されます。

X-Ref Target - Figure 5-6

Page 52: HDMI 1.4/2.0 Receiver Subsystem v2 - ザイリンクス - All ... 1.4/2.0 RX Subsystem 6 PG236 2017 年 4 月 5 日 japan.xilinx.com 第 1 章: 概要 ライセンスおよび注文情報

HDMI 1.4/2.0 RX Subsystem 52PG236 2017 年 4 月 5 日 japan.xilinx.com

第 5 章: サンプル デザイン

7. [Generate] をク リ ッ ク します。

a. サンプル デザインのみを生成する場合は、 [Skip] をク リ ッ ク します。

X-Ref Target - Figure 5-7

Page 53: HDMI 1.4/2.0 Receiver Subsystem v2 - ザイリンクス - All ... 1.4/2.0 RX Subsystem 6 PG236 2017 年 4 月 5 日 japan.xilinx.com 第 1 章: 概要 ライセンスおよび注文情報

HDMI 1.4/2.0 RX Subsystem 53PG236 2017 年 4 月 5 日 japan.xilinx.com

第 5 章: サンプル デザイン

8. [Design Sources] の下にある [HDMI 1.4/2.0 Receiver Subsystem] を右ク リ ッ ク し、 [Open IP Example Design] をクリ ッ ク します。

9. プロジェク ト を配置する場所を選択して [OK] をク リ ッ ク します。

これで、 IP インテグレーター デザインが生成されます。 [Run Synthesis]、 [Run Implementation]、 または [Generate Bitstream] をク リ ッ ク して実行できます。

X-Ref Target - Figure 5-8

X-Ref Target - Figure 5-9

Page 54: HDMI 1.4/2.0 Receiver Subsystem v2 - ザイリンクス - All ... 1.4/2.0 RX Subsystem 6 PG236 2017 年 4 月 5 日 japan.xilinx.com 第 1 章: 概要 ライセンスおよび注文情報

HDMI 1.4/2.0 RX Subsystem 54PG236 2017 年 4 月 5 日 japan.xilinx.com

第 5 章: サンプル デザイン

KC705 を使用した場合のサンプル デザイン全体のシステム IP インテグレーター ブロ ッ ク図は次のとおりです。

X-Ref Target - Figure 5-10

X-Ref Target - Figure 5-11

Page 55: HDMI 1.4/2.0 Receiver Subsystem v2 - ザイリンクス - All ... 1.4/2.0 RX Subsystem 6 PG236 2017 年 4 月 5 日 japan.xilinx.com 第 1 章: 概要 ライセンスおよび注文情報

HDMI 1.4/2.0 RX Subsystem 55PG236 2017 年 4 月 5 日 japan.xilinx.com

第 5 章: サンプル デザイン

10. SDK サンプル デザイン フローを開始するために、 ハード ウェアをエクスポート します。

11. [OK] をク リ ッ ク します。 エクスポート先はサンプル デザインに対する <Local to Project> (デフォルト ) のまま とします。

12. [Launch SDK] をク リ ッ ク します。

X-Ref Target - Figure 5-12

X-Ref Target - Figure 5-13

Page 56: HDMI 1.4/2.0 Receiver Subsystem v2 - ザイリンクス - All ... 1.4/2.0 RX Subsystem 6 PG236 2017 年 4 月 5 日 japan.xilinx.com 第 1 章: 概要 ライセンスおよび注文情報

HDMI 1.4/2.0 RX Subsystem 56PG236 2017 年 4 月 5 日 japan.xilinx.com

第 5 章: サンプル デザイン

13. SDK のワークスペースの場所を選択します。 デフォルトでは、 <Local to Project> です。

Vivado SDK が起動します。

X-Ref Target - Figure 5-14

X-Ref Target - Figure 5-15

Page 57: HDMI 1.4/2.0 Receiver Subsystem v2 - ザイリンクス - All ... 1.4/2.0 RX Subsystem 6 PG236 2017 年 4 月 5 日 japan.xilinx.com 第 1 章: 概要 ライセンスおよび注文情報

HDMI 1.4/2.0 RX Subsystem 57PG236 2017 年 4 月 5 日 japan.xilinx.com

第 5 章: サンプル デザイン

14. ボード サポート パッケージを作成します。

15. BSP プロジェク ト名を入力し、 [Finish] をク リ ッ ク します。

16. [OK] をク リ ッ ク します。

X-Ref Target - Figure 5-16

X-Ref Target - Figure 5-17

Page 58: HDMI 1.4/2.0 Receiver Subsystem v2 - ザイリンクス - All ... 1.4/2.0 RX Subsystem 6 PG236 2017 年 4 月 5 日 japan.xilinx.com 第 1 章: 概要 ライセンスおよび注文情報

HDMI 1.4/2.0 RX Subsystem 58PG236 2017 年 4 月 5 日 japan.xilinx.com

第 5 章: サンプル デザイン

17. HDMI 1.4/2.0 Receiver Subsystem を見つけて [Import Examples] をク リ ッ ク します。

X-Ref Target - Figure 5-18

Page 59: HDMI 1.4/2.0 Receiver Subsystem v2 - ザイリンクス - All ... 1.4/2.0 RX Subsystem 6 PG236 2017 年 4 月 5 日 japan.xilinx.com 第 1 章: 概要 ライセンスおよび注文情報

HDMI 1.4/2.0 RX Subsystem 59PG236 2017 年 4 月 5 日 japan.xilinx.com

第 5 章: サンプル デザイン

18. [xhdmi_example] をオンにします。

KC705 および KCU105 ボード (MicroBlaze™ ソフ ト プロセッサ コア ベース) 用に生成したプロジェク トの場合、[xhdmi_example] をオンにします。

ZC706 ボード (Zynq®-7000 SoC ARM プロセッサ ベース) 用に生成したプロジェク トの場合は、[xhdmi_example_zynq] をオンにします。

X-Ref Target - Figure 5-19

Page 60: HDMI 1.4/2.0 Receiver Subsystem v2 - ザイリンクス - All ... 1.4/2.0 RX Subsystem 6 PG236 2017 年 4 月 5 日 japan.xilinx.com 第 1 章: 概要 ライセンスおよび注文情報

HDMI 1.4/2.0 RX Subsystem 60PG236 2017 年 4 月 5 日 japan.xilinx.com

第 5 章: サンプル デザイン

これでサンプル アプリ ケーシ ョ ンが正し く ビルド され、 .elf が使用できるよ うにな り ます。

リファレンス デザインを実行する (KC705)サンプル デザインから生成したビッ ト ス ト リームと ソフ ト ウェア .elf を使用してシステムを実行するには、 次の手順に従います。

1. [スタート ] → [すべてのプログラム] → [Xilinx Design Tools] → [Vivado 2017.1] → [Vivado 2017.1 Tcl Shell] をク リ ック して、 Xilinx System Debugger を起動します。

2. ザイ リ ンクス コマンド シェル ウ ィンド ウで、 サンプル デザインのプロジェク ト ディレク ト リに移動します。

Vivado% cd ./v_hdmi_rx_ss_0_ex

3. Xilinx System Debugger (xsdb) を起動します。

Vivado% xsdb

4. デバッグ ターゲッ トに接続します。

xsdb% connect

5. ビッ ト ス ト リームを FPGA にダウンロード します。

xsdb% fpga -file ./v_hdmi_rx_ss_0_ex.runs/impl_1/exdes_wrapper.bit

6. ターゲッ ト プロセッサを設定します。

xsdb% target -set 3

7. ソフ ト ウェア .elf を FPGA にダウンロード します。

xsdb% dow ./v_hdmi_rx_ss_0_ex.sdk/<name of bsp>_xhdmi_example_1/Debug/<name of bsp>_xhdmi_example_1.elf

X-Ref Target - Figure 5-20

Page 61: HDMI 1.4/2.0 Receiver Subsystem v2 - ザイリンクス - All ... 1.4/2.0 RX Subsystem 6 PG236 2017 年 4 月 5 日 japan.xilinx.com 第 1 章: 概要 ライセンスおよび注文情報

HDMI 1.4/2.0 RX Subsystem 61PG236 2017 年 4 月 5 日 japan.xilinx.com

第 5 章: サンプル デザイン

8. ソフ ト ウェアを実行します。

xsdb% stopxsdb% rstxsdb% con

9. XSDB コマンド プロンプ ト を終了します。

xsdb% exit

重要: KCU105 ボードで TB-FMCH-HDMI4K サンプル デザインを使用する場合、 サンプル デザイン フローで生成したビッ ト ス ト リームを FPGA にプログラムする前に FMC VADJ_1V8 電源レールを設定しておく必要があ り ます。 KCU105 ボードを使用する場合の VADJ 電源レールの設定手順は、 「KCU105 ボードの FMCH VADJ の調整」 を参照してください。 KCU105 ボードの詳細は、 『KCU105 ボード ユーザー ガイ ド』 [参照 18] を参照してください。

KCU105 ボードの FMCH VADJ の調整

KCU105 ボード システム コン ト ローラーは、 HDMI 2.0 FMC カード (TB-FMCH-HDMI4K) 用の VADJ 電源レールに電力を供給する必要があ り ます。 新しいボードのほとんどは事前にプログラムされており、 正し く検出されるはずです。 (KCU105 ボードの電源スイ ッチの近くにある ) DS19 LED が点灯している と きは、 VADJ に電力が供給されています。

旧バージ ョ ンの KCU105 ボードを使用する場合や、 ボードが適切にプログラムされていない場合は、 ビッ ト ス トリームのコンフ ィギュレーシ ョ ンの前に、 HDMI 2.0 FMC カード用に VADJ 電源レールを手動で 1.8V に設定する必要があ り ます。

UART ターミナルで VADJ 電源レールを設定するには、 次の手順を実行します。

1. KCU105 ボードの USB UART コネクタ と Windows 搭載 PC を USB ケーブルで接続します。

2. Windows デバイス マネージャーを使用して、 Zynq-7000 AP SoC システム コン ト ローラー用の UART に割り当てる仮想 COM ポート と、 UltraScale FPGA 用の UART に割り当てる COM ポート を指定します。 デバイス マネージャー画面の COM ポートの一覧で、CP210x に関連付けられる拡張版 COM ポートが、KCU105 ボード システム コン ト ローラーに接続されるポートです。 標準 COM ポートが、 FPGA UART に接続されるポートです。

3. ターミナル画面 (115200、 8、 N、 1) を開き、 その COM ポート を KCU105 ボード システム コン ト ローラーと通信するポートに設定します。

4. UART ターミナルに接続したら、 KCU105 ボードの電源を切って入れ直し、 UART ターミナルのシステム コン トローラー メニューを更新します。 システム コン ト ローラー メニューで次のオプシ ョ ンをク リ ッ ク します。

a. Adjust FPGA Mezzanine Card (FMC) Settings

5. 次のメニューで、 次のオプシ ョ ンをク リ ッ ク します。

a. Set FMC VADJ to 1.8V

Page 62: HDMI 1.4/2.0 Receiver Subsystem v2 - ザイリンクス - All ... 1.4/2.0 RX Subsystem 6 PG236 2017 年 4 月 5 日 japan.xilinx.com 第 1 章: 概要 ライセンスおよび注文情報

HDMI 1.4/2.0 RX Subsystem 62PG236 2017 年 4 月 5 日 japan.xilinx.com

第 5 章: サンプル デザイン

移行に関する注意

バージ ョ ン 2016.3 またはそれ以前のバージ ョ ンから移行する場合は、 次の点に注意してください。

• HDMI 1.4/2.0 Transmitter Subsystem の GUI には [Hot Plug Detect Active] が追加されています。

ボード デザインに従い、 このサンプル デザインでは [High] を選択してください。

• HDMI 1.4/2.0 Receiver Subsystem の GUI には [Hot Plug Detect Active] が追加されています。

ボード デザインに従い、 このサンプル デザインでは [Low] を選択してください。

• HDMI 1.4/2.0 Receiver Subsystem の GUI には [Cable Detect Active] が追加されています。

ボード デザインに従い、 このサンプル デザインでは [Low] を選択してください。

• サンプル デザインのアプリ ケーシ ョ ン ソフ ト ウェアでは、 HDCP 1.4/2.2 がデフォルトで有効になっています。

HDCP 1.4 または HDCP 2.2 を有効にするための UART オプシ ョ ンは廃止されています。

• サンプル デザインのアプリ ケーシ ョ ン ソフ ト ウェアに自動切り換えが追加されています。

HDCP 1.4 または HDCP 2.2 を UART から選択する必要はあ り ません。 接続したソース /シンクの機能に応じた HDCP が選択されます。 デバイスが HDCP 1.4 と HDCP 2.2 の両方をサポート している場合、 HDCP 2.2 が優先されます。

• HDCP リ ピーター機能が追加されています。

UART メニューで [h] を選択して有効/無効を切り換えるこ とができます。

• システム ログが UART への直接プリ ン ト アウ トからイベン ト ログに変更されています。

UART メニューで [z] を選択する と、 イベン ト ログを表示できます。

Page 63: HDMI 1.4/2.0 Receiver Subsystem v2 - ザイリンクス - All ... 1.4/2.0 RX Subsystem 6 PG236 2017 年 4 月 5 日 japan.xilinx.com 第 1 章: 概要 ライセンスおよび注文情報

HDMI 1.4/2.0 RX Subsystem 63PG236 2017 年 4 月 5 日 japan.xilinx.com

付録 A

検証、 互換性、 相互運用性

相互運用性

HDMI 1.4/2.0 Receiver Subsystem の相互運用性テス トは、 次のハード ウェア セッ ト アップを使用して実施されています。

ハードウェア テスト

HDMI 1.4/2.0 Receiver Subsystem の検証に使用したハード ウェアは次のとおりです。

• Kintex®-7 FPGA 評価キッ ト (KC705)

• Kintex® UltraScale™ FPGA 評価キッ ト (KCU105)

• Inrevium Artix-7 FPGA ACDC A7 評価ボード

• Zynq-7000 All Programmable SoC 評価ボード (ZC706)

この リ リースのテス トに使用したソース デバイスは次のとおりです。

• Quantum Data 980B

• Quantum Data 780B

• Apple TV (Gen 2/3/4)

• Android M8 メディア プレーヤー

• Apple MacBook Pro

• Google Chromecast

• Open Hour メディア ボッ クス

• Dell Latitude ラ ップ ト ップ (E7240)

• Intel HD Graphics 4000

• Nvidia GTX970 グラフ ィ ッ クス カード

• UGOOS メディア ボッ クス

• LG 27mu67

• LG BP736

• Philips BDP2180K

• Sony BDP-S3500

• Sony BDP-S6500

• Samsung BD-J5900

Page 64: HDMI 1.4/2.0 Receiver Subsystem v2 - ザイリンクス - All ... 1.4/2.0 RX Subsystem 6 PG236 2017 年 4 月 5 日 japan.xilinx.com 第 1 章: 概要 ライセンスおよび注文情報

HDMI 1.4/2.0 RX Subsystem 64PG236 2017 年 4 月 5 日 japan.xilinx.com

付録 A: 検証、 互換性、 相互運用性

• Murideo ビデオ ジェネレーター /SIX-G

• Nvidia シールド

• Roku 4

• Nvidia GTX980

ビデオ解像度

図 A-1 に、 AXI4-Stream ビデオ インターフェイスを選択した場合のハードウェア構成を示します。 HDMI ソースを Video PHY Controller に接続し、 そこで HDMI ビデオを リ ンク データに変換した後、 HDMI RX Subsystem に送信します。 次に、 HDMI RX Subsystem がリ ンク データを AXI4-Stream ビデオに変換し、 Test Pattern Generator に送信します。Test Pattern Generator をパススルー モードに設定した場合、 HDMI RX Subsystem からの AXI4-Stream ビデオはそのまま HDMI TX Subsystem に渡され、そこで再びリ ンク データに変換されてから Video PHY Controller に戻されます。次に、 Video PHY Controller はリ ンク データを再び HDMI ビデオに変換し、 HDMI シンクへ送信します。

Video PHY Controller の設定と PLL 選択の詳細は、 『Video PHY Controller LogiCORE IP 製品ガイ ド』 (PG230) [参照 22] を参照してください。

図 A-2 に、 ネイティブ ビデオ インターフェイスを選択した場合のハードウェア構成を示します。 先ほどとの違いは、 HDMI RX Subsystem と Test Pattern Generator の間、 および Test Pattern Generator と HDMI TX Subsystem の間に Video ブリ ッジ モジュールが 1 つずつ追加されている点のみです。

X-Ref Target - Figure A-1

図 A-1: AXI4-Stream ビデオ インターフェイスの場合のテスト構成

Page 65: HDMI 1.4/2.0 Receiver Subsystem v2 - ザイリンクス - All ... 1.4/2.0 RX Subsystem 6 PG236 2017 年 4 月 5 日 japan.xilinx.com 第 1 章: 概要 ライセンスおよび注文情報

HDMI 1.4/2.0 RX Subsystem 65PG236 2017 年 4 月 5 日 japan.xilinx.com

付録 A: 検証、 互換性、 相互運用性

表 A-1、 表 A-2、 および表 A-3 に、 この リ リースでテス ト済みのビデオ解像度をビデオ フォーマッ トの種類別に示します。

X-Ref Target - Figure A-2

図 A-2: ネイティブ ビデオ インターフェイスの場合のテスト構成

表 A-1: RGB 4:4:4 および YCbCr 4:4:4 でテスト済みのビデオ解像度

解像度水平 垂直 フレーム

レート (Hz)合計 アクテ ィブ 合計 アクテ ィブ

480i60 858 720 525 480 60

576i50 864 720 625 576 50

1080i50 2640 1920 1125 1080 50

1080i60 2200 1920 1125 1080 60

480p60 858 720 525 480 60

576p50 864 720 625 576 50

720p50 1980 1280 750 720 50

720p60 1650 1280 750 720 60

1080p24 2750 1920 1125 1080 24

1080p25 2640 1920 1125 1080 25

1080p30 2200 1920 1125 1080 30

1080p50 2640 1920 1125 1080 50

1080p60 2200 1920 1125 1080 60

Page 66: HDMI 1.4/2.0 Receiver Subsystem v2 - ザイリンクス - All ... 1.4/2.0 RX Subsystem 6 PG236 2017 年 4 月 5 日 japan.xilinx.com 第 1 章: 概要 ライセンスおよび注文情報

HDMI 1.4/2.0 RX Subsystem 66PG236 2017 年 4 月 5 日 japan.xilinx.com

付録 A: 検証、 互換性、 相互運用性

1080p120 2200 1920 1125 1080 120

2160p24 5500 3840 2250 2160 24

2160p25 5280 3840 2250 2160 25

2160p30 4400 3840 2250 2160 30

2160p60 4400 3840 2250 2160 60

4096x2160p60 4400 4096 2250 2160 60

vgap60 800 640 525 480 60

svgap60 1056 800 628 600 60

xgap60 1344 1024 806 768 60

sxgap60 1688 1280 1066 1024 60

wxgap60 1440 1280 790 768 60

wxga+p60 1792 1366 798 768 60

uxgap60 2160 1600 1250 1200 60

wuxgap60 2592 1920 1245 1200 60

wsxgap60 2240 1680 1089 1050 60

注記:1. VPHY による制限のため、 一部の解像度はサポート されていません。 詳細は、 『Video PHY Controller LogiCORE IP 製品ガイ ド』

(PG230) [参照 22] を参照して ください。

2. この リ リースの HDMI 1.4/2.0 Receiver Subsystem は、 8、 10、 および 12BPC でのみ UXGA 60Hz をサポート します。

表 A-2: YCbCr 4:2:2 (12BPC) でテスト済みのビデオ解像度

解像度水平 垂直

フレーム レート (Hz)合計 アクティブ 合計 アクテ ィブ

1080i50 2640 1920 1125 1080 50

1080i60 2200 1920 1125 1080 60

480p60 858 720 525 480 60

576p50 864 720 625 576 50

720p50 1980 1280 750 720 50

720p60 1650 1280 750 720 60

1080p24 2750 1920 1125 1080 24

1080p25 2640 1920 1125 1080 25

1080p30 2200 1920 1125 1080 30

1080p50 2640 1920 1125 1080 50

1080p60 2200 1920 1125 1080 60

2160p24 5500 3840 2250 2160 24

2160p25 5280 3840 2250 2160 25

表 A-1: RGB 4:4:4 および YCbCr 4:4:4 でテスト済みのビデオ解像度 (続き)

解像度水平 垂直 フレーム

レート (Hz)合計 アクテ ィブ 合計 アクテ ィブ

Page 67: HDMI 1.4/2.0 Receiver Subsystem v2 - ザイリンクス - All ... 1.4/2.0 RX Subsystem 6 PG236 2017 年 4 月 5 日 japan.xilinx.com 第 1 章: 概要 ライセンスおよび注文情報

HDMI 1.4/2.0 RX Subsystem 67PG236 2017 年 4 月 5 日 japan.xilinx.com

付録 A: 検証、 互換性、 相互運用性

2160p30 4400 3840 2250 2160 30

vgap60 800 640 525 480 60

svgap60 1056 800 628 600 60

wxgap60 1440 1280 790 768 60

wxga+p60 1792 1366 798 768 60

uxgap60 2160 1600 1250 1200 60

wuxgap60 2592 1920 1245 1200 60

wsxgap60 2240 1680 1089 1050 60

表 A-3: YCbCr 4:2:0 (8、 10、 12、 16BPC) でテスト済みのビデオ解像度

解像度水平 垂直

フレーム レート (Hz)合計 アクティブ 合計 アクテ ィブ

2160p60 4400 3840 2250 2160 60

表 A-2: YCbCr 4:2:2 (12BPC) でテスト済みのビデオ解像度

解像度水平 垂直

フレーム レート (Hz)合計 アクティブ 合計 アクテ ィブ

Page 68: HDMI 1.4/2.0 Receiver Subsystem v2 - ザイリンクス - All ... 1.4/2.0 RX Subsystem 6 PG236 2017 年 4 月 5 日 japan.xilinx.com 第 1 章: 概要 ライセンスおよび注文情報

HDMI 1.4/2.0 RX Subsystem 68PG236 2017 年 4 月 5 日 japan.xilinx.com

付録 B

デバッグこの付録では、 ザイ リ ンクス サポート ウェブサイ ト よ り入手可能な リ ソースおよびデバッグ ツールについて説明します。

ヒン ト : IP の生成にエラーが発生し停止した場合、 ライセンスに問題がある可能性があ り ます。 詳細は、 第 1 章の「ライセンス チェッカー」 を参照してください。

ザイリンクス ウェブサイ ト

HDMI 1.4/2.0 Receiver Subsystem を使用した設計およびデバッグでヘルプが必要な場合は、 ザイ リ ンクス サポート ウェブ ページから製品の資料、 リ リース ノート、 アンサーなどを参照するか、 テクニカル サポートでサービス リクエス ト を作成してください。

資料

この製品ガイ ドは HDMI 1.4/2.0 Receiver Subsystem に関する主要資料です。 このガイ ド、 並びに設計プロセスで使用する各製品の関連資料はすべて、 ザイ リ ンクス サポート ウェブ ページ (https://japan.xilinx.com/support) または Xilinx Documentation Navigator から入手できます。

Xilinx Documentation Navigator は、 ダウンロード ページからダウンロードできます。 このツールの詳細および機能は、 インス トール後にオンライン ヘルプを参照してください。

アンサー アンサーには、 よ く発生する問題についてその解決方法、 およびザイ リ ンクス製品に関する既知の問題などの情報が記載されています。 アンサーは、 ユーザーが該当製品の最新情報にアクセスできるよ う作成および管理されています。

このコアに関するアンサーの検索には、 ザイ リ ンクス サポート ウェブ ページにある検索ボッ クスを使用します。 より的確な検索結果を得るには、 次のよ うなキーワードを使用してください。

• 製品名

• ツールで表示される メ ッセージ

• 問題の概要

検索結果は、 フ ィルター機能を使用してさ らに絞り込むこ とができます。

HDMI 1.4/2.0 Receiver Subsystem に関するマスター アンサー

AR: 54546

Page 69: HDMI 1.4/2.0 Receiver Subsystem v2 - ザイリンクス - All ... 1.4/2.0 RX Subsystem 6 PG236 2017 年 4 月 5 日 japan.xilinx.com 第 1 章: 概要 ライセンスおよび注文情報

HDMI 1.4/2.0 RX Subsystem 69PG236 2017 年 4 月 5 日 japan.xilinx.com

付録 B: デバッグ

テクニカル サポート

ザイ リ ンクスは、 製品資料の説明に従って使用されている LogiCORE™ IP 製品に対するテクニカル サポート を japan.xilinx.com/support で提供しています。 ただし、 次に該当する場合、 タイ ミ ング、 機能、 サポートは保証されません。

• 資料で定義されていないデバイスにソ リ ューシ ョ ンをインプリ メン ト した場合。

• 資料で定義されている許容範囲を超えてカスタマイズした場合。

• 「DO NOT MODIFY」 と されているデザイン セクシ ョ ンに変更を加えた場合。

ザイ リ ンクス テクニカル サポートへのお問い合わせは、 ザイ リ ンクス サポート ウェブ ページを参照してください。

デバッグ ツール

HDMI 1.4/2.0 Receiver Subsystem デザインの問題を解決するには、 いくつかのツールを利用できます。 さまざまな状況をデバッグするのに有益なツールを理解しておく こ とが重要です。

Vivado Design Suite のデバッグ機能

Vivado® Design Suite のデバッグ機能は、 Logic Analyzer および Virtual I/O コアをユーザー デザインに直接挿入します。 デバッグ機能を使用する と、 ト リガー条件を設定して、 アプリ ケーシ ョ ンおよび統合ブロッ クのポート信号をハード ウェアに取り込むこ とができます。 取り込まれた信号は、 その後解析できます。 Vivado IDE のこの機能は、ザイ リ ンクス デバイスで実行されるデザインの論理デバッグおよび検証に使用されます。

Vivado ロジッ ク解析は次の IP ロジッ ク デバッグ コアと共に使用されます。

• ILA 2.0 (およびそれ以降のバージ ョ ン)

• VIO 2.0 (およびそれ以降のバージ ョ ン)

詳細は、 『Vivado Design Suite ユーザー ガイ ド : プログラムおよびデバッグ』 (UG908) [参照 19] を参照して ください。

リファレンス ボード

HDMI 1.4/2.0 Receiver Subsystemはさまざまなザイ リ ンクス開発ボードでサポート されています。 これらのボードを使用してデザインのプロ ト タイプを作成し、 サブシステムがシステムと通信できるよ うにします。

• 7 シ リーズ FPGA 評価ボード

° KC705

• UltraScale FPGA 評価ボード

° KCU105

• Zynq-7000 All Programmable SoC 評価ボード

° ZC706

Page 70: HDMI 1.4/2.0 Receiver Subsystem v2 - ザイリンクス - All ... 1.4/2.0 RX Subsystem 6 PG236 2017 年 4 月 5 日 japan.xilinx.com 第 1 章: 概要 ライセンスおよび注文情報

HDMI 1.4/2.0 RX Subsystem 70PG236 2017 年 4 月 5 日 japan.xilinx.com

付録 B: デバッグ

ハードウェア デバッグ

ハードウェアの問題は、 リ ンク立ち上げ時の問題から、 テス ト後に生じる問題までさまざまです。 こ こでは、 一般的な問題のデバッグ手順を説明します。 Vivado のデバッグ機能は、 ハード ウェア デバッグに有益な リ ソースです。次の各セクシ ョ ンに示す信号を Vivado のデバッグ機能でプローブするこ とで、 個々の問題をデバッグできます。

一般的なチェ ック

• インプ リ メンテーシ ョ ン時に、 すべてのタイ ミ ング制約およびその他の制約すべてが満たされているこ とを確認します。

• すべてのクロ ッ ク ソースがアクティブでク リーンであるこ とを確認して ください。

• デザインで MMCM を使用している場合、 locked ポート をモニターして、 すべての MMCM がロ ッ ク しているこ とを確認します。

• 出力が 0 になった場合は、 ライセンスを確認してください。

° ユーザー LED (KC705/KCU105/ZC706)

° LED0 - HDMI TX Subsystem ロ ッ ク (HDMI サンプル デザイン使用時)

インターフェイスのデバッグ

AXI4-Lite インターフェイス

デフォルトがすべて 0 でないレジスタから読み出して、 インターフェイスが機能しているこ とを確認します。 読み出しアドレスが有効になる と出力 s_axi_arready がアサート され、 読み出しデータ /応答が有効になる と s_axi_rvalid がアサート されます。 インターフェイスが応答しない場合は、 次を確認します。

• s_axi_aclk および aclk 入力が接続されており、 ト グルしているこ とを確認します。

• インターフェイスが リセッ ト状態に保持されておらず、 s_axi_areset がアクティブ Low のリセッ トであることを確認します。

• インターフェイスが有効になっており、 s_axi_aclken がアクティブ High であるこ とを確認します (使用されている場合)。

• メ インのサブシステム ク ロ ッ クが ト グルしており、 イネーブル信号がアサート されているこ とを確認します。

AXI4-Stream インターフェイス

データが送信または受信されていない場合は、 次を確認します。

• <interface_name>_tvalid 入力がアサート された後、 送信の <interface_name>_tready が Low のままになる場合、 コアはデータを送信できません。

• 受信の <interface_name>_tvalid が Low のままになる場合、 コアはデータを受信しません。

• aclk 入力が接続されており、 ト グルしているこ とを確認します。

• AXI4-Stream の波形に従っているこ とを確認します。

• サブシステムの設定を確認します。

Page 71: HDMI 1.4/2.0 Receiver Subsystem v2 - ザイリンクス - All ... 1.4/2.0 RX Subsystem 6 PG236 2017 年 4 月 5 日 japan.xilinx.com 第 1 章: 概要 ライセンスおよび注文情報

HDMI 1.4/2.0 RX Subsystem 71PG236 2017 年 4 月 5 日 japan.xilinx.com

付録 C

アプリケーシ ョ ン ソフ トウェア開発

デバイス ド ライバー

HDMI 1.4/2.0 Receiver Subsystem ド ラ イバー (以下、 サブシステム ド ラ イバー ) はサブシステムに含まれるサポート モジュールを抽象化し、 これらを制御するための API を提供します。 API はユーザー アプリ ケーシ ョ ンへの統合が容易で、 そのまますぐに使用できます。

サブシステム ド ラ イバーはベアメ タル ド ラ イバーで、 各サブコアが提供する機能セッ ト を抽象化した形で可視化します。 サブシステム ド ラ イバーは、 I/O ス ト リームの構成に基づいて実行時にプロセッシング エレ メン トからデータおよび制御フローを動的に管理します。 また、 内部でサブコア ド ラ イバーを使用してサブコア IP ブロ ッ クをコンフ ィギュレーシ ョ ンします。

アーキテクチャ

サブシステム ド ラ イバーには明確に定義された使いやすい API があ り ます。 このため、 各サブコアを 1 つずつ理解してコンフ ィギュレーシ ョ ンする といった複雑な手順を踏まなくても、 サブシステムは簡単にアプリ ケーシ ョ ンに統合できます。

サブシステム ド ラ イバーの構成は次のとおりです。

• サブシステム レイヤー : エクスポート されたハード ウェアに問い合わせてサブシステムのハード ウェア構成を判定し、 ビルド時にサブコア ド ラ イバーを取り込みます。 レジスタ レベルでハードウェアと通信するサブコア ド ラ イバーを API 関数セッ ト と して抽象化します。 サブシステム ド ラ イバーはこれらの API を使用して、 プロセッシング エレ メン トからデータフローを動的に管理します。

• サブコア ド ラ イバー : サブシステムに含まれるすべてのサブコアにそれぞれド ライバーがあ り、 コア ハードウェアとはこのド ライバーが提供する API を介して接続します。

図 C-1 に、 HDMI 1.4/2.0 Receiver Subsystem のアーキテクチャを示します。

Page 72: HDMI 1.4/2.0 Receiver Subsystem v2 - ザイリンクス - All ... 1.4/2.0 RX Subsystem 6 PG236 2017 年 4 月 5 日 japan.xilinx.com 第 1 章: 概要 ライセンスおよび注文情報

HDMI 1.4/2.0 RX Subsystem 72PG236 2017 年 4 月 5 日 japan.xilinx.com

付録 C: アプリケーシ ョ ン ソフ トウェア開発

HDMI 1.4/2.0 Receiver Subsystem は MAC サブシステムで、 Video PHY Controller (PHY) と組み合わせてビデオ コネクティビティ システムを構成します。HDMI 1.4/2.0 Receiver Subsystem はザイ リ ンクス Video PHY Controller と密に結合しています。 Video PHY Controller はそれ自体独立しており、 複数のプロ ト コルをサポートする柔軟なアーキテクチャを備えています。 MAC と PHY はどちら も AXI4-Lite インターフェイスを利用して動的にプログラムできます。

X-Ref Target - Figure C-1

図 C-1: サブシステム ド ライバーのアーキテクチャ

Application Layer

Subsystem Driver

Sub-Core IP1 Driver Sub-Core IP2 Driver Sub-Core IPn Driver

X-Ref Target - Figure C-2

図 C-2: MAC と PHY のインターフェイス

Page 73: HDMI 1.4/2.0 Receiver Subsystem v2 - ザイリンクス - All ... 1.4/2.0 RX Subsystem 6 PG236 2017 年 4 月 5 日 japan.xilinx.com 第 1 章: 概要 ライセンスおよび注文情報

HDMI 1.4/2.0 RX Subsystem 73PG236 2017 年 4 月 5 日 japan.xilinx.com

付録 C: アプリケーシ ョ ン ソフ トウェア開発

使用法

HDMI 1.4/2.0 Receiver Subsystem には、 アプリ ケーシ ョ ン コードから使用可能な API 関数セッ トがあ り ます。 さ らに、 HDMI 1.4/2.0 Receiver Subsystem でハードウェア割り込みが生成される と、 サブシステム ド ラ イバーが呼び出されてシステムが適切に設定されます。 HDMI 1.4/2.0 Receiver Subsystem には、 ユーザー定義のコールバッ ク関数をフッ クするためのコールバッ ク構造があ り ます。

ビデオ ス ト リームが開始しているこ とを確認します。 ビデオがロ ッ ク された後、 有効な AUX データおよびオーディオ データを挿入できます。 ただし、 アプリ ケーシ ョ ンは送信されるビデオ フォーマッ ト と組み込まれるオーディオ フォーマッ ト を把握しているため、 この情報を使用して、 オーディオ ス ト リームの送信準備が完了する前に ACR の数値の計算と設定が可能です。

以降のセクシ ョ ンでは、 HDMI に関連するモジュールのみを取り上げます。 タイマー、 UART、 外部システム ク ロ ック ジェネレーターなどのシステム ペリ フェラルはユーザー アプリ ケーシ ョ ンで管理する必要があ り ます。

アプリケーシ ョ ンへの統合

図 C-3 に、HDMI 1.4/2.0 Receiver Subsystem を実際のアプリ ケーシ ョ ンで使用する方法をサンプル コードで示します。

X-Ref Target - Figure C-3

図 C-3: アプリケーシ ョ ン サンプル コード

Page 74: HDMI 1.4/2.0 Receiver Subsystem v2 - ザイリンクス - All ... 1.4/2.0 RX Subsystem 6 PG236 2017 年 4 月 5 日 japan.xilinx.com 第 1 章: 概要 ライセンスおよび注文情報

HDMI 1.4/2.0 RX Subsystem 74PG236 2017 年 4 月 5 日 japan.xilinx.com

付録 C: アプリケーシ ョ ン ソフ トウェア開発

実際のアプリ ケーシ ョ ンに HDMI 1.4/2.0 Receiver Subsystem ド ラ イバーを統合して使用する場合は、 次の手順に従います。

1. サブシステム オブジェク ト を定義したサブシステム ヘッダー ファ イル xv_hdmirxss.h をインクルード します。

2. アプリ ケーシ ョ ン コードでサブシステムのド ライバー インスタンス用のス ト レージを提供します。

例:

XV_HdmiRxSs HdmiRxSs;

3. サブシステム ド ラ イバー インスタンスには、 サブシステムのハード ウェア構成を格納する メ タデータ構造があり ます。 アプ リ ケーシ ョ ン コードでそのインスタンスを指し示すポインター変数を宣言します。

XV_HdmiRxSs_Config *XV_HdmiRxSs_ConfigPtr;

4. HDMI 1.4/2.0 Receiver Subsystem Subsystem の EDID パラ メーターを設定します。

void XV_HdmiRxSs_SetEdidParam(XV_HdmiRxSs *InstancePtr, u8 *EdidDataPtr, u16 Length);

5. 各サブシステム インスタンスについて、 手順 2 および 3 で宣言したデータ構造体をそれぞれのハードウェア構成に基づいて初期化する必要があ り ます。 ハード ウェア構成は xparameters.h から メ タデータ構造を通じて渡され、 固有のデバイス ID で識別されます。

サブシステムを初期化するには、 次の 2 つの API 関数を呼び出します。

XV_HdmiRxSs_Config* XV_HdmiRxSs_LookupConfig(u32 DeviceId); int XV_HdmiRxSs_CfgInitialize(XV_HdmiRxSs *InstancePtr, XV_HdmiRxSs_Config *CfgPtr,

u32 EffectiveAddr);

デバイス ID は xparameters.h に記述してあ り ます。

XPAR_[HDMI RX Subsystem Instance Name in IPI]_DEVICE_ID

6. 各割り込みソースには、 ISR との関連付けがサブシステムで定義されています。 システム割り込みコン ト ローラーに ISR を登録し、 割り込みを有効にします。

int XIntc_Connect(XIntc *InstancePtr, u8 Id,

XInterruptHandler Handler, void *CallBackRef);

void XIntc_Enable(XIntc *InstancePtr, u8 Id);

ID は xparameters.h に記述してあ り ます。

注記:

1. 前述の API 関数を呼び出す前に、 256 バイ トの EDID データを準備し、 アレイに格納します。

2. EDID データは、 初期化時に HDMI 1.4/2.0 Receiver Subsystem にロード されます。 この処理はサブシステム ド ライバーが行うため、 ユーザーによる操作は必要あ り ません。

HDCP RX の概要

HDMI 1.4/2.0 Receiver Subsystem ド ラ イバーは HDCP 1.4 および HDCP 2.2 ド ラ イバーの API を 1 つの共通 API に結合し、 ユーザー レベル アプリ ケーシ ョ ンはこの共通 API を使用します。 HDCP ド ラ イバーの共通 API は、 HDCP 1.4 のみ、 HDCP 2.2 のみ、 およびその両方の HDCP 構成を処理できます。 両方のプロ ト コルを有効にした場合、 共通 HDCP ド ラ イバーは両方が同時にアクティブにならないよ うにします。

Page 75: HDMI 1.4/2.0 Receiver Subsystem v2 - ザイリンクス - All ... 1.4/2.0 RX Subsystem 6 PG236 2017 年 4 月 5 日 japan.xilinx.com 第 1 章: 概要 ライセンスおよび注文情報

HDMI 1.4/2.0 RX Subsystem 75PG236 2017 年 4 月 5 日 japan.xilinx.com

付録 C: アプリケーシ ョ ン ソフ トウェア開発

HDCP RX ド ライバーの統合

このセクシ ョ ンでは、 HDCP RX を初期化して実行するのに必要な手順について説明します。 ド ライバーを正し く動作させるには、 おおよそこ こに示した順にアプリ ケーシ ョ ンで関数を呼び出す必要があ り ます。 HDCP 1.4 と HDCP 2.2 のどちらか一方のプロ ト コルのみを有効にした場合、 使用しない関数呼び出しは不要です。

1. HDCP プロダクシ ョ ン キーを HDMI サブシステムに読み込みます。 この関数は、 読み込むキーごとに呼び出す必要があ り ます。 HDCP 1.4 と HDCP 2.2 を両方有効にした場合はすべてのキーを読み込みます。 それ以外の場合は、 必要なキーのみを読み込みます。 HDCP のキー オクテッ ト文字列を格納するバイ ト アレイはビッグ エンディアンのバイ ト順で定義される点に注意してください。

° XV_HdmiRxSs_HdcpSetKey

- XV_HDMIRXSS_KEY_HDCP14

- XV_HDMIRXSS_KEY_HDCP22_LC128 (128 ビッ ト DCP ライセンス取得済み定数)

- XV_HDMIRXSS_KEY_HDCP22_PRIVATE (902 バイ ト DCP レシーバー デバイス キー セッ ト )

2. HDCP キーを読み込んだ後、 HDMI 1.4/2.0 Receiver Subsystem ド ラ イバーを初期化します。 サブシステムを初期化する と、 HDCP 1.4/2.2 ド ラ イバーが内部で開始します。

3. HDCP 割り込みハンド ラーを割り込みコン ト ローラーの割り込み ID に接続します。

° XV_HdmiRxSS_HdcpIntrHandler

° XV_HdmiRxSS_HdcpTimerIntrHandler

4. HDCP 認証済みユーザー コールバッ クを設定します。 このコールバッ クは、 HDCP 認証ステート マシンが認証済みステートに遷移する と実行されます。 アプリ ケーシ ョ ン レベルでこの関数を使用しない場合は、 コールバッ クを未定義のままにします。

° XV_HdmiRxSs_SetCallback

- XV_HDMIRXSS_HANDLER_HDCP_AUTHENTICATE

5. ポーリ ング関数を実行して HDCP ステート マシンを実行します。 この関数はどの HDCP プロ ト コルが有効かを確認し、 アクティブなプロ ト コルのみを実行します。 この関数呼び出しは、 ユーザー アプリ ケーシ ョ ンのメ イン ループに挿入して継続的に実行するよ うにします。 HDCP RX ステート マシンはこのポーリ ング関数を使用して動作するため、 特に認証の実行中などではこの関数に十分な CPU 実行時間が割り当てられるよ うに注意する必要があ り ます。

° XV_HdmiRxSs_HdcpPoll

6. HDCP プロ ト コルを HDCP 1.4、 HDCP 2.2、 またはなしに設定します。 どのプロ ト コルがアクティブかを HDMI サブシステムに通知し、 プロ ト コルの競合を防ぐために、 HDCP プロ ト コルを設定する必要があ り ます。 また、どのプロ ト コルがアクティブになっているかもチェッ ク します。

° XV_HdmiRxSs_HdcpSetProtocol

- XV_HDMIRXSS_HDCP_NONE

- XV_HDMIRXSS_HDCP_14

- XV_HDMIRXSS_HDCP_22

° XV_HdmiRxSs_HdcpGetProtocol

7. 認証のステータスをチェッ ク します。

° XV_HdmiRxSs_HdcpIsAuthenticated

位置 (バイ ト ) サイズ (バイ ト ) 説明

0-39 40 予約

40-561 522 デバイス公開証明

562–901 340 デバイス秘密キー

Page 76: HDMI 1.4/2.0 Receiver Subsystem v2 - ザイリンクス - All ... 1.4/2.0 RX Subsystem 6 PG236 2017 年 4 月 5 日 japan.xilinx.com 第 1 章: 概要 ライセンスおよび注文情報

HDMI 1.4/2.0 RX Subsystem 76PG236 2017 年 4 月 5 日 japan.xilinx.com

付録 C: アプリケーシ ョ ン ソフ トウェア開発

8. 暗号化ステータスをチェッ ク します。 これはその瞬間の暗号化ステータスであ り、 次のフレームでは変化するこ とがあ り ます。

° XV_HdmiRxSs_HdcpIsEncrypted

9. 全体的な HDCP プロ ト コル ステータス と ログ データをチェッ ク します。 報告されるログ情報の詳細レベルも設定できます。

° XV_HdmiRxSs_HdcpInfo

° XV_HdmiRxSs_SetInfoDetail

HDMI RX Subsystem で使用する Video PHY Controller ド ライバーの統合

HDMI 1.4/2.0 Receiver Subsystem は Video PHY Controller と密に結合して使用するため、 Video PHY Controller をユーザー アプリ ケーシ ョ ンで使用する方法をサンプル コードで示します。

アプ リ ケーシ ョ ン コードに HDMI 1.4/2.0 Receiver Subsystem 用の Video PHY Controller を統合して使用する場合は、次の手順に従います。

1. サブシステム オブジェク ト を定義したサブシステム ヘッダー ファ イル xvphy.h をインクルード します。

X-Ref Target - Figure C-4

図 C-4: アプリケーシ ョ ン サンプル コード

Page 77: HDMI 1.4/2.0 Receiver Subsystem v2 - ザイリンクス - All ... 1.4/2.0 RX Subsystem 6 PG236 2017 年 4 月 5 日 japan.xilinx.com 第 1 章: 概要 ライセンスおよび注文情報

HDMI 1.4/2.0 RX Subsystem 77PG236 2017 年 4 月 5 日 japan.xilinx.com

付録 C: アプリケーシ ョ ン ソフ トウェア開発

2. アプリ ケーシ ョ ン コードで Video PHY Controller のインスタンスを宣言し、 空間を割り当てます。

例:

XVphy Vphy;

3. Video PHY Controller インスタンスには、 コン ト ローラーのハードウェア構成を格納する メ タデータ構造があ ります。 アプ リ ケーシ ョ ン コードでそのインスタンスを指し示すポインター変数を宣言します。

XVphy_Config *XVphyCfgPtr;

4. Video PHY Controller インスタンスについて、 上記のデータ構造体をそれぞれのハードウェア構成に基づいて初期化する必要があ り ます。 ハード ウェア構成は xparameters.h から メ タ構造を通じて渡され、 固有のデバイス ID で識別されます。

Video PHY Controller を初期化するには、 次の 2 つの API 関数を呼び出します。

XVphy_Config *XVphy_LookupConfig(u16 DeviceId);u32 XVphy_HdmiInitialize(XVphy *InstancePtr,

u8 QuadId, XVphy_Config *CfgPtr, u32 SystemFrequency);

デバイス ID は xparameters.h に記述してあ り ます。

XPAR_[Video PHY Controller Instance Name in IPI]_DEVICE_ID

同様に、 SystemFrequency (システム周波数) も xparameters.h に記述してあ り ます。

注記:

• Video PHY Controller の初期化は、 HDMI 1.4/2.0 Receiver Subsystem の初期化が完了した後に実行するこ とを推奨します。

• システム アプリ ケーシ ョ ンへの統合には、 Video PHY Controller の割り込みを登録する手順も必要です。 この手順は前のセクシ ョ ンで示したものと同じであるため、 こ こでは省略します。

割り込み

こ こでは、 HDMI 1.4/2.0 Receiver Subsystem で生成されるすべての割り込みを示します。

1. HPD – HDMI ケーブルの 5.0V 信号を検出するペリ フェラル I/O です。

a. 立ち上がりエッジ – ケーブル接続

b. 立ち下がりエッジ – ケーブル切断

2. Link Ready – Video PHY Controller を リ コンフ ィギュレーシ ョ ンするたびに link_clk が再生成されます。link_clk ステータスの変化は、 HDMI RX サブコアのレジスタ ビッ ト ( リ ンク ステータス ビッ ト ) に反映されます。 link_clk の安定を検出する と、 1 にセッ ト されます。 link_clk が不安定になる と、 0 にク リ アされます。 Link Ready はリ ンク ステータス ビッ トの変化を検出するための割り込みです。

a. 立ち上がりエッジ – リ ンク アップ

b. 立ち下がりエッジ – リ ンク ダウン

3. Video Ready – この割り込みは、 受信したビデオ ス ト リームのステータスを反映するために HDMI RX サブコアによって生成されます。

a. 立ち上がりエッジ – ビデオ ス ト リームが安定している (ス ト リーム アップ)

b. 立ち下がりエッジ – ビデオ ス ト リームが安定していない (ス ト リーム ダウン)

4. HDMI レシーバー補助 InfoFrame 割り込み – 補助 InfoFrame を受信する と この割り込みが生成されます。

5. HDMI レシーバー オーディオ InfoFrame 割り込み – オーディオ InfoFrame を受信する と この割り込みが生成されます。

Page 78: HDMI 1.4/2.0 Receiver Subsystem v2 - ザイリンクス - All ... 1.4/2.0 RX Subsystem 6 PG236 2017 年 4 月 5 日 japan.xilinx.com 第 1 章: 概要 ライセンスおよび注文情報

HDMI 1.4/2.0 RX Subsystem 78PG236 2017 年 4 月 5 日 japan.xilinx.com

付録 C: アプリケーシ ョ ン ソフ トウェア開発

6. HDCP 1.4 割り込み (ハードウェアで HDCP 1.4 を有効にした場合のみ利用可能)

7. HDCP 1.4 タイマー割り込み (ハードウェアで HDCP 1.4 を有効にした場合のみ利用可能)

アプリケーシ ョ ン コールバック関数

サブシステム ド ラ イバーには、 割り込みコンテキス ト内で呼び出されるユーザー定義関数をアプリ ケーシ ョ ンから登録するためのメカニズムがあ り ます。

アプ リ ケーシ ョ ン コードで定義したコールバッ ク関数は、 次の定義済み API を使用して所定のハンド ラーに登録する必要があ り ます。

int XV_HdmiRxSs_SetCallback(XV_HdmiRxSs *InstancePtr, u32 HandlerType, void *CallbackFuncPtr, void *CallbackRef);

利用可能なハンド ラーは xv_hdmirxss.h に定義されています。

• 「XV_HDMIRXSS_HANDLER_CONNECT」

• 「XV_HDMIRXSS_HANDLER_AUX」

• 「XV_HDMIRXSS_HANDLER_AUD」

• 「XV_HDMIRXSS_HANDLER_STREAM_UP」

表 C-1: 割り込みソースとアプリケーシ ョ ン コールバック関数のマップ

割り込み コールバック

HPD XV_HDMIRXSS_HANDLER_CONNECT

Link Ready

Video Ready

注記: エッジ ト リ ガーです。

XV_HDMIRXSS_HANDLER_STREAM_UP

XV_HDMIRXSS_HANDLER_STREAM_DOWN

Video Ready 立ち上がりエッジ: ス ト リーム アップ

Video Ready 立ち下がりエッジ: ス ト リーム ダウン

XV_HDMIRXSS_HANDLER_STREAM_INIT

注記: このコールバッ ク関数はどの割り込みソースにも直接マップされません。 ス

ト リームが検出された後、 Video PHY Controller が安定して HDMI RX Subsystem がス

ト リームのロ ッ クを開始する と実行されます。

HDMI レシーバー補助 InfoFrame 割り込み

XV_HDMIRXSS_HANDLER_AUX

HDMI レシーバー オーディオ InfoFrame 割り込み

XV_HDMIRXSS_HANDLER_AUD

HDCP 1.4 割り込み

HDCP 1.4 タイマー割り込み

HDCP 2.2 タイマー割り込み (ハードウェアで HDCP 2.2 を有効にした場合のみ利用可能)

XV_HDMIRXSS_HANDLER_HDCP_AUTHENTICATE

注記: このコールバッ ク関数はどの割り込みソースにも直接マップされません。 こ

のコールバッ クは、 HDCP 認証ステート マシンが認証済みステートに遷移する と実

行されます。

Page 79: HDMI 1.4/2.0 Receiver Subsystem v2 - ザイリンクス - All ... 1.4/2.0 RX Subsystem 6 PG236 2017 年 4 月 5 日 japan.xilinx.com 第 1 章: 概要 ライセンスおよび注文情報

HDMI 1.4/2.0 RX Subsystem 79PG236 2017 年 4 月 5 日 japan.xilinx.com

付録 C: アプリケーシ ョ ン ソフ トウェア開発

• 「XV_HDMIRXSS_HANDLER_STREAM_DOWN」

• 「XV_HDMIRXSS_HANDLER_STREAM_INIT」

• 「XV_HDMIRXSS_HANDLER_HDCP_AUTHENTICATE」

XV_HDMIRXSS_HANDLER_CONNECT

この割り込みは、HDMI RX ケーブルの接続または切断イベン ト (HPD レベルの遷移) が発生するたびにト リガーされます。

このコールバッ ク関数は、 次を実行する必要があ り ます。

1. ケーブル接続の場合は差動入力クロ ッ ク バッファーを有効にし、 ケーブル切断の場合は無効にします。

void XVphy_IBufDsEnable(XVphy *InstancePtr, u8 QuadId, XVphy_DirectionType Dir,u8 Enable);

2. ケーブル切断の場合は、 ビデオ PHY RX TMDS ク ロ ッ ク比をク リ アします。

Vphy.HdmiRxTmdsClockRatio = 0;

XV_HDMIRXSS_HANDLER_AUX

この割り込みは、 補助 InfoFrame パケッ ト を受信するたびに ト リガーされます。

コールバッ ク関数は、システム アプリ ケーシ ョ ンが使用する InfoFrame パケッ ト データを取得する必要があ り ます。

XV_HDMIRXSS_HANDLER_AUD

この割り込みは、 アクティブなオーディオ ス ト リームが検出されるか、 アクティブなオーディオ チャネルの数が変わるたびに ト リガーされます。

コールバッ ク関数は、 アプ リ ケーシ ョ ン ソフ ト ウェアに対するオーディオ情報を更新できます。

XV_HDMIRXSS_HANDLER_STREAM_UP

この割り込みは、 HDMI ビデオ ス ト リームがロ ッ ク されるたびにト リガーされます。

コールバッ ク関数は、 アプ リ ケーシ ョ ン ソフ ト ウェアに対するス ト リーム アップ情報を更新できます。

XV_HDMIRXSS_HANDLER_STREAM_DOWN

この割り込みは、 HDMI ビデオ ス ト リームがロ ッ ク解除される と ト リガーされます。

コールバッ ク関数は、アプ リ ケーシ ョ ン ソフ ト ウェアに対するス ト リーム ダウン情報を更新できます。 HDMI 1.4/2.0 Receiver Subsystem が一定時間ロッ ク解除されたままの場合、 アプリ ケーシ ョ ン ソフ ト ウェアはタイマーを起動してシステムをスタンバイ モードにするこ とがあ り ます。

XV_HDMIRXSS_HANDLER_STREAM_INIT

この割り込みは、 ス ト リームが検出され、 Video PHY Controller が安定して HDMI 1.4/2.0 Receiver Subsystem がス トリームのロ ッ クを開始する と ト リガーされます。

このコールバッ ク関数は、 次を実行する必要があ り ます。

1. ケーブル接続かケーブル切断かをイベン トでチェッ ク します。

XV_HdmiRxSs *HdmiRxSsPtr = (XV_HdmiRxSs *)CallbackRef;HdmiRxSsPtr->IsStreamConnected

1 - 接続されている

Page 80: HDMI 1.4/2.0 Receiver Subsystem v2 - ザイリンクス - All ... 1.4/2.0 RX Subsystem 6 PG236 2017 年 4 月 5 日 japan.xilinx.com 第 1 章: 概要 ライセンスおよび注文情報

HDMI 1.4/2.0 RX Subsystem 80PG236 2017 年 4 月 5 日 japan.xilinx.com

付録 C: アプリケーシ ョ ン ソフ トウェア開発

0 - 切断されている

2. ビデオ ス ト リーム情報を取得します。

XVidC_VideoStream *XV_HdmiRxSs_GetVideoStream(XV_HdmiRxSs *InstancePtr);

3. 受信したビデオ ス ト リーム情報に基づいて HDMI MMCM パラ メーターを計算します。

u32 XVphy_HdmiCfgCalcMmcmParam(XVphy *InstancePtr, u8 QuadId, XVphy_ChannelId ChId, XVphy_DirectionType Dir, XVidC_PixelsPerClock Ppc, XVidC_ColorDepth Bpc);

4. Video PHY Controller を有効にして MMCM を開始します。

XVphy_MmcmStart(&Vphy, 0, XVPHY_DIR_RX);

XV_HDMIRXSS_HANDLER_HDCP_AUTHENTICATE

HDMI 1.4/2.0 Receiver Subsystem ハードウェアで HDCP 1.4 または HDCP 2.2 が有効になっている場合、 この割り込みは HDCP が認証済みステートに遷移する と ト リガーされます。

コールバッ ク関数は、 アプ リ ケーシ ョ ン ソフ ト ウェアに対する HDCP 認証済みステータスを更新できます。

HDMI 1.4/2.0 Receiver Subsystem に対する Video PHY Controller 割り込みハンドラー

Video PHY Controller ド ラ イバーにはいくつかの割り込みハンド ラーがあ り、 HDMI 1.4/2.0 Receiver Subsystem の機能をサポートするユーザー定義のコールバッ ク関数をフッ クできます。 これらの割り込みハンド ラーは xvphy.h に定義されています。

• XVPHY_HDMI_HANDLER_RXINIT

• XVPHY_HDMI_HANDLER_RXREADY

コールバッ ク関数をアプリ ケーシ ョ ン コードで定義し、 これらの割り込みハンド ラーにフッ クする必要があ り ます。

void XVphy_SetHdmiCallback(XVphy *InstancePtr,XVphy_HdmiHandlerType HandlerType,void *CallbackFunc, void *CallbackRef);

XVPHY_HDMI_HANDLER_RXINIT

この割り込みは、 Video PHY Controller が HDMI RX 基準クロ ッ クの変化を検出するたびにト リガーされます。

このコールバッ ク関数は、 次を実行する必要があ り ます。

1. HDMI 1.4/2.0 Receiver Subsystem に対する基準クロ ッ ク変更プロセスを初期化します。

void XV_HdmiRxSs_RefClockChangeInit(XV_HdmiRxSs *InstancePtr);

2. Set Video PHY Controller HDMI RX reference TMDS clock ratio.

VphyPtr->HdmiRxTmdsClockRatio = HdmiRxSs.TMDSClockRatio;

XVPHY_HDMI_HANDLER_RXREADY

この割り込みは、 Video PHY Controller RX リセッ ト ロ ッ クが完了するたびにト リガーされます。

このコールバッ ク関数は、 次を実行する必要があ り ます。

1. Video PHY Controller の PLL のタイプをチェッ ク します。

Page 81: HDMI 1.4/2.0 Receiver Subsystem v2 - ザイリンクス - All ... 1.4/2.0 RX Subsystem 6 PG236 2017 年 4 月 5 日 japan.xilinx.com 第 1 章: 概要 ライセンスおよび注文情報

HDMI 1.4/2.0 RX Subsystem 81PG236 2017 年 4 月 5 日 japan.xilinx.com

付録 C: アプリケーシ ョ ン ソフ トウェア開発

XVphy_PllType XVphy_GetPllType(XVphy *InstancePtr, u8 QuadId, XVphy_DirectionType Dir, XVphy_ChannelId ChId);

2. PLL のタイプに従って HDMI 1.4/2.0 Receiver Subsystem ビデオ ス ト リームを設定します。

XV_HdmiRxSs_SetStream(XV_HdmiRxSs *InstancePtr,u32 Clock, u32 LineRate);

この場合のクロ ッ ク と ライン レートは、 Video PHY Controller のデータ構造に基づいています。

サンプル デザインの作成方法は、 第 5 章 「サンプル デザイン」 の手順に従ってください。 このサンプル デザインには、 実装されたすべてのプロシージャが含まれており、 HDMI 1.4/2.0 Receiver Subsystem を実際のシステムに統合する際のリ ファレンス と して使用できます。

ユース ケースの例

このセクシ ョ ンでは、 代表的なユース ケースを取り上げ、 動作中のシステムが特定のイベン トに対してどのよ うに応答するか、 そしてユーザーが何を実行すべきかを示します。 コールバッ ク関数で実行される動作の詳細は、 「アプリ ケーシ ョ ン コールバッ ク関数」 を参照してください。

ユース ケース 1: ケーブルの接続

ケーブル接続を示す HPD 割り込みが受信されます。

• 「XV_HDMIRXSS_HANDLER_CONNECT」 の割り込みタイプに登録されたコールバッ ク関数が呼び出されます。

ユース ケース 2: ケーブルの切断

1. RX ス ト リーム ダウン割り込みが受信されます。

° 「XV_HDMIRXSS_HANDLER_STREAM_DOWN」 の割り込みタイプに登録されたコールバッ ク関数が呼び出されます。

2. ケーブル切断を示す HPD 割り込みが受信されます。

° 「XV_HDMIRXSS_HANDLER_CONNECT」 の割り込みタイプに登録されたコールバッ ク関数が呼び出されます。

ユース ケース 3: ビデオ スト リームの受信

1. Video PHY Controller の HDMI RX 初期化割り込みが受信されます。

° 「XVPHY_HDMI_HANDLER_RXINIT」 の割り込みタイプに登録されたコールバッ ク関数が呼び出されます。

2. Video PHY Controller の HDMI RX Ready 割り込みが受信されます。

° 「XVPHY_HDMI_HANDLER_RXREADY」 の割り込みタイプに登録されたコールバッ ク関数が呼び出されます。

3. RX Audio 割り込みが受信されます。

° 「XV_HDMIRXSS_HANDLER_AUD」 の割り込みタイプに登録されたコールバッ ク関数が呼び出されます。

4. RX Stream 初期化割り込みが受信されます。

° 「XV_HDMIRXSS_HANDLER_STREAM_INIT」 の割り込みタイプに登録されたコールバッ ク関数が呼び出されます。

5. ビデオ ス ト リームがロ ッ ク される と、 RX ス ト リーム アップ割り込みが受信されます。

° 「XV_HDMIRXSS_HANDLER_STREAM_UP」 の割り込みタイプに登録されたコールバッ ク関数が呼び出されます。

Page 82: HDMI 1.4/2.0 Receiver Subsystem v2 - ザイリンクス - All ... 1.4/2.0 RX Subsystem 6 PG236 2017 年 4 月 5 日 japan.xilinx.com 第 1 章: 概要 ライセンスおよび注文情報

HDMI 1.4/2.0 RX Subsystem 82PG236 2017 年 4 月 5 日 japan.xilinx.com

付録 C: アプリケーシ ョ ン ソフ トウェア開発

これでビデオ ス ト リームが検出されたため、 提供された API を使用してス ト リーム情報を取得できます。

XVidC_VideoStream *XV_HdmiRxSs_GetVideoStream( XV_HdmiRxSs *InstancePtr);

ユース ケース 4: ビデオ スト リームの変更

1. RX ス ト リーム ダウン割り込みが受信されます。

° 「XV_HDMIRXSS_HANDLER_STREAM_DOWN」 の割り込みタイプに登録されたコールバッ ク関数が呼び出されます。

2. Video PHY Controller の HDMI RX 初期化割り込みが受信されます。

° 「XVPHY_HDMI_HANDLER_RXINIT」 の割り込みタイプに登録されたコールバッ ク関数が呼び出されます。

3. Video PHY Controller の HDMI RX Ready 割り込みが受信されます。

° 「XVPHY_HDMI_HANDLER_RXREADY」 の割り込みタイプに登録されたコールバッ ク関数が呼び出されます。

4. RX Audio 割り込みが受信されます。

° 「XV_HDMIRXSS_HANDLER_AUD」 の割り込みタイプに登録されたコールバッ ク関数が呼び出されます。

5. RX Stream 初期化割り込みが受信されます。

° 「XV_HDMIRXSS_HANDLER_STREAM_INIT」 の割り込みタイプに登録されたコールバッ ク関数が呼び出されます。

6. ビデオ ス ト リームがロ ッ ク される と、 RX ス ト リーム アップ割り込みが受信されます。

° 「XV_HDMIRXSS_HANDLER_STREAM_UP」 の割り込みタイプに登録されたコールバッ ク関数が呼び出されます。

これでビデオ ス ト リームが検出されたため、 提供されている API を使用してス ト リーム情報を取得できます。

XVidC_VideoStream *XV_HdmiRxSs_GetVideoStream( XV_HdmiRxSs *InstancePtr);

ユース ケース 5: InfoFrame の受信

補助 InfoFrame 受信割り込みが受信されます。

「XV_HDMIRXSS_HANDLER_AUX」 の割り込みタイプに登録されたコールバッ ク関数が呼び出されます。

ユース ケース 6: 内部 EDID を無効にする方法

内部 EDID サポート を有効にしない場合は、 関数呼び出しで xv_hdmirxss_coreinit.c の XV_HdmiRx_DdcLoadEdid 関数をコ メン ト アウ ト します。

int XV_HdmiRxSs_SubcoreInitHdmiRx(XV_HdmiRxSs *HdmiRxSsPtr)

例:

// Load EDID// XV_HdmiRx_DdcLoadEdid(HdmiRxSsPtr->HdmiRxPtr, HdmiRxSsPtr->EdidPtr,// HdmiRxSsPtr->EdidLength);

Page 83: HDMI 1.4/2.0 Receiver Subsystem v2 - ザイリンクス - All ... 1.4/2.0 RX Subsystem 6 PG236 2017 年 4 月 5 日 japan.xilinx.com 第 1 章: 概要 ライセンスおよび注文情報

HDMI 1.4/2.0 RX Subsystem 83PG236 2017 年 4 月 5 日 japan.xilinx.com

付録 D

その他のリソースおよび法的通知

ザイリンクス リソース

アンサー、 資料、 ダウンロード、 フォーラムなどのサポート リ ソースは、 ザイ リ ンクス サポート サイ ト を参照してください。

参考資料

注記: 日本語版のバージ ョ ンは、 英語版よ り古い場合があ り ます。

次の資料は、 この製品ガイ ドの補足資料と して役立ちます。

1. 『Vivado Design Suite: AXI リ ファレンス ガイ ド』 (UG1037: 英語版、 日本語版)

2. 『Kintex UltraScale FPGA データシート : DC 特性および AC スイ ッチ特性』 (DS892: 英語版、 日本語版)

3. 『Virtex UltraScale FPGA データシート : DC 特性および AC スイ ッチ特性』 (DS893: 英語版、 日本語版)

4. 『Kintex-7 FPGA データシート : DC 特性および AC スイ ッチ特性』 (DS182: 英語版、 日本語版)

5. 『Virtex-7 T/XT FPGA データシート : DC 特性および AC スイ ッチ特性』 (DS183: 英語版、 日本語版)

6. 『Artix-7 FPGA データシート : DC 特性および AC スイ ッチ特性』 (DS181: 英語版、 日本語版)

7. 『Kintex UltraScale+ FPGA データシート : DC 特性および AC スイ ッチ特性』 (DS922: 英語版、 日本語版)

8. 『Virtex UltraScale+ FPGA データシート : DC 特性および AC スイ ッチ特性』 (DS923: 英語版、 日本語版)

9. 『Zynq UltraScale+ MPSoC データシート : DC 特性および AC スイ ッチ特性』 (DS925: 英語版、 日本語版)

10. HDMI 仕様 (www.hdmi.org/manufacturer/specification.aspx)

11. HDCP 仕様 (www.digital-cp.com/hdcp-specifications)

12. 『AXI4-Stream Video IP およびシステム デザイン ガイ ド』 (UG934)

13. 『Vivado Design Suite ユーザー ガイ ド : IP インテグレーターを使用した IP サブシステムの設計』 (UG994: 英語版、日本語版)

14. 『Vivado Design Suite ユーザー ガイ ド : IP を使用した設計』 (UG896: 英語版、 日本語版)

15. 『Vivado Design Suite ユーザー ガイ ド : 入門』 (UG910: 英語版、 日本語版)

16. 『Vivado Design Suite ユーザー ガイ ド : ロジッ ク シ ミ ュレーシ ョ ン』 (UG900: 英語版、 日本語版)

17. 『ISE から Vivado Design Suite への移行ガイ ド』 (UG911: 英語版、 日本語版)

18. 『KCU105 ボード ユーザー ガイ ド』 (UG917)

19. 『Vivado Design Suite ユーザー ガイ ド : プログラムおよびデバッグ』 (UG908: 英語版、 日本語版)

20. 『Vivado Design Suite ユーザー ガイ ド : インプリ メンテーシ ョ ン』 (UG904: 英語版、 日本語版)

21. 『AXI Interconnect LogiCORE IP 製品ガイ ド』 (PG059)

Page 84: HDMI 1.4/2.0 Receiver Subsystem v2 - ザイリンクス - All ... 1.4/2.0 RX Subsystem 6 PG236 2017 年 4 月 5 日 japan.xilinx.com 第 1 章: 概要 ライセンスおよび注文情報

HDMI 1.4/2.0 RX Subsystem 84PG236 2017 年 4 月 5 日 japan.xilinx.com

付録 D: その他のリソースおよび法的通知

22. 『Video PHY Controller LogiCORE IP 製品ガイ ド』 (PG230)

23. 『HDCP v2.2 製品ガイ ド』 (PG249)

24. 『HDCP v1.4 製品ガイ ド』 (PG224)

25. 『Video In to AXI4-Stream LogiCORE IP 製品ガイ ド』 (PG043)

改訂履歴

次の表に、 この文書の改訂履歴を示します。

日付 バージョ ン 内容

2017 年 4 月 5 日 2.0 • 1PPC のサポート を削除。

2016 年 11 月 30 日 2.0 • サンプル デザインの移行に関する注意を追加。

2016 年 10 月 5 日 2.0 • サンプル デザイン フローを追加。

• HPD の XGUI オプシ ョ ンを追加。

• ソフ ト ウェアのユース ケースを追加。

「自動車用のアプリ ケーシ ョ ンの免責条項」 を更新。

2016 年 6 月 8 日 2.0 • Video over AXI-Stream のサポート (オプシ ョ ン) を更新。

2016 年 4 月 6 日 2.0 • 「IP の概要」 に 「機能」 のセクシ ョ ンを追加。

• 「概要」 の章の 「サポート されていない機能」 を更新。

• 「製品仕様」 の章を更新。

• 「サブシステムを使用するデザイン」 の章を更新。

• 「デザイン フローの手順」 の章を更新。

• 「ハード ウェア テス ト 」 と 「ビデオ解像度」 のセクシ ョ ンを更新。

• 付録 「アプ リ ケーシ ョ ン ソフ ト ウェア開発」 を更新。

2015 年 11 月 18 日 1.0 初版

Page 85: HDMI 1.4/2.0 Receiver Subsystem v2 - ザイリンクス - All ... 1.4/2.0 RX Subsystem 6 PG236 2017 年 4 月 5 日 japan.xilinx.com 第 1 章: 概要 ライセンスおよび注文情報

HDMI 1.4/2.0 RX Subsystem 85PG236 2017 年 4 月 5 日 japan.xilinx.com

付録 D: その他のリソースおよび法的通知

法的通知本通知に基づいて貴殿または貴社 (本通知の被通知者が個人の場合には 「貴殿」、 法人その他の団体の場合には 「貴社」。 以下同じ ) に開示される情報 (以下 「本情報」 といいます) は、 ザイ リ ンクスの製品を選択および使用するこ とのためにのみ提供されます。 適

用される法律が許容する最大限の範囲で、 (1) 本情報は 「現状有姿」、 およびすべて受領者の責任で (with all faults) とい う状態で提供

され、 ザイ リ ンクスは、 本通知をもって、 明示、 黙示、 法定を問わず (商品性、 非侵害、 特定目的適合性の保証を含みますがこれ

らに限られません)、 すべての保証および条件を負わない (否認する ) ものと します。 また、 (2) ザイ リ ンクスは、 本情報 (貴殿または

貴社による本情報の使用を含む) に関係し、 起因し、 関連する、 いかなる種類 ・ 性質の損失または損害についても、 責任を負わな

い (契約上、 不法行為上 (過失の場合を含む)、 その他のいかなる責任の法理によるかを問わない) ものと し、 当該損失または損害に

は、 直接、 間接、 特別、 付随的、 結果的な損失または損害 (第三者が起こした行為の結果被った、 データ、 利益、 業務上の信用の

損失、 その他あらゆる種類の損失や損害を含みます) が含まれるものと し、 それは、 たとえ当該損害や損失が合理的に予見可能で

あったり、 ザイ リ ンクスがそれらの可能性について助言を受けていた場合であったと しても同様です。 ザイ リ ンクスは、 本情報に

含まれるいかなる誤り も訂正する義務を負わず、 本情報または製品仕様のアップデート を貴殿または貴社に知らせる義務も負いま

せん。 事前の書面による同意のない限り、 貴殿または貴社は本情報を再生産、 変更、 頒布、 または公に展示してはなり ません。 一

定の製品は、 ザイ リ ンクスの限定的保証の諸条件に従う こ と となるので https://japan.xilinx.com/legal.htm#tos で見られるザイ リ ンク

スの販売条件を参照してください。 IP コアは、 ザイ リ ンクスが貴殿または貴社に付与したライセンスに含まれる保証と補助的条件

に従う こ とにな り ます。 ザイ リ ンクスの製品は、 フェイルセーフと して、 または、 フェイルセーフの動作を要求するアプリ ケー

シ ョ ンに使用するために、 設計されたり意図されたり していません。 そのよ うな重大なアプリ ケーシ ョ ンにザイ リ ンクスの製品を

使用する場合のリ スク と責任は、 貴殿または貴社が単独で負う ものです。 https://japan.xilinx.com/legal.htm#tos で見られるザイ リ ンク

スの販売条件を参照してください。

自動車用のアプリ ケーシ ョ ンの免責条項

オートモーティブ製品 (製品番号に 「XA」 が含まれる ) は、 ISO 26262 自動車用機能安全規格に従った安全コンセプ ト または余剰性

の機能 ( 「セーフティ設計」 ) がない限り、 エアバッグの展開における使用または車両の制御に影響するアプリ ケーシ ョ ン ( 「セー

フティ アプリ ケーシ ョ ン」 ) における使用は保証されていません。 顧客は、 製品を組み込むすべてのシステムについて、 その使用

前または提供前に安全を目的と して十分なテス ト を行う ものと します。 セーフティ設計なしにセーフティ アプリ ケーシ ョ ンで製品

を使用する リ スクはすべて顧客が負い、 製品責任の制限を規定する適用法令および規則にのみ従う ものと します。

© Copyright 2015-2017 Xilinx, Inc. Xilinx、 Xilinx のロゴ、 Artix、 ISE、 Kintex、 Spartan、 Virtex、 Vivado、 Zynq、 およびこの文書に含

まれるその他の指定されたブランドは、 米国およびその他各国のザイ リ ンクス社の商標です。 すべてのその他の商標は、 それぞれ

の保有者に帰属します。

この資料に関するフ ィードバッ クおよびリ ンクなどの問題につきましては、 [email protected] まで、 または各ページの

右下にある [フ ィードバッ ク送信] ボタンをク リ ッ クする と表示されるフォームからお知らせください。 フ ィードバッ クは日本語で

入力可能です。 いただきましたご意見を参考に早急に対応させていただきます。 なお、 このメール アドレスへのお問い合わせは受

け付けており ません。 あらかじめご了承ください。