field guide to optical lithography - neo black...

110
Optical Lithography Field Guide to Chris A. Mack SPIE Field Guides Volume FG06 John E. Greivenkamp, Series Editor Bellingham, Washington USA Downloaded from SPIE Digital Library on 19 Feb 2011 to 159.226.165.151. Terms of Use: http://spiedl.org/terms

Upload: others

Post on 25-May-2020

33 views

Category:

Documents


15 download

TRANSCRIPT

Page 1: Field Guide to Optical Lithography - Neo Black Pantherneoblackpanther.com/materials/mack_lithography.pdf · Field Guide to Optical Lithography The material in this Field Guide to

OpticalLithography

Field Guide to

Chris A. Mack

SPIE Field GuidesVolume FG06

John E. Greivenkamp, Series Editor

Bellingham, Washington USA

Downloaded from SPIE Digital Library on 19 Feb 2011 to 159.226.165.151. Terms of Use: http://spiedl.org/terms

Page 2: Field Guide to Optical Lithography - Neo Black Pantherneoblackpanther.com/materials/mack_lithography.pdf · Field Guide to Optical Lithography The material in this Field Guide to

Library of Congress Cataloging-in-Publication Data Mack, Chris A. Field guide to optical lithography / Chris A. Mack. p. cm. -- (SPIE field guides ; FG06) Includes bibliographical references and index. 1. Integrated circuits--Design and construction. 2. Microlithography. I. Title. II. Series. TK7874.M195 2006 621.3815'31--dc22

2005034584

Published by SPIE—The International Society for Optical Engineering P.O. Box 10 Bellingham, Washington 98227-0010 USA Phone: +1 360 676 3290 Fax: +1 360 647 1445 Email: [email protected] Web: http://spie.org Copyright © 2006 The Society of Photo-Optical Instrumentation Engineers All rights reserved. No part of this publication may be reproduced or distributed in any form or by any means without written permission of the publisher. The content of this book reflects the work and thought of the author. Every effort has been made to publish reliable and accurate information herein, but the publisher is not responsible for the validity of the information or for any outcomes resulting from reliance thereon. Printed in the United States of America.

Downloaded from SPIE Digital Library on 19 Feb 2011 to 159.226.165.151. Terms of Use: http://spiedl.org/terms

Page 3: Field Guide to Optical Lithography - Neo Black Pantherneoblackpanther.com/materials/mack_lithography.pdf · Field Guide to Optical Lithography The material in this Field Guide to

Introduction to the Series

Welcome to the SPIE Field Guides—a series of publications written directly for the practicing engineer or scientist. Many textbooks and professional reference books cover optical principles and techniques in depth. The aim of the SPIE Field Guides is to distill this information, providing readers with a handy desk or briefcase reference that provides basic, essential information about optical principles, techniques, or phenomena, including definitions and descriptions, key equations, illustrations, application examples, design considerations, and additional resources. A significant effort will be made to provide a consistent notation and style between volumes in the series. Each SPIE Field Guide addresses a major field of optical science and technology. The concept of these Field Guides is a format-intensive presentation based on figures and equations supplemented by concise explanations. In most cases, this modular approach places a single topic on a page, and provides full coverage of that topic on that page. Highlights, insights and rules of thumb are displayed in sidebars to the main text. The appendices at the end of each Field Guide provide additional information such as related material outside the main scope of the volume, key mathematical relationships and alternative methods. While complete in their coverage, the concise presentation may not be appropriate for those new to the field. The SPIE Field Guides are intended to be living documents. The modular page-based presentation format allows them to be easily updated and expanded. We are interested in your suggestions for new Field Guide topics as well as what material should be added to an individual volume to make these Field Guides more useful to you. Please contact us at [email protected]. John E. Greivenkamp, Series Editor Optical Sciences Center The University of Arizona

Downloaded from SPIE Digital Library on 19 Feb 2011 to 159.226.165.151. Terms of Use: http://spiedl.org/terms

Page 4: Field Guide to Optical Lithography - Neo Black Pantherneoblackpanther.com/materials/mack_lithography.pdf · Field Guide to Optical Lithography The material in this Field Guide to

The Field Guide Series

Keep information at your fingertips with all of the titles in the Field Guide Series: Field Guide to Geometrical Optics, John E. Greivenkamp (FG01) Field Guide to Atmospheric Optics, Larry C. Andrews (FG02) Field Guide to Adaptive Optics, Robert K. Tyson & Benjamin W. Frazier (FG03) Field Guide to Visual and Ophthalmic Optics, Jim Schwiegerling (FG04) Field Guide to Polarization, Edward Collett (FG05) Field Guide to Optical Lithography, Chris A. Mack (FG06) Field Guide to Optical Thin Films, Ronald R. Willey (FG07)

Downloaded from SPIE Digital Library on 19 Feb 2011 to 159.226.165.151. Terms of Use: http://spiedl.org/terms

Page 5: Field Guide to Optical Lithography - Neo Black Pantherneoblackpanther.com/materials/mack_lithography.pdf · Field Guide to Optical Lithography The material in this Field Guide to

Field Guide to Optical Lithography

The material in this Field Guide to Optical Lithographyis a distillation of material I have been putting togetherfor the last 20 years or so. I have been subjecting stu-dents in my graduate-level lithography course at the Uni-versity of Texas at Austin to my disorganized notes for 14years, and have published some similar material in my firstbook Inside PROLITH and my column in MicrolithographyWorld called “The Lithography Expert.” However, the chal-lenge here was not in creating the material for the bookbut rather deciding what material to leave out and how tomake what remained as condensed as possible. As peoplewho know me can attest, I am rarely lacking for words andbrevity is not my strong suit (I am a lousy poet). I hope,however, that the kind reader will forgive me when onepage on a topic of interest does not satisfy—it is an un-avoidable consequence of the Field Guide format, and myown limitations as an overly verbose writer.

I thank Jeff Byers, William Howard, and Rob Jones fortheir help in reviewing the draft manuscript of this FieldGuide. My many mistakes kept them quite busy.

This Field Guide is dedicated to my wife Susan and ourdaughter Sarah, who have taught me that there is indeedsomething more fun in this world than lithography.

Chris [email protected]

Downloaded from SPIE Digital Library on 19 Feb 2011 to 159.226.165.151. Terms of Use: http://spiedl.org/terms

Page 6: Field Guide to Optical Lithography - Neo Black Pantherneoblackpanther.com/materials/mack_lithography.pdf · Field Guide to Optical Lithography The material in this Field Guide to

Downloaded from SPIE Digital Library on 19 Feb 2011 to 159.226.165.151. Terms of Use: http://spiedl.org/terms

Page 7: Field Guide to Optical Lithography - Neo Black Pantherneoblackpanther.com/materials/mack_lithography.pdf · Field Guide to Optical Lithography The material in this Field Guide to

Table of Contents

Symbol Glossary x

The Lithography Process 1Definition: Semiconductor Lithography 1Overview of the Lithography Process 2Processing: Substrate Preparation 3Processing: Photoresist Spin Coating 4Processing: Post-Apply Bake 5Processing: Alignment and Exposure 6Processing: Post-Exposure Bake 7Processing: Development 8Processing: Pattern Transfer 9

Image Formation 11Maxwell’s Equations: The Mathematics of Light 11The Plane Wave and the Phasor 12Basic Imaging Theory 13Diffraction 14Fraunhofer Diffraction: Examples 15The Numerical Aperture 16Fourier Optics 17Spatial Coherence and Oblique Illumination 18Partial Coherence 19Aberrations 20Aberrations: The Zernike Polynomial 21Aberrations: Zernike Examples 22Chromatic Aberration 23Horizontal-Vertical (H-V) Bias 24Defocus 25Flare 26Vector Nature of Light 27Polarization 29The Optical Invariant 30Immersion Lithography: Resolution 31Immersion Lithography: Depth of Focus 32

Imaging into a Photoresist 33Standing Waves: Definition 33Standing Waves: Mathematics 34Fresnel Reflectivity 35Swing Curves 36

viiDownloaded from SPIE Digital Library on 19 Feb 2011 to 159.226.165.151. Terms of Use: http://spiedl.org/terms

Page 8: Field Guide to Optical Lithography - Neo Black Pantherneoblackpanther.com/materials/mack_lithography.pdf · Field Guide to Optical Lithography The material in this Field Guide to

Table of Contents

Top Antireflective Coatings (TARC) 37Bottom Antireflective Coatings (BARC) 38

Photoresist Chemistry 39Novolak/DNQ Resists 39Chemically Amplified Resists 40Absorption of Light 41Photoresist Bleaching and the Dill Parameters 42Exposure Kinetics 43Measuring the Dill ABC Parameters 44Chemically Amplified Resist Kinetics 45Diffusion in Chemically Amplified Resists 46Acid Loss Mechanisms 47Post-Apply Bake Effects 48Photoresist Development Kinetics 49Surface Inhibition 50Developer Temperature and Concentration 51The Development Path 52

Lithography Control and Optimization 53NILS: The Normalized Image Log-Slope 53NILS: The Log-Slope Defocus Curve 54NILS: Image Optimization 55NILS: Exposure Optimization 56NILS: PEB Optimization 57NILS: Development Optimization 59NILS: Total Process Optimization 60Defining Photoresist Linewidth 61Critical Dimension Control 62Critical Dimension Control: Effect on Devices 64Overlay Control 65Line Edge Roughness 66Metrology: Critical Dimension 67Metrology: Overlay 68The Process Window 69Depth of Focus 71Resolution 73Rayleigh Criteria: Resolution 74Rayleigh Criteria: Depth of Focus 75Mask Error Enhancement Factor (MEEF) 76

viiiDownloaded from SPIE Digital Library on 19 Feb 2011 to 159.226.165.151. Terms of Use: http://spiedl.org/terms

Page 9: Field Guide to Optical Lithography - Neo Black Pantherneoblackpanther.com/materials/mack_lithography.pdf · Field Guide to Optical Lithography The material in this Field Guide to

Table of Contents

Resolution Enhancement Technologies 77Phase-Shift Masks 78Phase-Shift Masks: Alternating 79Phase-Shift Masks: Attenuated 80Optical Proximity Effects 81Optical Proximity Correction (OPC) 82Off-Axis Illumination 83Lithography Simulation 85Moore’s Law 86Next-Generation Lithography (NGL) 87

Equation Summary 88

Glossary 92

Index 117

ixDownloaded from SPIE Digital Library on 19 Feb 2011 to 159.226.165.151. Terms of Use: http://spiedl.org/terms

Page 10: Field Guide to Optical Lithography - Neo Black Pantherneoblackpanther.com/materials/mack_lithography.pdf · Field Guide to Optical Lithography The material in this Field Guide to

Symbol Glossary

a Dose-dependent curvature of the CD-through-focus curve; molar absorption coefficient; constantin the Mack 4-parameter dissolution rate model

A Electric field amplitude; bleachable absorption co-efficient

Ar Arrhenius coefficientB Magnetic induction, non-bleachable absorption co-

efficientc Speed of light; concentrationC Photoresist exposure rate constantCD Critical dimensiond Shifter thickness for a phase-shift maskD Electric displacement, photoresist thickness; ARC

thicknessDH Diffusivity of acid in photoresistDOF Depth of focusE Electric field, incident exposure doseEa Activation energyE0 Dose to clearEz Exposure dose at depth z in the resistfx Spatial frequencyG0 Initial PAG concentrationh Planck’s constant; normalized acid concentration

in a chemically amplified resistH Magnetic field; acid concentration in a chemically

amplified resistI Intensity of light, aerial imageJ Electric current densityk Propagation constant, wavenumber; chemical re-

action rate constantk1 Normalized Rayleigh resolutionk2 Normalized Rayleigh depth of focusLeff Effective gate lengthm Magnification; normalized unreacted site concen-

tration in conventional or chemically amplified re-sists

mTH Threshold inhibitor concentrationM Photoactive compound concentration, unreacted

site concentration

xDownloaded from SPIE Digital Library on 19 Feb 2011 to 159.226.165.151. Terms of Use: http://spiedl.org/terms

Page 11: Field Guide to Optical Lithography - Neo Black Pantherneoblackpanther.com/materials/mack_lithography.pdf · Field Guide to Optical Lithography The material in this Field Guide to

Symbol Glossary (cont’d)

M0 Initial PAC concentrationn Index of refraction; dissolution selectivity parame-

ter; diffraction order numbernj Complex index of refraction of layer jNA Avogadro’s numberNA Numerical apertureNILS Normalized image log-slopeOPD Optical path differencep PitchP Pupil function; photoresist exposure products;

a point in x-y-z spacer Photoresist dissolution ratermax Dissolution rate of fully exposed positive resistrmin Dissolution rate of unexposed positive resistR Resin concentration; resolution; relative pupil ra-

dius position; intensity reflectivity; universal gasconstant; photoresist dissolution rate

S Solvent concentrationt Time, exposure timet′ Bake timetm Mask transmittance functionT Transmittance; absolute temperatureTm Fourier transform of the mask transmittance

function (diffraction pattern amplitude)U Phasor representation of the sinusoidal e-fieldv Process variablew Slit width, mask feature width, nominal linewidthx Normalized concentration of reacted sites in a

chemically amplified resist; horizontal positionX Concentration of reacted sites in a chemically am-

plified resistZ Zernike polynomial coefficient

α Maximum angle of diffraction captured by a lens;absorption coefficient

δ Dirac delta function; defocus distanceε Dielectric constantθ Angle; polar angle of pupil position; photoresist

sidewall angle

xiDownloaded from SPIE Digital Library on 19 Feb 2011 to 159.226.165.151. Terms of Use: http://spiedl.org/terms

Page 12: Field Guide to Optical Lithography - Neo Black Pantherneoblackpanther.com/materials/mack_lithography.pdf · Field Guide to Optical Lithography The material in this Field Guide to

Symbol Glossary (cont’d)

γ Photoresist constrastκj Imaginary portion of complex refractive indexλ Wavelength (in vacuum)µ Magnetic permeabilityρ Electric charge densityρij Reflection coefficient between films i and jσ Conductivity; partial coherence factor; diffusion

lengthτij Transmission coefficient between films i and j� Phase of an electric field; fraction of absorbed pho-

tons producing a chemical change (quantum yield)ω Frequency of monochromatic light; photoresist

spin coat speed

xiiDownloaded from SPIE Digital Library on 19 Feb 2011 to 159.226.165.151. Terms of Use: http://spiedl.org/terms

Page 13: Field Guide to Optical Lithography - Neo Black Pantherneoblackpanther.com/materials/mack_lithography.pdf · Field Guide to Optical Lithography The material in this Field Guide to

The Lithography Process 1

Definition: Semiconductor Lithography

The fabrication of an inte-grated circuit (IC) requires avariety of physical and chem-ical processes performed on asemiconductor (e.g., silicon) sub-strate. In general, the vari-ous processes used to make anIC fall into three categories:film deposition, patterning, andsemiconductor doping. Films ofboth conductors (such as polysil-icon, aluminum, and more re-cently copper) and insulators (various forms of silicon diox-ide, silicon nitride, and others) are used to connect andisolate transistors and their components. Selective dopingof various regions of silicon allows the conductivity of thesilicon to be changed with the application of voltage. Bycreating structures of these various components, millionsof transistors can be built and wired together to form thecomplex circuitry of a modern microelectronic device. Fun-damental to all of these processes is lithography, i.e., theformation of three-dimensional (3D) relief images on thesubstrate for subsequent transfer of the pattern to the sub-strate.

The word lithography comes from the Greek lithos, mean-ing stones, and graphia, meaning to write. It means quiteliterally writing on stones. In the case of semiconductorlithography, our stones are silicon wafers and our patternsare written with a light-sensitive polymer called photore-sist. To build the complex structures that make up a tran-sistor and the many wires that connect the millions of tran-sistors of a circuit, lithography and pattern transfer stepsare repeated at least 10 times, but more typically are done20 to 30 times to make one circuit. Each pattern beingprinted on the wafer is aligned to the previously formedpatterns and slowly the conductors, insulators, and selec-tively doped regions are built up to form the final device.

Downloaded from SPIE Digital Library on 19 Feb 2011 to 159.226.165.151. Terms of Use: http://spiedl.org/terms

Page 14: Field Guide to Optical Lithography - Neo Black Pantherneoblackpanther.com/materials/mack_lithography.pdf · Field Guide to Optical Lithography The material in this Field Guide to

2 Optical Lithography

Overview of the Lithography Process

Optical lithography is a photographic process by whicha light-sensitive polymer, called a photoresist, is exposedand developed to form 3D relief images on the substrate. Ingeneral, the ideal photoresist image has the exact shape ofthe designed or intended pattern in the plane of the sub-strate, with vertical walls through the thickness of the re-sist. Thus, the final resist pattern is binary: parts of thesubstrate are covered with resist while other parts are com-pletely uncovered. This binary pattern is needed for pat-tern transfer since the parts of the substrate covered withresist will be protected from etching, ion implantation, orother pattern transfer mechanism.

The general sequenceof steps for a typicaloptical lithographyprocess is as follows:substrate prepara-tion, photoresist spincoat, prebake, expo-sure, post-exposurebake, development,and postbake. A re-sist strip is the finaloperation in the litho-graphic process, afterthe resist pattern hasbeen transferred intothe underlying layervia etching or ion im-plantation. This se-quence is generally performed on several tools linked to-gether into a contiguous unit called a lithographic clus-ter.

Downloaded from SPIE Digital Library on 19 Feb 2011 to 159.226.165.151. Terms of Use: http://spiedl.org/terms

Page 15: Field Guide to Optical Lithography - Neo Black Pantherneoblackpanther.com/materials/mack_lithography.pdf · Field Guide to Optical Lithography The material in this Field Guide to

The Lithography Process 3

Processing: Substrate Preparation

Substrate preparation is intended to improve the adhesionof the photoresist material to the substrate. This is accom-plished by one or more of the following processes: sub-strate cleaning to remove contamination, baking to re-move water, and the addition of an adhesion promoterto keep water from coming back. Substrate contamina-tion can take the form of particulates or a film and canbe either organic or inorganic. Particulates result in de-fects in the final resist pattern, whereas film contaminationcan cause poor adhesion and subsequent loss of linewidthcontrol. One type of contaminant—adsorbed water—is re-moved most readily by a high-temperature process called adehydration bake.

A dehydration bake, as the name implies, removes waterfrom the substrate surface by baking at temperatures of200◦C to 400◦C, usually for 30 to 60 minutes. The substrateis then allowed to cool (preferably in a dry environment)and coated as soon as possible. A typical dehydration bake,however, does not completely remove water from the sur-face of silica substrates (including silicon, polysilicon, sili-con oxide, and silicon nitride). Surface silicon atoms bondstrongly with a monolayer of water forming silanol groups(SiOH). The preferred method of removing this silanol is bychemical means.

Adhesion promoters are used to react chemically with sur-face silanol and replace the -OH group with an organicfunctional group that, unlike the hydroxyl group, offersgood adhesion to photoresist. Silanes are often used for thispurpose, the most common being hexamethyldisilizane(HMDS). The HMDS can be applied by subjecting thesubstrate to HMDS vapor, usually at elevated tempera-ture and reduced pressure for about 60 s (called a vaporprime). Performing the dehydration bake and vapor primein the same oven gives optimal performance. These vaporprime ovens are typically integrated into the photoresistcoat/bake tracks.

Downloaded from SPIE Digital Library on 19 Feb 2011 to 159.226.165.151. Terms of Use: http://spiedl.org/terms

Page 16: Field Guide to Optical Lithography - Neo Black Pantherneoblackpanther.com/materials/mack_lithography.pdf · Field Guide to Optical Lithography The material in this Field Guide to

4 Optical Lithography

Processing: Photoresist Spin Coating

A thin, uniform coating of photoresist at a specific, well-controlled thickness is accomplished by the process ofspin coating. The photoresist, rendered into a liquidform by dissolving thesolid components ina solvent, is pouredonto the wafer, whichis then spun on aturntable at a highspeed to produce thefilm. To achieve thedesired thickness anduniformity, there is achoice between staticdispense (wafer sta-tionary while resist is dispensed) or dynamic dispense(wafer spinning while resist is dispensed), spin speeds andtimes, and accelerations to each of the spin speeds. Also, thevolume of the resist dispensed and properties of the resist(such as viscosity, percent solids, and solvent composition)play an important role. Practical aspects of the spin oper-ation, such as exhaust, temperature and humidity control,and spinner cleanliness also have significant effects on theresist film.

The photoresist spinspeed curve is anessential tool for set-ting the spin speedto obtain the desiredresist thickness. Thefinal resist thicknessvaries inversely withthe square root ofthe spin speed and isroughly proportionalto the liquid photore-sist viscosity.

Downloaded from SPIE Digital Library on 19 Feb 2011 to 159.226.165.151. Terms of Use: http://spiedl.org/terms

Page 17: Field Guide to Optical Lithography - Neo Black Pantherneoblackpanther.com/materials/mack_lithography.pdf · Field Guide to Optical Lithography The material in this Field Guide to

The Lithography Process 5

Processing: Post-Apply Bake

After coating, the resulting resist film will contain 20–40% solvent by weight. The post-apply bake process, alsocalled a softbake or a prebake, involves drying the pho-toresist after spin coating to remove this excess solvent.There are four major effects of removing solvent from a pho-toresist film: (1) film thickness is reduced, (2) post-exposurebake and development properties are changed, (3) adhe-sion is improved, and (4) the film becomes less tacky andthus less susceptible to particulate contamination. Typicalprebake processes leave 3–8% residual solvent in the resistfilm, sufficiently small to keep the film stable during sub-sequent lithographic processing.

For DNQ/Novolak resists, at temperatures greater thanabout 70◦C the photosensitive component (DNQ) will be-gin to decompose. For chemically amplified resists, resid-ual solvent can significantly influence diffusion and reac-tion properties during the post-exposure bake, necessitat-ing careful control over the post-apply bake process. For-tunately, these modern chemically amplified resists do notsuffer from significant decomposition of the photosensitivecomponents during prebake.

The most popular bake method uses a hot plate in what iscalled proximity baking. The wafer is brought into closeproximity (about a 100 µm gap) to a hot, high-mass metalplate. Due to the high thermal conductivity of silicon, thephotoresist is heated quickly to near the hot plate temper-ature (in about 20 seconds).

When the wafer is removed from the hotplate, baking con-tinues as long as the wafer is hot. The total bake processcannot be well controlled unless the cooling of the wafer isalso well controlled. As a result, hotplate baking is alwaysfollowed immediately by a chill plate operation, where thewafer is brought in close proximity to a cool plate (kept ata temperature slightly below room temperature).

Downloaded from SPIE Digital Library on 19 Feb 2011 to 159.226.165.151. Terms of Use: http://spiedl.org/terms

Page 18: Field Guide to Optical Lithography - Neo Black Pantherneoblackpanther.com/materials/mack_lithography.pdf · Field Guide to Optical Lithography The material in this Field Guide to

6 Optical Lithography

Processing: Alignment and Exposure

Contact and proximity lithography are the simplestmethods of exposing a photoresist through a master pat-tern called a photomask. Contact lithography offers highresolution, but mask damage and resulting low yield makethis process unusable in production environments. Proxim-ity printing reduces mask damage by keeping the mask aset distance above the wafer (e.g., 20 µm). Unfortunately,the resolution limit is increased to greater than 2–4 µm.By far the most common method of exposure is projectionprinting, where an image of the mask is projected onto thewafer by a lens system.

The major classes of projection lithography tools are scan-ning and step-and-repeat systems. Scanners project aslit of light from the mask onto the wafer as the maskand wafer are moved simultaneously by the slit. Step-and-repeat cameras (called steppers for short) expose thewafer one rectangular section (called the image field) ata time. A hybrid step-and-scan approach uses a fractionof a normal step-per field (for ex-ample, 25 mm ×8 mm), then scansthis field in one di-rection. The waferis then steppedto a new locationand the scan is re-peated.

Downloaded from SPIE Digital Library on 19 Feb 2011 to 159.226.165.151. Terms of Use: http://spiedl.org/terms

Page 19: Field Guide to Optical Lithography - Neo Black Pantherneoblackpanther.com/materials/mack_lithography.pdf · Field Guide to Optical Lithography The material in this Field Guide to

The Lithography Process 7

Processing: Post-Exposure Bake

Monochromatic light, when projected onto a wafer, strikesthe photoresist surface over a range of angles, approximat-ing plane waves. This light travels down through the pho-toresist and, if the substrate is reflective, is reflected backup through the resist. The incoming and reflected light in-terfere to form a standing wave pattern of high and lowlight intensity at different depths in the photoresist. Af-ter development, this pattern is replicated in the photore-sist, causing undesirable ridges in the sidewalls of the re-sist feature. One method of reducing the standing wave ef-fect is called the post-exposure bake (PEB). The hightemperatures used (100–130◦C) cause diffusion of the pho-toproducts within the photoresist, thus smoothing out thestanding wave ridges. It is important to note that the detri-mental effects of high temperatures on photoresist duringpost-apply baking also apply to the PEB. The rate of diffu-sion is dependent on the prebake conditions—the presenceof solvent enhances diffusion during a PEB.

For a conventional resist, the main reason for the PEB isto remove standing waves. For chemically amplified re-sists the PEB is an essential part of the chemical reactionsthat create a solubility differential between exposed andunexposed parts of the resist. For these resists, exposuregenerates a small amount of a strong acid that does notitself change the solubility of the resist. During the post-exposure bake, this photogenerated acid catalyzes a reac-tion that changes the solubility of the polymer resin in theresist.

Downloaded from SPIE Digital Library on 19 Feb 2011 to 159.226.165.151. Terms of Use: http://spiedl.org/terms

Page 20: Field Guide to Optical Lithography - Neo Black Pantherneoblackpanther.com/materials/mack_lithography.pdf · Field Guide to Optical Lithography The material in this Field Guide to

8 Optical Lithography

Processing: Development

Once exposed, the photoresist must be developed. Mostphotoresists use aqueous bases as developers. In particu-lar, tetramethyl ammonium hydroxide (TMAH) is used ata concentration of 0.26 N. Often, surfactants are includedin the developer to improve wafer wetting during develop-ment. The characteristics of the resist-developer interac-tions determine to a large extent the shape of the photore-sist profile and, more importantly, the linewidth control.

The method of apply-ing developer to thephotoresist is impor-tant in controlling thedevelopment unifor-mity and process lati-tude. During spin de-velopment wafers arespun, using equipmentsimilar to that used forspin coating, and de-veloper is poured onto the rotating wafer. The wafer is alsorinsed and dried while still spinning. Another technique,spray development, has been shown to have good resultsusing developers specifically formulated for this dispensingmethod. Using a process identical to spin development, thedeveloper is sprayed (rather than poured) onto the waferby using a nozzle that produces a fine mist. This techniquereduces developer usage and gives more uniform developercoverage. Another in-line development strategy is calledpuddle development. Again using developers specificallyformulated for this process, the developer is poured onto astationary wafer that is then allowed to sit motionless forthe duration of the development time. The wafer is thenspin rinsed and dried. Note that all three in-line processescan be performed in the same piece of equipment with onlyminor modifications, and combinations of these techniquesare frequently used.

Downloaded from SPIE Digital Library on 19 Feb 2011 to 159.226.165.151. Terms of Use: http://spiedl.org/terms

Page 21: Field Guide to Optical Lithography - Neo Black Pantherneoblackpanther.com/materials/mack_lithography.pdf · Field Guide to Optical Lithography The material in this Field Guide to

The Lithography Process 9

Processing: Pattern Transfer

A postbake (also called a hard bake) is used to harden thefinal resist image so that it will withstand the harsh en-vironments of pattern transfer. High temperatures (110–150◦C) crosslink the polymer resin in the photoresist, thusmaking the image more thermally stable. If the tempera-ture used is too high, the resist will soften and flow, caus-ing degradation of the image. While postbake is common forNovolak-based resists, 248-nm resists are only a little im-proved by a postbake, and these bakes are never used for193-nm resists. Exposure to high-intensity deep-UV lightcan be used to crosslink the resin at the surface of the re-sist forming a tough skin around the pattern, allowing thephotoresist to withstand temperatures in excess of 200◦C.Plasma treatments and electron beam bombardment havealso been shown to effectively harden photoresist. For 193-nm resists, no treatment or plasma treatments are themost common.

After the postbake, the hardened resist is often subjectedto a resist etching step called a descum etch. Duringdescum, a thin layer (about 20 nm) of the photoresist isremoved. This is principally done to open areas of thewafer that have been unintentionally covered with smallamounts of resist “scum.” Because photoresists are largelymade of organic compounds, descum is often accomplishedusing an oxygen-containing plasma, and is thus similar toresist stripping or ashing.

After the lithographic printing is complete, the resultingpatterns must be transferred into the substrate. There arethree basic pattern transfer approaches: subtractive trans-fer (etching), additive transfer (selective deposition), andimpurity doping (ion implantation).

Etching is the selective removal of material from a sur-face, and is the most common pattern transfer approach.A uniform layer of the material to be patterned is depositedon the substrate. Lithography is then performed such thatthe areas to be etched are left unprotected (uncovered)by the photoresist. Etching is performed either with wet

Downloaded from SPIE Digital Library on 19 Feb 2011 to 159.226.165.151. Terms of Use: http://spiedl.org/terms

Page 22: Field Guide to Optical Lithography - Neo Black Pantherneoblackpanther.com/materials/mack_lithography.pdf · Field Guide to Optical Lithography The material in this Field Guide to

10 Optical Lithography

Processing: Pattern Transfer (cont’d)

chemical baths, or by plasma processing. Because plasmaetching takes place in a dry environment, it is often calleddry etching. In chemical etching (wet or dry), material isremoved by direct chemical reaction between the etchantsand the substrate. Chemical etching, unfortunately, isisotropic; it removes material from the surface uniformlyin all directions—even from under the photoresist. Drysputter etching was developed to alleviate this problem.The wafer is placed on an electrode in the plasma reactorwhere ions extracted from the plasma are directed towardit at high energy and nearly vertical angles. Material is re-moved from the surface through mechanical collisions andis thus highly anisotropic, though not very selective. Usingreactive gases in the plasma reactor gives a combination ofchemical and mechanical etching. This is called reactiveion etching (RIE), and it gets the best of both behaviors—selectivity from chemical reactions and anisotropy fromsputtering.

For additive processes, the lithographic pattern is usedto open areas where the new layer is to be grown (by elec-troplating, in the case of copper). Stripping of the resistthen leaves the new material in a negative version of thepatterned photoresist.

Finally, doping involves the addition of controlled amountsof contaminants that change the conductive properties ofa semiconductor. Ion implantation uses an acceleratedbeam of dopant ions directed at the photoresist-patternedsubstrate. The resist blocks the ions, but the areas unpro-tected by resist are embedded with ions, creating the selec-tively doped regions.

Downloaded from SPIE Digital Library on 19 Feb 2011 to 159.226.165.151. Terms of Use: http://spiedl.org/terms

Page 23: Field Guide to Optical Lithography - Neo Black Pantherneoblackpanther.com/materials/mack_lithography.pdf · Field Guide to Optical Lithography The material in this Field Guide to

Image Formation 11

Maxwell’s Equations: The Mathematics of Light

Light is an electromagnetic wave with coupled electricand magnetic fields (E and H) traveling through space.These fields can interact with a material to give rise to fourother quantities: the electric displacement D, the magneticinduction B, the electric current density J, and the elec-tric charge density ρ, as described by Maxwell’s Equations(shown here in CGS units):

�∇ × �H − 1c

D = 4π

c�J �∇ × �E + 1

cB = 0

�∇ · �D = 4πρ �∇ · �B = 0where c is the speed of light in vacuum and the dot notationis used for the time derivative. The first two equations showhow a time-varying electric field (or displacement) causes achange in the magnetic field (or induction). The second twoequations show how static charge affects the electric fieldand that there are no magnetic charges. The properties ofthe materials involved provide relationships between thecurrent density and the electric field, the electric displace-ment and the electric field, and the magnetic induction andthe magnetic field. If the material that the electromagneticradiation is propagating through is isotropic, and is mov-ing slowly relative to the speed of light, and the fields in-volved are time-harmonic, then the three material equa-tions become

�J = σ �E, �D = ε�E, �B = µ �Hwhere σ is the conductivity of the material, ε is its dielec-tric constant, and µ is the magnetic permeability. Overthe range of electric and magnetic field strengths of interesthere, these three material properties can be considered con-stants. In general, the materials of interest to the lithogra-pher are non-magnetic, and µ = 1. Also note that for trans-parent materials (also called dielectrics), σ = 0. Thus, ab-sorption in a material is related to the generation of currentdensity under the influence of an electric field (i.e., conduc-tion).

Downloaded from SPIE Digital Library on 19 Feb 2011 to 159.226.165.151. Terms of Use: http://spiedl.org/terms

Page 24: Field Guide to Optical Lithography - Neo Black Pantherneoblackpanther.com/materials/mack_lithography.pdf · Field Guide to Optical Lithography The material in this Field Guide to

12 Optical Lithography

The Plane Wave and the Phasor

A general harmonic electric field E (due to monochromaticlight of frequency ω) at any point P and time t can be de-scribed by a deceptively simple sinusoidal equation:

E(P, t) = A(P) cos(ωt + �(P)

)where A is the amplitude and � is the phase, both of whichare position dependent, in general. As an example, considera “plane wave” of light traveling in the +z direction. Theterm plane wave refers to the shape of the wavefront, i.e.,the shape of the function �(P) = constant. Thus, a planewave traveling in the +z direction would require a con-stant phase in the x-y plane. Such a plane wave would bedescribed by the equation

E(P, t) = A cos(ωt − kz

)where k is a constant called the propagation constantor the wave number. Plane waves are especially impor-tant in optics because more complex wave functions can beexpressed as a superposition of different plane waves.

Although the first equation completely describes an arbi-trary time-harmonic electromagnetic field, a more compactrepresentation is possible based on the assumption that thefrequency of the light does not change (a good assumptionunder normal optical conditions). A sinusoid can be relatedto a complex exponential by

E(P, t) = A(P) cos(ωt + �(P)

) = Re{U(P)e−iωt}

whereU(P) = A(P)e−i�(P)

and U(P) is called the phasor representation of the sinu-soidal electric field E(P, t). Notice that this phasor repre-sentation shows no time dependence. Since the time de-pendence of the electric field typically does not change aslight travels, interferes, and interacts with matter, phasorrepresentations have become quite common in the mathe-matical analysis of optical systems. Fields that satisfy thisassumption are called time-harmonic fields.

Downloaded from SPIE Digital Library on 19 Feb 2011 to 159.226.165.151. Terms of Use: http://spiedl.org/terms

Page 25: Field Guide to Optical Lithography - Neo Black Pantherneoblackpanther.com/materials/mack_lithography.pdf · Field Guide to Optical Lithography The material in this Field Guide to

Image Formation 13

Basic Imaging Theory

A generic projection system consists of a light source,a condenser lens, the mask, the objective lens, and fi-nally the resist-coated wafer. The combination of the lightsource and the condenser lens is called the illuminationsystem. The purpose of the illumination system is to de-liver light to the mask (and eventually into the objectivelens) with sufficient intensity, the proper directionality andspectral characteristics, and adequate uniformity acrossthe field. The mask consists of a transparent substrate onwhich a pattern has been formed. This pattern changes thetransmittance of the light and in its simplest form is just anopaque film. The light then passes through the clear areasof the mask and diffracts on its way to the objective lens.The purpose of the objective lens is to pick up a portion ofthe diffraction pattern and project an image onto the waferwhich, one hopes, will resemble the mask pattern.

However, the objective lens, being only of finite size, cannotcollect all of the light in the diffraction pattern. Typically,lenses used in microlithography are circularly symmetricand the entrance to the objective lens can be thought of as acircular aperture. Only those portions of the mask diffrac-tion pattern that fall inside the aperture of the objectivelens go on to form the image. The loss of diffraction infor-mation is the ultimate limiter of image quality and resolu-tion.

Downloaded from SPIE Digital Library on 19 Feb 2011 to 159.226.165.151. Terms of Use: http://spiedl.org/terms

Page 26: Field Guide to Optical Lithography - Neo Black Pantherneoblackpanther.com/materials/mack_lithography.pdf · Field Guide to Optical Lithography The material in this Field Guide to

14 Optical Lithography

Diffraction

Diffraction is usually thought of as the bending of lightas it passes by an edge. More correctly, diffraction theorysimply describes how light propagates. This propagationincludes the effects of the surroundings (boundaries).

A simple interpretation of the physical principle behinddiffraction is best captured by Huygen’s Principle: anywavefront can be thought of as a collection of radiatingpoint sources. The new wavefront at some later time canbe constructed by summing up the wavefronts from all ofthe radiated spherical waves. Joseph Fresnel formed amathematical theory of diffraction by turning this summa-tion into an integral and including the phase of the lightwhen adding together the propagating spherical waves.This scalar diffraction theory was put on a more rigorousfooting by the mathematician Gustav Kirchhoff, who re-quired the diffracting waves to satisfy the Helmholtz equa-tion and conservation of energy. Fresnel’s formulas are infact a simplification of Kirchhoff ’s formulation for the casewhen the distance away from the diffracting plane (that is,the distance from the mask to the objective lens) is muchgreater than the wavelength of light. Finally, if the distanceto the objective lens is very large, or if the mask is illumi-nated by a spherical wave which converges to a point at theentrance to the objective lens, Fresnel diffraction simplifiesto Fraunhofer diffraction.

Downloaded from SPIE Digital Library on 19 Feb 2011 to 159.226.165.151. Terms of Use: http://spiedl.org/terms

Page 27: Field Guide to Optical Lithography - Neo Black Pantherneoblackpanther.com/materials/mack_lithography.pdf · Field Guide to Optical Lithography The material in this Field Guide to

Image Formation 15

Fraunhofer Diffraction: Examples

For Fraunhofer diffraction, the diffraction pattern (i.e.,the electric field distribution as it enters the objective lens)is just the Fourier transform of the mask pattern (theelectric field transmittance of the mask).

Two mask patterns—one an isolated space, the other a se-ries of equal lines and spaces—result in mask transmit-tance functions, tm(x), that look like a square pulse and asquare wave, respectively. The Fourier transforms are

Isolated space: Tm(fx) = sin(πwfx)

πfx

Dense space: Tm(fx) = 1p

∞∑n=−∞

sin(πwfx)

πfxδ

(fx − n

p

)

where δ is the Dirac delta function, w is the spacewidth,and p is the pitch (the linewidth plus the spacewidth), andfx is the spatial frequency, a scaled diffraction plane coordi-nate. The isolated space gives rise to a sinc function dif-fraction pattern, and the equal lines and spaces yield dis-crete diffraction orders.

It is interesting to consider how different these two diffrac-tion patterns are. The isolated space results in a continu-ous distribution of energy in the form of a sinc function.The dense array of spaces produces discrete points of light,whose amplitude follows the sinc function envelope.

Downloaded from SPIE Digital Library on 19 Feb 2011 to 159.226.165.151. Terms of Use: http://spiedl.org/terms

Page 28: Field Guide to Optical Lithography - Neo Black Pantherneoblackpanther.com/materials/mack_lithography.pdf · Field Guide to Optical Lithography The material in this Field Guide to

16 Optical Lithography

The Numerical Aperture

Light passing through the mask (the object plane) is dif-fracted at various angles. Given a lens of a certain sizeplaced at a certain distance from the mask, there is somemaximum angle of diffraction α for which the diffractedlight just makes it into the lens. Light emerging from themask at larger angles misses the lens and is not used informing the image. The most convenient way to describethe size of the lens aperture is by its numerical aperture,defined as the sine of the maximum half-angle of diffractedlight that can enter the lens times the index of refraction ofthe surrounding medium: NA = n sinα.

A large numerical aperture means that a larger portion ofthe diffraction pattern is captured by the objective lens. Fora small numerical aperture, much more of the diffractedlight is lost. The diffraction pattern for a repeating maskpattern is a series of discrete diffraction orders. The nu-merical aperture controls the number of diffraction ordersthat are used to form the image, and thus the quality of theimage. For a lens with magnification, there is an object sideand an image side numerical aperture, and the ratio of thetwo is the magnification factor.

Downloaded from SPIE Digital Library on 19 Feb 2011 to 159.226.165.151. Terms of Use: http://spiedl.org/terms

Page 29: Field Guide to Optical Lithography - Neo Black Pantherneoblackpanther.com/materials/mack_lithography.pdf · Field Guide to Optical Lithography The material in this Field Guide to

Image Formation 17

Fourier Optics

The goal of imaging is to create an image that resem-bles the mask pattern. Since diffraction gives the Fouriertransform of the mask, if the lens could give the in-verse Fourier transform of the diffraction pattern,the resulting image would resemble the mask pattern.In fact, lenses are de-signed to behave pre-cisely in this way. Doesan ideal lens produce aperfect image? No. Be-cause of the finite size ofthe numerical aperture,only a portion of the dif-fraction pattern entersthe lens. Thus, even anideal lens cannot pro-duce a perfect image un-less the lens is infinitelybig. Since in the case ofan ideal lens the image is limited only by the diffractedlight that does not make it through the lens, we call suchan ideal system diffraction-limited.

The objective lens pupil function P of an ideal lens sim-ply describes what portion of light enters the lens: it is oneinside the aperture and zero outside.

P(fx, fy) =

1,√

f 2x + f 2

y < NA/λ

0,√

f 2x + f 2

y > NA/λ

Thus, the product of the pupil function and the diffractionpattern (Tm) describes the light entering the objective lens.Combining this with our description of how a lens behavesgives us our final expression for the electric field at the im-age plane (that is, at the wafer):

E(x,y) = F−1{Tm(fx, fy)P(fx, fy)}

Downloaded from SPIE Digital Library on 19 Feb 2011 to 159.226.165.151. Terms of Use: http://spiedl.org/terms

Page 30: Field Guide to Optical Lithography - Neo Black Pantherneoblackpanther.com/materials/mack_lithography.pdf · Field Guide to Optical Lithography The material in this Field Guide to

18 Optical Lithography

Spatial Coherence and Oblique Illumination

Spatial coherence describes the range of angles used toilluminate the mask. Coherent illumination means simplythat the light striking the mask arrives from only one direc-tion. Generally it is assumed that coherent illumination onthe mask is normally in-cident. The result is adiffraction pattern thatis centered in the en-trance to the objectivelens. What would happenif we changed the direc-tion of the illuminationso that the light struckthe mask at some an-gle θ′? The effect is sim-ply to shift the positionof the diffraction patternwith respect to the lensaperture [in terms of spa-tial frequency, the amountshifted is (sinθ′)/λ]. Sinceonly the portion of the dif-fraction pattern passingthrough the lens apertureis used to form the image,it is quite apparent thatthis shift in the position ofthe diffraction pattern canhave a profound effect onthe resulting image.

Sometimes, tilting the illumination can make the imagebetter (allowing another diffraction order to enter the lens),and sometimes it will make the image worse (an order nolonger enters the lens), depending on the pitch of the pat-tern. Thus, adjusting the tilt of the illumination to improveprinting will be pitch dependent.

Downloaded from SPIE Digital Library on 19 Feb 2011 to 159.226.165.151. Terms of Use: http://spiedl.org/terms

Page 31: Field Guide to Optical Lithography - Neo Black Pantherneoblackpanther.com/materials/mack_lithography.pdf · Field Guide to Optical Lithography The material in this Field Guide to

Image Formation 19

Partial Coherence

Light arriving at the mask from only one angle is calledcoherent illumination. If the illumination of the mask iscomposed of light coming from a range of angles, the illumi-nation is called partially coherent. If one angle of illumi-nation causes a shift in the diffraction pattern, a range ofangles will cause a range of shifts, resulting in broadeneddiffraction orders.

One can characterize the range of angles used for the il-lumination in several ways, but the most common is thepartial coherence factor σ (also called the degree of par-tial coherence, the pupil-filling function, or just the partialcoherence). The partial coherence is defined as the sine ofthe half-angle of the illumination cone divided by the ob-jective lens numerical aperture. It is thus a measure of theangular range of the illumination relative to the angularacceptance of the lens. Finally, if the range of angles strik-ing the mask extends from −90 to 90◦ (that is, all possibleangles), the illumination is said to be incoherent.

Coherent light has σ = 0, incoherent light has σ = ∞,with partially coherent being between (although normallyσ < 1).

Downloaded from SPIE Digital Library on 19 Feb 2011 to 159.226.165.151. Terms of Use: http://spiedl.org/terms

Page 32: Field Guide to Optical Lithography - Neo Black Pantherneoblackpanther.com/materials/mack_lithography.pdf · Field Guide to Optical Lithography The material in this Field Guide to

20 Optical Lithography

Aberrations

An aberration is any deviation from the ideal, “diffraction-limited” imaging performance of a lens. In practice, aberra-tions come from three sources—aberrations of design, aber-rations of construction, and aberrations of use.

An “aberration of de-sign” does not meanmistakes or prob-lems caused by thedesigner of the lens.Aberrations are fun-damental to the na-ture of imaging andit is the goal of thelens designer to “de-sign out” as many ofthese aberrations as possible. The aberrations of design arethose aberrations, inserted into the imaging system by thenature of light, that the designer was not able to extractthrough clever design.

In terms of geometrical optics, the goal of imaging can bestated very simply: light emanating in all directions fromsome point on the ob-ject should be collectedby the lens and focusedto its ideal image point.Thus, a ray of light com-ing from the object pointshould pass through thelens and arrive at the im-age point, regardless ofits angle.

Some typical examples ofaberrations are tilt, defocus, coma, astigmatism, sphericalaberration, and chromatic aberration.

Downloaded from SPIE Digital Library on 19 Feb 2011 to 159.226.165.151. Terms of Use: http://spiedl.org/terms

Page 33: Field Guide to Optical Lithography - Neo Black Pantherneoblackpanther.com/materials/mack_lithography.pdf · Field Guide to Optical Lithography The material in this Field Guide to

Image Formation 21

Aberrations: The Zernike Polynomial

The aberration behavior of a lens can be predicted usinglens design software, or measured using interferometry,resulting in a mapof the phase errorof the light exit-ing from the lensfor a given pointin the field. Aber-rations will varyas a function offield position, typ-ically taking 25–50 measurementsacross the field tofully characterize alithographic lens.

For a given point in the field, a map of the phase erroracross the exit pupil is curve-fit to a function such as a high-order polynomial. By carefully choosing the form of thepolynomial, the coefficients themselves can take on phys-ically meaningful interpretations. By far the most commonsuch polynomial is the Zernike polynomial. This orthog-onal polynomial series has an infinite number of terms,but is typically cut off after 36 terms, with powers of therelative pupil radiusposition and trigono-metric functions ofthe polar angle θ.The Zernike polyno-mial is formulatedso that each termin the expansionhas physical signifi-cance, such as coma,spherical, and astig-matism.

Downloaded from SPIE Digital Library on 19 Feb 2011 to 159.226.165.151. Terms of Use: http://spiedl.org/terms

Page 34: Field Guide to Optical Lithography - Neo Black Pantherneoblackpanther.com/materials/mack_lithography.pdf · Field Guide to Optical Lithography The material in this Field Guide to

22 Optical Lithography

Aberrations: Zernike Examples

Term Zernike Formula Common Name

Z0 1 Piston

Z1 R cosθ X Tilt

Z2 Rsinθ Y Tilt

Z3 2R2 − 1 Power (focus)

Z4 R2 cos2θ 3rd-Order Astigmatism

Z5 R2 sin2θ 3rd-Order 45◦ Astig.

Z6 (3R2 − 2)R cosθ 3rd-Order X Coma

Z7 (3R2 − 2)Rsinθ 3rd-Order Y Coma

Z8 6R4 − 6R2 + 1 3rd-Order Spherical

Z9 R3 cos3θ 3rd-Order Trefoil

Z10 R3 sin3θ 45◦ Trefoil

Z11 (4R2 − 3)R2 cos2θ 5th-Order Astigmatism

Downloaded from SPIE Digital Library on 19 Feb 2011 to 159.226.165.151. Terms of Use: http://spiedl.org/terms

Page 35: Field Guide to Optical Lithography - Neo Black Pantherneoblackpanther.com/materials/mack_lithography.pdf · Field Guide to Optical Lithography The material in this Field Guide to

Image Formation 23

Chromatic Aberration

Since the index of refraction of all materials varies withwavelength (a property called dispersion), lens elementswill focus different wavelengths differently. This funda-mental problem, called chromatic aberration, can be al-leviated by using two different glass materials with dif-ferent dispersions such that the chromatic aberrations ofone lens element cancels the chromatic aberrations of theother. As with all aberrations, this cancellation is not per-fect, meaning that all lenses will have some level of resid-ual chromatic aberrations.

The effects of chromatic aberrations depend on two things:the degree to which the Zernike polynomial coefficientsvary with wavelength (the magnitude of the chromaticaberrations), and the range of wavelengths used by theimaging tool. For example, a typical i-line stepper mightuse a range of wavelengths on the order of 10 nm, whereasa KrF-excimer-laser-based deep-UV stepper may illumi-nate the mask using light with a wavelength range of 1 pm.A typical deep-UV lens makes no attempt at chromatic cor-rection since only fused silica is used for all the elements inthe lens. As a result, chromatic aberrations are a concern indeep-UV lithographic lenses even when extremely narrowbandwidth light sources are used.

For lenses with no chromatic corrections, the plane of bestfocus shifts nearly linearly with changes in wavelength.Since the center wavelength of most excimer lasers is ad-justable over a large range, this effect can be readily mea-sured, with typical KrF lenses showing 100–500 nm of fo-cus shift for every 1 pm of wavelength shift. Each wave-length in the laser spectrum will be projected through theimaging lens, forming an aerial image shifted in focus ac-cording to the wavelength response characteristic for thatlens. The total aerial image will be the sum of all of the im-ages from all the wavelengths in the source, resulting in afinal aerial image that is somewhat smeared through focus.

Downloaded from SPIE Digital Library on 19 Feb 2011 to 159.226.165.151. Terms of Use: http://spiedl.org/terms

Page 36: Field Guide to Optical Lithography - Neo Black Pantherneoblackpanther.com/materials/mack_lithography.pdf · Field Guide to Optical Lithography The material in this Field Guide to

24 Optical Lithography

Horizontal-Vertical (H-V) Bias

H-V bias is the systematic difference in linewidth betweenhorizontally and vertically oriented resist features that,other than orientation, should be identical. One main causeof H-V bias is astigmatism—a difference in best focus asa function of feature orientation. The pupil phase error dueto 3rd-order astigmatismis 2πZastigR2 cos2θ. Fora y-oriented vertical pat-tern the diffraction pat-tern will spread acrossthe x-axis of the pupil (θ =0◦ and 180◦). For horizon-tally oriented lines, thediffraction pattern will bealong the y-axis of thepupil (θ = ±90◦) and theastigmatism will cause aphase error of opposite sign compared to the vertical pat-terns.

The pupil phase error due to defocus is also quadratic withpupil radius R:

phase error ≈ πδNA2

λR2

where δ is the defocus distance, λ is the wavelength,and NA is the numerical aperture. Thus, astigmatismwill cause the vertically oriented lines to shift best focus,with the horizontal lines shifting focus by the same mag-nitude but in the opposite direction. To first order, CDhas a quadratic dependence on focus: CD ≈ CDbest focus +aδ2, where a is the dose-dependent curvature of the CD-through-focus curve. If best focus is shifted due to astigma-tism, we can calculate the CD of the vertical and horizontalfeatures, and the resulting H-V bias is just the differencein these two CDs.

H − V bias ≈ 8aδZastigλ

NA2

Downloaded from SPIE Digital Library on 19 Feb 2011 to 159.226.165.151. Terms of Use: http://spiedl.org/terms

Page 37: Field Guide to Optical Lithography - Neo Black Pantherneoblackpanther.com/materials/mack_lithography.pdf · Field Guide to Optical Lithography The material in this Field Guide to

Image Formation 25

Defocus

The impact of focus errors on the resulting aerial imagecan be described as equivalent to an aberration of a sort.By viewing the actual wavefront as having an error in cur-vature relative to the desired wavefront (i.e., the one thatfocuses on the wafer), we can quantify the effect of defo-cus. The distance between wavefronts is called the opticalpath difference (OPD). Describing the position withinthe exit pupil by an angle θ, the optical path difference isgiven by

OPD = δ(1 − cosθ)

A Taylor expansion in terms of sinθ gives

OPD = δ(1 − cosθ) = 12

δ

(sin2

θ + sin4θ

4+ sin6

θ

8+ · · ·

)

For small angles (that is, small numerical apertures), theimpact of defocus is approximately

OPD ≈ 12

δ sin2θ

Defocus causes a phase error that is zero at the center ofthe pupil and approximately quadratic across the pupil.

Downloaded from SPIE Digital Library on 19 Feb 2011 to 159.226.165.151. Terms of Use: http://spiedl.org/terms

Page 38: Field Guide to Optical Lithography - Neo Black Pantherneoblackpanther.com/materials/mack_lithography.pdf · Field Guide to Optical Lithography The material in this Field Guide to

26 Optical Lithography

Flare

Within a lens, reflections at an interface, scattering causedby particles or sur-face roughness, orscattering causedby glass inhomo-geneity all re-sult in stray lightcalled flare. De-fects such as theseoccur during lensmanufacturing, orcan arise due tolens degradation(aging, contamina-tion, etc.).

Measuring flare is reasonably straightforward. Considerthe imaging of an isolated island feature whose dimensionis extremely large compared to the resolution limits of theimaging tool (say, a 100 µm square island in positive re-sist). In the absence of flare, the imaging of such a largefeature will result in very nearly zero light energy at thecenter of the image of the island. The presence of flare, onthe other hand, will provide light to this otherwise dark re-gion on the wafer. The dose to clear (E0) is defined as theminimum dose required to completely remove the photore-sist during development for a large open frame exposure.A related concept is the island dose to clear (E0−island), theminimum dose required to completely wash away a largeisland structure during a normal development process. Bymeasuring both of these quantities, the amount of flare canbe determined as

Flare = E0

E0−island

For example, if the dose to clear of a resist is 15 mJ/cm2,then an imaging tool with 5% flare would mean that a largeisland will clear with a dose of about 300 mJ/cm2.

Downloaded from SPIE Digital Library on 19 Feb 2011 to 159.226.165.151. Terms of Use: http://spiedl.org/terms

Page 39: Field Guide to Optical Lithography - Neo Black Pantherneoblackpanther.com/materials/mack_lithography.pdf · Field Guide to Optical Lithography The material in this Field Guide to

Image Formation 27

Vector Nature of Light

Light is an electro-magnetic wave.Electric and mag-netic fields oscil-late at some char-acteristic frequencywhile traveling atthe speed of light.These fields have amagnitude, phase,and direction. It isthe direction (alsocalled the polariza-tion) of the electricfield that defines itsvector nature, and a key property of an electromagneticwave is that its electric and magnetic field vectors are atright angles to each other, and at right angles to the direc-tion of propagation. Two plane waves, approaching a waferat different angles, will interfere to form fringe patterns oflight and dark. The intensity of light I is the square of themagnitude of the electric field E. If the two electric fields donot interfere, the total intensity is the sum of the individualintensities.

I = |E1|2 + |E2|2If, however, the two electric fields interfere completely, thetotal intensity will be

I = |E1 + E2|2Two electric fields interfere with each only to the extentthat their electric fields oscillate in the same direction. Ifthe electric fields are at right angles to each other, therewill be no interference. To determine the amount of inter-ference between two electric fields, one must first deter-mine the amount of directional overlap between them.

The standard head-to-tail method of geometrically addingtwo vectors can be used to determine interference. If thetwo vectors are at right angles to each other so that the

Downloaded from SPIE Digital Library on 19 Feb 2011 to 159.226.165.151. Terms of Use: http://spiedl.org/terms

Page 40: Field Guide to Optical Lithography - Neo Black Pantherneoblackpanther.com/materials/mack_lithography.pdf · Field Guide to Optical Lithography The material in this Field Guide to

28 Optical Lithography

Vector Nature of Light (cont’d)

head-to-tail construction forms a simple right triangle, thelength of the resultant vector is given by the familiarPythagorean theorem: the intensities add together (there isno interference). At theother extreme (the mid-dle example in this fig-ure), two vectors in thesame direction add di-rectly and the electricfields will add (they in-terfere completely). Fi-nally, the bottom ex-ample shows an inter-mediate case. Workingthrough the trigonome-try of the vector sumshows that the portion ofthe two vectors that overlap will interfere, and the portionof the vectors that are at right angles will add in quadra-ture.

For two plane waves approaching the wafer, the transverseelectric or TE fields (the electric field pointing out of thepage of the drawing) are always 100% overlapped regard-less of the angle between the plane waves. For the trans-verse magnetic or TM field (the electric field pointing inthe page of the drawing), the extent of overlap between thetwo vectors grows smaller as the angle between the planewaves grows larger.

Downloaded from SPIE Digital Library on 19 Feb 2011 to 159.226.165.151. Terms of Use: http://spiedl.org/terms

Page 41: Field Guide to Optical Lithography - Neo Black Pantherneoblackpanther.com/materials/mack_lithography.pdf · Field Guide to Optical Lithography The material in this Field Guide to

Image Formation 29

Polarization

The direction of the electric field of an electromagneticwave, and how that direction varies in time or space, iscalled its polarization. Since an electromagnetic wavetravels through time and space, there are two useful andequivalent ways of looking at the direction of the electricfield: the variation of the electric field direction in space atan instant in time, or the variation of the electric field di-rection in time at a fixed point in space.

The simplest type of polarization is called linear polar-ization. At an instant in time, the electric field E is alwayspointing in one direction for all points in space. At one pointin space, the electric field changes magnitude sinusoidallythrough time, but always points in the same direction.

Other types of polarization include circular polarization,elliptical polarization, and random polarization (oftencalled unpolarized).

Downloaded from SPIE Digital Library on 19 Feb 2011 to 159.226.165.151. Terms of Use: http://spiedl.org/terms

Page 42: Field Guide to Optical Lithography - Neo Black Pantherneoblackpanther.com/materials/mack_lithography.pdf · Field Guide to Optical Lithography The material in this Field Guide to

30 Optical Lithography

The Optical Invariant

Snell’s Law says that light traveling through material1 with refractive index n1striking a surface with an-gle θ1 relative to the nor-mal to that surface willbe transmitted into ma-terial 2 (with index n2)at an angle θ2: n1 sinθ1 =n2 sinθ2. This simple lawapplied to a film stackmade up of any number ofthin parallel layers means that Snell’s law can be appliedrepeatedly. The quantity n sinθ is invariant as a ray oflight travels through this stack of parallel films.

We find another, related invariant when looking at how animaging lens works. The Lagrange invariant (often justcalled the optical invariant) relates the angles enteringand exiting the lens to the magnification m:

m = no sinθo

ni sinθi

where no is the refractive index of the media on the objectside and ni is the image side refractive index.

Taking into account the magnification scale factor, thequantity n sinθ for a diffracted order is constant from thetime it leaves the mask to the time it combines inside theresist with other diffraction orders to form an image of themask.

Downloaded from SPIE Digital Library on 19 Feb 2011 to 159.226.165.151. Terms of Use: http://spiedl.org/terms

Page 43: Field Guide to Optical Lithography - Neo Black Pantherneoblackpanther.com/materials/mack_lithography.pdf · Field Guide to Optical Lithography The material in this Field Guide to

Image Formation 31

Immersion Lithography: Resolution

If the air between the lens and the wafer is replaced withwater, the optical invariant says that the angles of lightinside the resist will be the same. There are two impacts onlithography: the maximum possible angle of light that canreach the resist is increased (increasing the maximum po-tential resolution), and the phase of that light is changed,causing an improvement in depth of focus.

The maximum value of the optical invariant will be lim-ited by the material in the film stack above and includingthe resist with the smallest refractive index. If one of thelayers is air (with a refractive index of 1.0), this will be-come the material with the smallest refractive index andthe maximum possible value of the invariant will be 1.0.If the air is replaced with a fluid of a higher refractive in-dex, but still smaller than the index of the photoresist, themaximum possible value of the invariant will be nfluid, andthe maximum possible angle of light inside the resist willbe greater: sinθmax,resist = nfluid/nresist. At a wavelength of193 nm, resists have refractive indices of about 1.7 and wa-ter has a refractive index of about 1.44. The fluid does notmake the angles of light larger, but it enables those anglesto be larger. If one were to design a lens to emit larger an-gles, immersion lithography will allow those angles topropagate into the resist. The numerical aperture of thelens (defined as the maximum value of the invariant n sinθ

that can pass through the lens) can be made to be muchlarger using immersion lithography, with the resulting im-provements in resolution one would expect.

Immersion lithography is now in use and is expected to al-low lenses to be made with numerical apertures greaterthan 1.0. Lenses with NAs above 1.2 or 1.3 seem likely. Ifan immersion fluid with a refractive index closer to that ofthe photoresist can be found, numerical apertures of up to1.5 might be possible.

Downloaded from SPIE Digital Library on 19 Feb 2011 to 159.226.165.151. Terms of Use: http://spiedl.org/terms

Page 44: Field Guide to Optical Lithography - Neo Black Pantherneoblackpanther.com/materials/mack_lithography.pdf · Field Guide to Optical Lithography The material in this Field Guide to

32 Optical Lithography

Immersion Lithography: Depth of Focus

For a given diffraction order (and thus a given angle ofthe light inside the resist), the angle of the light inside animmersion fluid will be less than if air were used. Thesesmaller angles will result in smaller optical path differ-ences between the various diffracted orders when out offocus, and thus a smaller degradation of the image for agiven amount of defocus. In other words, for a given featurebeing printed and a given numerical aperture, immersionlithography will provide a greater depth of focus (DOF).The high NA version of the Rayleigh depth of focus cri-terion (which assumes we are imaging a small binary pat-tern of lines and spaces of pitch p) is

DOF = k2

nfluid(1 − cosθ)

where nfluid sinθ = λ/p. Combining these equations, one cansee how immersion will improve the depth of focus of agiven small feature:

DOF(immersion)

DOF(dry)= 1 − √

1 − (λ/p)2

nfluid − √(nfluid)2 − (λ/p)2

Downloaded from SPIE Digital Library on 19 Feb 2011 to 159.226.165.151. Terms of Use: http://spiedl.org/terms

Page 45: Field Guide to Optical Lithography - Neo Black Pantherneoblackpanther.com/materials/mack_lithography.pdf · Field Guide to Optical Lithography The material in this Field Guide to

Imaging into a Photoresist 33

Standing Waves: Definition

When a thin dielectric film placed between two semi-infinite media (e.g., a thin coating on a thick substrate inair) is exposed to monochromatic light, standing wavesare produced in the film. Standing waves occur whenevertwo waves, traveling in opposite directions and with afixed phase relationship to each other, combine in an in-terference pattern along the direction of propagation. Inlithography,light passingthrough thephotoresist isreflected off thesubstrate. Thisreflected lightwave interfereswith the lightwave travelingdown to pro-duce the stand-ing wave pat-tern.

Standing waves always have a negative impact on lithogra-phy. If not removed through a post-exposure bake diffusionprocess, standing waves will print on the sidewalls of thephotoresist features to cause scalloped edges.

Downloaded from SPIE Digital Library on 19 Feb 2011 to 159.226.165.151. Terms of Use: http://spiedl.org/terms

Page 46: Field Guide to Optical Lithography - Neo Black Pantherneoblackpanther.com/materials/mack_lithography.pdf · Field Guide to Optical Lithography The material in this Field Guide to

34 Optical Lithography

Standing Waves: Mathematics

Consider the propagation of light (a monochromatic planewave of wavelength λ normally incident on the resist)through a film stack of air (layer 1) on resist (layer 2) ona substrate (layer 3). The transmission coefficient andreflection coefficient between layers i and j are given by

τij = 2ni

ni + njρij = ni − nj

ni + nj

where n is the complex refractive index of the material.A plane wave traveling through material i in the +z di-rection is

E(z) = e−ikiz = e−i2πniz/λ

The internal transmittance of resist of thickness D is

τD = e−i2πn2D/λ

Thus, an incident wave (Ei) will propagate into and throughthe resist (being absorbed along the way), reflect off thesubstrate, and bounce up and down inside the resist an infi-nite number of times, creating the final electric field insidethe resist of

Etotal(z) = τ12Ei(e−i2πn2z/λ + ρ23τ2Dei2πn2z/λ)

1 + ρ12ρ23τ2D

The relative intensity inside the resist is then given by

I(z) = n2|E(z)|2n1|Ei|2

The result is a sinusoidal variation of intensity withPeriod = λ/2n2. The amplitude of the standing waves isdetermined by the reflectivity of the substrate (ρ23) and theamount of absorption in the resist (e−αD).

Downloaded from SPIE Digital Library on 19 Feb 2011 to 159.226.165.151. Terms of Use: http://spiedl.org/terms

Page 47: Field Guide to Optical Lithography - Neo Black Pantherneoblackpanther.com/materials/mack_lithography.pdf · Field Guide to Optical Lithography The material in this Field Guide to

Imaging into a Photoresist 35

Fresnel Reflectivity

Consider light intersecting the plane interface between twomaterials, numbered 1 and 2, with an incident electric fieldEi, a reflected electric field Er, and a transmitted electricfield Et. The transmission and reflection coefficients arefunctions of the angle of incidence and the polarization ofthe incident light.If θi is the incident(and reflected) an-gle and θt is thetransmitted angle,then the electricfield reflection andtransmission coeffi-cients are given bythe Fresnel formu-lae.

ρ12⊥ = n1 cos(θi) − n2 cos(θt)

n1 cos(θi) + n2 cos(θt)τ12⊥ = 2n1 cos(θi)

n1 cos(θi) + n2 cos(θt)

ρ12‖ = n1 cos(θt) − n2 cos(θi)

n1 cos(θt) + n2 cos(θi)τ12‖ = 2n1 cos(θi)

n1 cos(θt) + n2 cos(θi)

where nj = nj + iκj = the complex index of refraction of ma-terial j. Here, ‖ represents an electric field vector that liesparallel to the plane defined by the direction of the incidentlight and a normal to the material interface. Other namesfor ‖ polarization include p polarization and TM (trans-verse magnetic) polarization. The polarization denoted by⊥ represents an electric field vector that lies in a plane per-pendicular to that defined by the direction of the incidentlight and a normal to the surface. Other names for ⊥ polar-ization include s polarization and TE (transverse electric)polarization. Note that

ρ21 = −ρ12, τ21 = n2 cos(θt)

n1 cos(θi)τ12

Downloaded from SPIE Digital Library on 19 Feb 2011 to 159.226.165.151. Terms of Use: http://spiedl.org/terms

Page 48: Field Guide to Optical Lithography - Neo Black Pantherneoblackpanther.com/materials/mack_lithography.pdf · Field Guide to Optical Lithography The material in this Field Guide to

36 Optical Lithography

Swing Curves

Generically, a swing curve is the sinusoidal variation ofsome lithographic parameter (e.g., linewidth, dose to clear,or reflectivity) with resist thickness.

The same thin-film inter-ference effects that causestanding waves also giverise to swing curves. Inter-ference between Er0 andEr1 can be constructive ordestructive depending onthe thickness of the resist.The reflectivity of the filmstack is given by

R = |ρ12|2 + |ρ23|2e−α2D + 2|ρ12ρ23|e−αD cos(4πn2(D/λ) − φ12 − φ23)

1 + |ρ12ρ23|2e−α2D + 2|ρ12ρ23|e−αD cos(4πn2(D/λ) − φ12 − φ23)

From this equation, one can see that swing curves can bereduced by reducing substrate reflectivity (ρ23) by using abottom ARC, reducing resist reflectivity (ρ12) by using a topARC, or by increasing resist absorbance (αD) by using adyed photoresist.

Downloaded from SPIE Digital Library on 19 Feb 2011 to 159.226.165.151. Terms of Use: http://spiedl.org/terms

Page 49: Field Guide to Optical Lithography - Neo Black Pantherneoblackpanther.com/materials/mack_lithography.pdf · Field Guide to Optical Lithography The material in this Field Guide to

Imaging into a Photoresist 37

Top Antireflective Coatings (TARC)

A top antireflection coating (TARC) can be used to re-duce swing curves. For simplicity, consider air (layer 1) onTARC (layer 2) on an infinitely thick photoresist (layer 3).The filmstack reflectivity is reduced when

Rtotal = |ρtotal|2 =∣∣∣∣ ρ12 + ρ23τ

2D

1 + ρ12ρ23τ2D

∣∣∣∣2

= 0 when ρ12 + ρ23τ2D = 0

A classic solution to this equation works very well whenthe materials 1 and 3 are not very absorbing: τ2

D = −1 andρ12 = ρ23. The requirement that τ2

D = −1 means that twopasses of the light through the ARC causes a 180◦ phasechange with no absorption (the magnitude is one). To getτ2

D = −1, the ARC thickness D must be adjusted to a “quar-ter wave”:

D = λ

4n2

The requirement that ρ12 = ρ23 will be satisfied when theindex of refraction of the ARC is made to be

n2 = √n1n3

Further, since the ARC does not absorb (a consequence ofτ2

D = −1), the imaginary part of its index is zero. Thus, theperfect TARC can only be achieved if both materials 1 and 3have no imaginary parts to their indices of refraction (i.e.,when materials in question are transparent). For a resistwith a refractive index of 1.7 at a wavelength of 193 nm(in air), the optimum TARC will have a refractive index ofabout 1.30 and a thickness of 37 nm.

Since in reality, resist will always be somewhat absorbing,a perfect TARC is not possible. Also, it is very difficult tofind practical materials with refractive indices low enoughto make a nearly ideal TARC. Additionally, top coatings arealso used for environmental protection, keeping airbornebase contaminants away from chemically amplified resistsand water away from immersion resists.

Downloaded from SPIE Digital Library on 19 Feb 2011 to 159.226.165.151. Terms of Use: http://spiedl.org/terms

Page 50: Field Guide to Optical Lithography - Neo Black Pantherneoblackpanther.com/materials/mack_lithography.pdf · Field Guide to Optical Lithography The material in this Field Guide to

38 Optical Lithography

Bottom Antireflective Coatings (BARC)

Reflections from the substrate can cause unwanted vari-ations in the resist profile (standing waves) and swingcurve effects. One possible solution is the bottom antire-flection coating (also called bottom ARC or BARC).

When optimizing a litho process for reflectivity, there arethree basic tasks: 1) optimize the BARC, 2) optimize the re-sist thickness (from a swing curve perspective), and 3) un-derstand the sensitivity to BARC, resist, and film stackvariations. For the first task, there are two classes of BARCproblems:

• BARC on metal (absorbing substrate): the goal is to re-duce the reflectivity (the thickness of the metal or what’sunderneath doesn’t matter)

• BARC on oxide (transparent substrate): reduce the sen-sitivity to oxide thickness variations (while also keepingreflectivity low)

There are threeBARC parametersavailable for opti-mization: the thick-ness of the BARC,and the real andimaginary parts ofits refractive index.For the simplest usecase, a BARC isgiven and the goalis just to optimizeits thickness.

When all three parameters can be optimized, there is afamily of solutions available, given by the equation

D = λ

4πκ2ln

∣∣∣∣ρ23

ρ21

∣∣∣∣ = λ

4πn2(θ23 − θ21) where ρij = |ρij|eiθij

The situation is more complicated when non-normal inci-dence of the light is included.

Downloaded from SPIE Digital Library on 19 Feb 2011 to 159.226.165.151. Terms of Use: http://spiedl.org/terms

Page 51: Field Guide to Optical Lithography - Neo Black Pantherneoblackpanther.com/materials/mack_lithography.pdf · Field Guide to Optical Lithography The material in this Field Guide to

Photoresist Chemistry 39

Novolak/DNQ Resists

For g-line (436 nm) and i-line (365 nm) lithography, themost common resists are of the Novolak/DNQ variety.These positive photoresists (meaning the exposed regionbecomes more soluble in developer) are made up of threemajor components; a base Novolak resin that gives the re-sist its structural properties and etch resistance, a pho-toactive compound or sensitizer called a diazonaphtho-quinone (DNQ), and a solvent that renders these compo-nents into liquid form for spin coating.

By themselves, Novolak resins are moderately soluble inaqueous base developers. But the DNQ acts as a stronginhibitor to dissolution. Exposure converts the inhibitingDNQ into a soluble carboxylic acid, rendering the resist sol-uble in developer.

DNQ molecules are photosensitive in the 350–450 nmrange. Since Novolak resins are reasonably transparentover this wavelength range as well, the combination makesfor a good resist for g-line and i-line light sources.

Downloaded from SPIE Digital Library on 19 Feb 2011 to 159.226.165.151. Terms of Use: http://spiedl.org/terms

Page 52: Field Guide to Optical Lithography - Neo Black Pantherneoblackpanther.com/materials/mack_lithography.pdf · Field Guide to Optical Lithography The material in this Field Guide to

40 Optical Lithography

Chemically Amplified Resists

Unlike conventional resists, such as the diazonaphtho-quinone/Novolak systems, chemically amplified resistsrequire two separate chemical reactions in order to changethe solubility of the resists. First, exposure turns an aerialimage into a latent image of exposure reaction products(acids). Although very similar to conventional resists, thereaction products of exposure for a chemically amplified re-sist do not significantly change the solubility of the resist.Instead, a second reaction during a post-exposure bake iscatalyzed by the exposure reaction products. The result ofthe post-exposure bake reaction is a change in the solubil-ity of the resist.

The defining characteristic of a chemically amplified re-sist is that this reaction is catalyzed by the acid so thatthe acid is not consumed by the reaction. A base poly-mer such as polyhydroxystyrene (PHS) is used that isvery soluble in an aqueous base developer. It is the hy-droxyl groups that give the PHS its high solubility, so by“blocking” these sites (by reacting the hydroxyl groupwith some longer chain molecule) the solubility can be re-duced. A t-butoxycarbonyl group (t-BOC) can be used as theblocker, resulting in a very slowly dissolving polymer. Inthe presence of a strong acid and heat, the t-BOC blockedpolymer will undergo acidolysis (a deblocking reaction) togenerate the soluble hydroxyl group.

Downloaded from SPIE Digital Library on 19 Feb 2011 to 159.226.165.151. Terms of Use: http://spiedl.org/terms

Page 53: Field Guide to Optical Lithography - Neo Black Pantherneoblackpanther.com/materials/mack_lithography.pdf · Field Guide to Optical Lithography The material in this Field Guide to

Photoresist Chemistry 41

Absorption of Light

The basic law of absorption is the empirical Lambert law:

dIdz

= −αI, which gives I(z) = I0e−αz

where I is the intensity of light traveling in the z-directionthrough a medium, and α is the absorption coefficient ofthe medium and has units of inverse length. The integratedform (right equation) is only applicable in a homogeneousmedium (i.e., α is not a function of z).

The propagation of an electric field through some materialcan implicitly account for absorption by using a complexindex of refraction n for the material such that n = n − iκ.The imaginary part of the index of refraction is related tothe absorption coefficient by

α = 4πκ/λ

Beer’s law is much less accurate than Lambert’s law(though often still useful) and says that for dilute solutionsor mixtures the absorption coefficient is proportional to theconcentration of the absorbing species in the solution.

αsolution = ac

where a is the molar absorption coefficient (sometimescalled the molar extinction coefficient) of the absorbingspecies and c is the concentration. The stipulation that thesolution be dilute expresses a fundamental limitation ofBeer’s law. At high concentrations, where absorbing mole-cules are close together, the absorption of a photon by onemolecule may be affected by a nearby molecule. Since thisinteraction is concentration dependent, it causes deviationfrom the linear relation. For an N-component homogeneoussolid, the absorption coefficient becomes

αT =N∑

j=1

ajcj

Downloaded from SPIE Digital Library on 19 Feb 2011 to 159.226.165.151. Terms of Use: http://spiedl.org/terms

Page 54: Field Guide to Optical Lithography - Neo Black Pantherneoblackpanther.com/materials/mack_lithography.pdf · Field Guide to Optical Lithography The material in this Field Guide to

42 Optical Lithography

Photoresist Bleaching and the Dill Parameters

A resist is made up of a resin R, the photoactive compoundM, the solvent S, and a fourth component that appears dur-ing exposure: exposure products P generated by the reac-tion of M with ultraviolet light. Applying Beer’s Law, theabsorption coefficient α is then

α = aMM + aPP + aRR + aSS

If Mo is the initial PAC concentration (i.e., with no UV expo-sure), the stoichiometry of the exposure reaction gives P =Mo −M. The absorption coefficient can then be expressed asα = Am + B where A = (aM − aP)Mo, B = aPMo + aRR + aSS,and m = M/Mo.

A and B are called the bleachable and non-bleachableabsorption coefficients, respectively, and make up thefirst two Dill parameters. Other non-bleachablecomponents of thephotoresist (suchas a dye) are addedto B.

The quantities Aand B are ex-perimentally mea-surable and canbe related to typ-ical resist ab-sorbance curves,measured usinga UV spectropho-tometer. When the resist is fully exposed, M = 0 andαexposed = B. Similarly, when the resist is unexposed, m = 1(M = Mo) and αunexposed = A + B. From this, A may be foundby A = αunexposed − αexposed. Thus, A(λ) and B(λ) may be de-termined from the UV absorbance curves of unexposed andcompletely exposed resist.

Downloaded from SPIE Digital Library on 19 Feb 2011 to 159.226.165.151. Terms of Use: http://spiedl.org/terms

Page 55: Field Guide to Optical Lithography - Neo Black Pantherneoblackpanther.com/materials/mack_lithography.pdf · Field Guide to Optical Lithography The material in this Field Guide to

Photoresist Chemistry 43

Exposure Kinetics

The absorption of UV light by the photoactive com-pound (PAC) leads to the chemical conversion of PAC Mto exposure product P.

MUV−→ P

This concept is stated in the first law of photochemistry:only the light that is absorbed by a molecule can be effectivein producing photochemical change in the molecule. Simplekinetics can be applied to the proposed mechanism assum-ing first order reactions.

dmdt

= −CIm

where the relative PAC concentration m (= M/Mo) hasbeen used and C is the standard exposure rate constant andthe third Dill parameter. A more thorough microscopicanalysis of the exposure process allows this exposure rateconstant to be broken down into the product of the absorp-tion cross-section of the PAC and the quantum yield of thereaction (the fraction of absorbed photons that produce thechemical change, �):

C = �aMλ

NAhc

where NA is Avogadro’s number, h is Planck’s constant, andc is the speed of light.

A solution to the exposure rate equation is simple if theintensity within the resist is constant throughout the expo-sure (no photoresist bleaching):

m = e−CIt

This result illustrates an important property of first-order kinetics called reciprocity. The amount of chemi-cal change is controlled by the product of light intensityand exposure time, called the exposure dose or exposureenergy.

Downloaded from SPIE Digital Library on 19 Feb 2011 to 159.226.165.151. Terms of Use: http://spiedl.org/terms

Page 56: Field Guide to Optical Lithography - Neo Black Pantherneoblackpanther.com/materials/mack_lithography.pdf · Field Guide to Optical Lithography The material in this Field Guide to

44 Optical Lithography

Measuring the Dill ABC Parameters

The photoresist to be measured is coated on a non-reflectingtransparent substrate. The resist is then exposed by a nor-mally incident parallel beam of light at the wavelength ofmeasurement. At the same time, the intensity of the lighttransmitted through the substrate is measured continu-ously. The output of the experiment, transmitted intensityas a function of exposure time, is then analyzed to deter-mine the resist ABC parameters.

Note that the effectiveness of this measurement techniquerests with the nonzero value of A. If the photoresist doesnot change its optical properties with exposure, measuringtransmittance will provide no insight on the exposure reac-tion.

The ABC parameters can be obtained from the transmit-tance curve by measuring the initial transmittance T(0),the final (completely exposed) transmittance T(∞), the ini-tial slope of the curve, and resist thickness D.

A = 1D

ln(

T(∞)

T(0)

), B = − 1

Dln

(T(∞)

T12

)

C = A + BAT(0){1 − T(0)}T12

dTdE

∣∣∣∣E=0

, T12 = 1 −(

nresist − 1nresist + 1

)2

Downloaded from SPIE Digital Library on 19 Feb 2011 to 159.226.165.151. Terms of Use: http://spiedl.org/terms

Page 57: Field Guide to Optical Lithography - Neo Black Pantherneoblackpanther.com/materials/mack_lithography.pdf · Field Guide to Optical Lithography The material in this Field Guide to

Photoresist Chemistry 45

Chemically Amplified Resist Kinetics

Chemically amplified resists require two separate chem-ical reactions in order to change the solubility of the resists.First, exposure turns an aerial image into a latent im-age of exposure reaction products with first-order kineticsidentical to conventional resists. A second deblocking re-action during a post-exposure bake is catalyzed by the acidexposure reaction product. Using M as the concentrationof some reactive site, these sites are consumed (i.e., arereacted) according to kinetics of first order in acid concen-tration H and first order in M:

dMdt′

= −kMH

where k is the amplification rate constant and t′ is the baketime. Assuming H is constant, this equation can be solvedfor the concentration of reacted sites X :

X = Mo − M = Mo(1 − e−kHt′)

It is useful here to normalize the concentrations to initialvalues. This results in a normalized acid concentration hand normalized reacted and unreacted sites x and m:

h = HGo

x = XMo

m = MMo

h = 1 − e−CIt m = 1 − x = e−Kampt′h

where Kamp = Gok and Go is the initial PAG concentration.The result of the PEB is an amplified latent image m(x),corresponding to an exposed latent image h(x), resultingfrom the aerial image I(x).

Consider the quite common case of a small exposure dose(It � 1/C) so that the amount of acid generated is small.

h ≈ CIt and m = 1 − x ≈ e−Kampt′CIt

If we define the thermal dose as Kampt′/C, then there is areciprocity between exposure dose and thermal dose.

Downloaded from SPIE Digital Library on 19 Feb 2011 to 159.226.165.151. Terms of Use: http://spiedl.org/terms

Page 58: Field Guide to Optical Lithography - Neo Black Pantherneoblackpanther.com/materials/mack_lithography.pdf · Field Guide to Optical Lithography The material in this Field Guide to

46 Optical Lithography

Diffusion in Chemically Amplified Resists

For chemically amplified resists, the photogeneratedacid and the base additives diffuse during the post-exposurebake. In one dimension, the standard diffusion equation(taking acid diffusion as the example) is

∂H∂t′

= ∂

∂z

(DH

∂H∂z

)

where DH is the diffusivity of acid in the photoresist. Solv-ing this equation requires two boundary conditions for eachdimension, one initial condition, and a knowledge of the dif-fusivity as a function of position and time.

The diffusivity is a strong function of temperature and,most probably, the extent of amplification. Since the tem-perature is changing with time during the bake, the dif-fusivity will be time dependent. The concentration depen-dence of diffusivity results from an increase in free volumefor typical positive resists: as the amplification reactionproceeds, the polymer blocking group evaporates resultingin a decrease in film thickness but also an increase in freevolume (and probably a change in the glass transition tem-perature as well). Since the acid concentration is time andposition dependent, the diffusivity must be determined asa part of the overall reaction kinetics solution.

The temperature dependence of the diffusivity can be ex-pressed in a standard Arrhenius form:

DH(T) = Are−Ea/RT

where Ar is the Arrhenius coefficient, Ea is the activationenergy, R is the universal gas constant (1.98717 cal/mole-◦C or 8.31431 J/mole-◦C), and T is absolute temperature. Ingeneral, the activation energy of diffusion will differ fromthe reaction activation energies, making the balance be-tween reaction and diffusion very sensitive to PEB temper-ature.

Downloaded from SPIE Digital Library on 19 Feb 2011 to 159.226.165.151. Terms of Use: http://spiedl.org/terms

Page 59: Field Guide to Optical Lithography - Neo Black Pantherneoblackpanther.com/materials/mack_lithography.pdf · Field Guide to Optical Lithography The material in this Field Guide to

Photoresist Chemistry 47

Acid Loss Mechanisms

Through a variety of mechanisms, acid formed by exposureof the resist film can be lost and thus not contribute to thecatalyzed reaction to change the resist solubility. There aretwo basic types of acid loss—loss that occurs between ex-posure and post-exposure bake, and loss that occurs dur-ing the post-exposure bake. The first type of loss leads topost-exposure delay time effects—the resulting lithog-raphy is affected by the delay time between exposure andpost-exposure bake. The typical mechanism for delay-timeacid loss is the diffusion of atmospheric base contaminantsinto the top surface of the resist. Another possible delay-time acid loss mechanism is base contamination from thesubstrate.

Acid loss during the PEB could occur by other mechanisms.For example, as the acid diffuses through the polymer, itmay encounter sites that “trap” the acid, rendering it un-usable for further amplification. Acid can also be lost at thetop surface of the resist due to evaporation. The amountof evaporation is a function of the size of the acid and thedegree of its interaction with the resist polymer.

Most modern formulations of chemically amplified resistsinclude the addition of a base quencher. Loaded at con-centrations of 5–20% of the initial PAG loading, this basequencher is designed to neutralize any photogenerated acidthat comes in contact with it. The main purpose of the basequencher is to neutralize the low levels of acid that mightdiffuse into the nominally unexposed regions of the wafer,thus making the final resist linewidth less sensitive to aciddiffusion.

Downloaded from SPIE Digital Library on 19 Feb 2011 to 159.226.165.151. Terms of Use: http://spiedl.org/terms

Page 60: Field Guide to Optical Lithography - Neo Black Pantherneoblackpanther.com/materials/mack_lithography.pdf · Field Guide to Optical Lithography The material in this Field Guide to

48 Optical Lithography

Post-Apply Bake Effects

Baking a resist may have many purposes, from removingsolvent to causing chemical amplification. In addition tothe intended results, baking may also cause numerous un-intended outcomes. For example, the light-sensitive compo-nent of the resist may decompose at temperatures typicallyused to remove solvent. The solvent content of the resistcan impact diffusion and amplification rates for a chemi-cally amplified resist. And all aspects of baking will proba-bly affect the dissolution properties of the resist.

For conventional resists, sensitizer decomposition dur-ing post-apply bake (PAB) can be significant but can bemonitored as a change in the bleachable absorption coeffi-cient A. For chemically amplified resists, the PAG does notreadily decompose.

Residual solvent in the film after baking can have two sig-nificant effects on the lithographic performance of a pho-toresist. Residual solvent increases the free volume andthus the diffusivity of acid during PEB. For a chemicallyamplified resist, especially when baking in a diffusion-controlled temperature regime, this increase in acid dif-fusivity can have quite a large impact. Secondly, residualsolvent can im-pact resist disso-lution rates, af-fecting both theaverage dissolu-tion rate and thevariation of disso-lution rate withexposure dose.Also, solvent pro-files with depthinto the resist cancause surface in-hibition duringdevelopment.

Downloaded from SPIE Digital Library on 19 Feb 2011 to 159.226.165.151. Terms of Use: http://spiedl.org/terms

Page 61: Field Guide to Optical Lithography - Neo Black Pantherneoblackpanther.com/materials/mack_lithography.pdf · Field Guide to Optical Lithography The material in this Field Guide to

Photoresist Chemistry 49

Photoresist Development Kinetics

The chemistry of photoresists is designed to turn a spa-tial distribution of energy (the aerial image) into a spatialdistribution of resist solubility. Ultimately, the dissolutionprocess turns the continuous energy distribution of the pro-jected aerial image into a binary resist image: either theresist is dissolved or it remains on the wafer.

Photoresist dissolution involves three processes: diffu-sion of the active component of the developer from the bulksolution to the surface of the resist, reaction of the devel-oper with the resist, and diffusion of the product back intothe solution. A simple but useful kinetic model is called theMack 4-parameter dissolution rate model:

r = rmax(a + 1)(1 − m)n

a + (1 − m)n +rmin where a = (n + 1)

(n − 1)(1−mTH)n

where mTH is the threshold inhibitor concentration, rmax isthe dissolution rate of fully exposed resist, rmin is the dis-solution rate of unexposed resist, and n is the dissolutionselectivity parameter controlling the contrast of the resist.

Downloaded from SPIE Digital Library on 19 Feb 2011 to 159.226.165.151. Terms of Use: http://spiedl.org/terms

Page 62: Field Guide to Optical Lithography - Neo Black Pantherneoblackpanther.com/materials/mack_lithography.pdf · Field Guide to Optical Lithography The material in this Field Guide to

50 Optical Lithography

Surface Inhibition

The basic kinetics of dissolution give the development rateof the resist as a function of the photoactive compound con-centration remaining after the resist has been exposed toUV light. The most notable deviation from the kinetic the-ory is the surface inhibition effect. The inhibition, or sur-face induction, effect is a decrease in the expected devel-opment rate at the surface of the resist.

Several factors have been found to contribute to the surfaceinhibition effect. Baking of the photoresist can produce sur-face inhibition, and two possible mechanisms are thoughtto be likely causes. One possibility is an oxidation of theresist at the resist surface, resulting in reduced develop-ment rate of the oxidized film. Alternatively, the inductioneffect may be the result of reduced solvent content near theresist surface, which also results from baking the resist.Quite commonly, surface inhibition can be induced with theuse of surfactants in the developer. Chemically amplifiedresists open a wide array of mechanisms for surface inhi-bition due to reduced amplification near the resist surface(caused, for example, by acid evaporation, base contamina-tion, or reduced acid diffusion in the low solvent surfaceregion).

Slower development of the top of the resist can lead to lessresist loss for linesand profiles withsharper, moresquare tops. Propermagnitude anddepth of surface in-hibition can be usedto counteract ef-fects of absorption.Too much inhibitioncan lead to T-top-shaped profiles anda loss of linewidthcontrol.

Downloaded from SPIE Digital Library on 19 Feb 2011 to 159.226.165.151. Terms of Use: http://spiedl.org/terms

Page 63: Field Guide to Optical Lithography - Neo Black Pantherneoblackpanther.com/materials/mack_lithography.pdf · Field Guide to Optical Lithography The material in this Field Guide to

Photoresist Chemistry 51

Developer Temperature and Concentration

The temperature of the developer solution during devel-opment can have a significant impact on resist perfor-mance. The speed (i.e., overall development rate) variesin a complicated way with temperature, usually resultingin the counter-intuitive resultof a “faster”resist process(i.e., a processrequiring lowerexposure doses)at lower de-veloper tem-peratures. Theshape of the de-velopment rateversus dose (orversus sensi-tizer concentration) curve will also vary considerably withtemperature, leading to possibly significant performancedifferences. Since tight control of developer temperature isnecessary, most development is done close to room temper-ature for easier control.

As one would expect, developer normality (the concentra-tion of base) also impacts dissolution rates greatly. In gen-eral, higher normality produces higher dissolution rates.The important dissolution selectivity parameter n(which isproportional to resist contrast) tends to have an optimum,essentially going to zero at very high and very low normal-ities. The combined impact of temperature and normalityon the dissolution selectivity n is quite complicated. A so-lution of 0.26N (2.38 weight percent) TMAH in water hasbecome an industry standard, used by almost all resists. Itis not at all clear that this is the optimum normality forevery resist, but most resists have been designed with thisnormality in mind.

Downloaded from SPIE Digital Library on 19 Feb 2011 to 159.226.165.151. Terms of Use: http://spiedl.org/terms

Page 64: Field Guide to Optical Lithography - Neo Black Pantherneoblackpanther.com/materials/mack_lithography.pdf · Field Guide to Optical Lithography The material in this Field Guide to

52 Optical Lithography

The Development Path

The development path can be generated by tracingthe position of the resist surface through the develop-ment time. The path must always be perpendicular to thesurface of the resist throughout the development cycle.Although the path be-gins vertically (sincethe resist surface ishorizontal), a photore-sist image is formedwhen the path turns toa nearly horizontal di-rection (for a 90◦ side-wall, the end of thepath must be horizon-tal). It is the behaviorof the horizontal part ofthe development path that determines the behavior of theresist profile (including the final dimension of the featureand the resist sidewall angle). As one might expect, the hor-izontal path is strongly affected by the exposure dose vari-ation caused by the aerial image.

Good profile control is obtained when the development rateat the beginning of the path is much greater than the devel-opment rate at the end of the path. In other words, think-ing of the path as segmented into vertical followed by hor-izontal development, good development spends very littletime developing vertically, and most of the total develop-ment time going horizontally. To a good approximation, inthe absence of surface inhibition, the final sidewall angleθ can be related to the development rates at the beginningand end of the path by

cosθ ≈ rate at path endrate at path start

Downloaded from SPIE Digital Library on 19 Feb 2011 to 159.226.165.151. Terms of Use: http://spiedl.org/terms

Page 65: Field Guide to Optical Lithography - Neo Black Pantherneoblackpanther.com/materials/mack_lithography.pdf · Field Guide to Optical Lithography The material in this Field Guide to

Lithography Control and Optimization 53

NILS: The Normalized Image Log-Slope

The transition from bright to dark within an aerial imageis the source of the information as to where the photore-sist edge should be. The steeper the intensity transition,the better the edge definition of the image, and as a resultthe better the edge definition of the resist pattern. If thelithographic property of concern is the control of the pho-toresist linewidth, then the image metric that affects thislithographic result is the slope of the aerial image intensitynear the desired photoresist edge (dI/dx). However, to beuseful it must be properly normalized. Dividing the slopeby the intensity will normalize out the effect of dose. Theresult is called the image log-slope (ILS):

Image Log-Slope = 1I

dIdx

= d ln(I)dx

where this log-slope is measured at the nominal (desired)line edge position.

Since variations in the photoresist edge positions (line-widths) are typically expressed as a percentage of the nomi-nal linewidth, the position coordinate x can also be normal-ized by multiplying the log-slope by the nominal linewidthw to give the normalized image log-slope (NILS).

NILS = wd ln(I)

dxThe NILS is usually the best single metric to judge thelithographic usefulness of an aerial image.

Downloaded from SPIE Digital Library on 19 Feb 2011 to 159.226.165.151. Terms of Use: http://spiedl.org/terms

Page 66: Field Guide to Optical Lithography - Neo Black Pantherneoblackpanther.com/materials/mack_lithography.pdf · Field Guide to Optical Lithography The material in this Field Guide to

54 Optical Lithography

NILS: The Log-Slope Defocus Curve

Since the NILS isa measure of imagequality, it can be usedto investigate how op-tical parameters af-fect image quality.One of the most obvi-ous examples is defo-cus. The effects of fo-cus on an image arequite familiar: as animage goes out of fo-cus, it gets blurry.Specifically, the edges become blurred so that it is harderto distinguish the exact point where the image transitionsfrom bright to dark. In other words, the slope of the aerialimage at the edge between bright and dark features (theNILS) is reduced as we go out of focus.

By plotting NILS versus focus, the sensitivity of the im-age to defocus can be quantified. An estimate of the min-imum acceptable NILS (the smallest value of NILS thatstill allows the featureto be printed with ac-ceptable quality) canlead to an estimate ofthe depth of focus. Us-ing the log-slope defo-cus curve, image pa-rameters (for example,numerical aperture orpartial coherence) canbe optimized for maxi-mum depth of focus.

Downloaded from SPIE Digital Library on 19 Feb 2011 to 159.226.165.151. Terms of Use: http://spiedl.org/terms

Page 67: Field Guide to Optical Lithography - Neo Black Pantherneoblackpanther.com/materials/mack_lithography.pdf · Field Guide to Optical Lithography The material in this Field Guide to

Lithography Control and Optimization 55

NILS: Image Optimization

To see how the log-slope defocus curve can be used tounderstand imaging, consider the effects of wavelength andnumerical aperture on the focus behavior of an aerial im-age. The first figure shows how the NILS of a 0.25 µmline-space pattern de-grades with defocusfor three differentwavelengths (365 nm,248 nm, and 193 nm).It is clear from the plotthat the lower wave-length provides betterimage quality for theuseful range of defo-cus. For a given mini-mum acceptable valueof NILS, the lowerwavelength will allowacceptable performance over a wider range of focus.

The impact of numerical aperture (NA) is a bit morecomplicated. The log-slope defocus curves for three dif-ferent numerical apertures (again, a 0.25 µm line-spacepattern is shown here)cross each other. Ifone picks some mini-mum acceptable NILSvalue, there will bean optimum NA thatgives the maximumdepth of focus (forexample, a minimumNILS value of 2.5 hasthe best depth of focuswhen NA = 0.6).

Downloaded from SPIE Digital Library on 19 Feb 2011 to 159.226.165.151. Terms of Use: http://spiedl.org/terms

Page 68: Field Guide to Optical Lithography - Neo Black Pantherneoblackpanther.com/materials/mack_lithography.pdf · Field Guide to Optical Lithography The material in this Field Guide to

56 Optical Lithography

NILS: Exposure Optimization

The aerial image, through the process of exposure, trans-fers its information into a latent image, a spatial distri-bution of exposed and unexposed resist. For a resist withfirst-order kinetics whose optical properties do not changewith exposure dose (commonly the case for chemically am-plified resists), the latent image m(x,y, z) is related to theaerial image I(x,y) by

m(x,y, z) = e−CEzI(x,y)

where C is the exposure rate constant and Ez is the expo-sure dose at a depth z into the resist that would result foran open frame exposure of incident dose E.

The slope or gradient of the latent image is a good metric oflatent image quality. By taking the derivative of the aboveequation,

∂m∂x

= m ln(m)∂ ln I∂x

Thus, the latent image gradient is directly proportionalto the image log slope (and thus the normalized latentimage gradient is proportional to NILS).

The term m ln(m) is exposure dependent (m being the rel-ative amount of resist sensitizer that has not been exposedat the point where the latent image gradient is being de-scribed). A plot of −m ln(m) versus m shows that thereis one exposure dose (one value of m) that will maximizethe latent image quality. When m = e−1 ≈ 0.37, the value of−m ln(m) reaches its maximum and the full information ofthe aerial image is transferred into the resist during expo-sure.

Often, dose is used as just a “dimension dial,” adjustingdose to obtain the desired feature size. If the dose is nearthe optimum, this approach is valid. If, however, the doseused is significantly off from optimum (say, very underex-posed), changing dose will affect both dimension and over-all latent image quality.

Downloaded from SPIE Digital Library on 19 Feb 2011 to 159.226.165.151. Terms of Use: http://spiedl.org/terms

Page 69: Field Guide to Optical Lithography - Neo Black Pantherneoblackpanther.com/materials/mack_lithography.pdf · Field Guide to Optical Lithography The material in this Field Guide to

Lithography Control and Optimization 57

NILS: PEB Optimization

Diffusion during PEB will spread out the latent image, de-grading the information present in the image and decreas-ing the gradient near the line edge. The change in the la-tent image gradient (LIG) due to diffusion can be de-scribed approximately by

∂m∗

∂x≈ ∂m

∂xe−σ2π2/2L2

where σ is the diffusion length and L is a character-istic length related to the width of the edge region (therange over which the original latent image gradient isnonzero). For a pattern of small lines and spaces, L isabout equal to the half pitch of the pattern. Obviously,increased diffusion (in-dicated by a larger dif-fusion length) resultsin a greater degrada-tion of the latent imagegradient. Also, smallerfeatures (smaller valuesof L) are more sensi-tive to diffusion, show-ing a greater fractionaldecline in the latent im-age gradient for a givendiffusion length.

For chemically amplified resists, diffusion during PEBis accompanied by a reaction that changes the photogener-ated acid latent image into a latent image of blocked anddeblocked polymer. Since reaction and diffusion occur si-multaneously, rigorous evaluation of the impact on the la-tent image gradient requires full lithography simulationapproaches. However, a simple approach can give impor-tant insights into the trade-offs.

Ignoring acid loss before or during the PEB, a simple mech-anism for a first-order chemical amplification gives

m∗(x) = e−α[1−m(x)]

Downloaded from SPIE Digital Library on 19 Feb 2011 to 159.226.165.151. Terms of Use: http://spiedl.org/terms

Page 70: Field Guide to Optical Lithography - Neo Black Pantherneoblackpanther.com/materials/mack_lithography.pdf · Field Guide to Optical Lithography The material in this Field Guide to

58 Optical Lithography

NILS: PEB Optimization (cont’d)

where α is the amplification factor, proportional to the PEBtime and exponentially dependent on PEB temperature.For a given level of required amplification, thermal and ex-posure doses can be exchanged so long as α(1 − m) is keptconstant. The gradient of this new latent image after am-plification is then

∂m∗

∂x= −m∗ ln(m∗)

(1

1 − m∂m∂x

)

= −m∗ ln(m∗)[

m ln(m)

1 − m

]∂ ln I∂x

For a given latent image after exposure [given m(x)], theoptimum latent image after amplification occurs whenm∗ = e−1, giving α(1 − m) = 1.

If we approximate the actual reaction-diffusion systemwith a simplified acid diffusion (without reaction) followedby amplification (without diffusion) mechanism, the solu-tion can be easily found by combining the above equationsand setting the exposure dose to be always adjusted to givethe optimum final gradient for any amount of amplification[i.e., by setting α(1 − m) = 1]. The result is an optimumamount of bake that balances the benefits of more amplifi-cation with the detriments of more diffusion.

Downloaded from SPIE Digital Library on 19 Feb 2011 to 159.226.165.151. Terms of Use: http://spiedl.org/terms

Page 71: Field Guide to Optical Lithography - Neo Black Pantherneoblackpanther.com/materials/mack_lithography.pdf · Field Guide to Optical Lithography The material in this Field Guide to

Lithography Control and Optimization 59

NILS: Development Optimization

The fundamental chemical response of interest is thechange in dissolution rate as a function of the exposuredose seen by the resist. A plot of development rate R ver-sus exposure dose E on a log-log scale is called a Hurter-Driffield (H-D) curve and allows for the definition of thephotoresist contrast, γ. Quite simply, the photoresistcontrast is the maximum slope of the development rate H-Dcurve.

γ ≡ ∂ lnR∂ ln E

∣∣∣∣max

To be a bit moregeneral, a photore-sist contrast func-tion γ(E) can be de-fined as the slopeof the H-D curve atany point.

The photoresistcontrast is a mea-sure of the discrim-ination of the resistwith respect to ex-posure. Higher con-trast means thata given change indose will result ina greater change in development rate. This point can beseen clearly using the lithographic imaging equation,derived from the definition of photoresist contrast:

∂ lnR∂x

= γ(E)∂ ln I∂x

The contrast turns the image log-slope into a gradient ofdevelopment rate.

Downloaded from SPIE Digital Library on 19 Feb 2011 to 159.226.165.151. Terms of Use: http://spiedl.org/terms

Page 72: Field Guide to Optical Lithography - Neo Black Pantherneoblackpanther.com/materials/mack_lithography.pdf · Field Guide to Optical Lithography The material in this Field Guide to

60 Optical Lithography

NILS: Total Process Optimization

It is useful to think about lithography as a sequence ofinformation transfer steps. A designer lays out a desiredpattern in the form of simple polygon shapes. This layoutdata drives a mask writer so thatthe information of the layout be-comes a spatial variation of trans-mittance (chrome and glass, for ex-ample) of the photomask. Next, themask is used in a projection imag-ing tool to create an aerial imageof the mask. However, due to thediffraction limitations of the wave-length and lens numerical aper-ture, the information transmittedto the wafer is reduced. The aerialimage, through the process of exposure, transfers its infor-mation into a latent image, followed by PEB, developmentand etch. At each step of the process, information is lost.

Process Step Information Information Metric

Design Polygons, binary

Mask Amplitude transmit-tance, tm(x,y)

Aerial Image I(x,y) NILS

Image in Resist I(x,y, z) NILS

Exposure Latent Imagem(x,y, z) (beforePEB)

Latent image gradient

Post-exposureBake

Latent Imagem∗(x,y, z) (after PEB)

Latent image gradient

Development Development RateR(x,y, z) + ResistProfile (CD, sidewallangle, resist loss)

Develop rate gradient,gamma, exposurelatitude

Downloaded from SPIE Digital Library on 19 Feb 2011 to 159.226.165.151. Terms of Use: http://spiedl.org/terms

Page 73: Field Guide to Optical Lithography - Neo Black Pantherneoblackpanther.com/materials/mack_lithography.pdf · Field Guide to Optical Lithography The material in this Field Guide to

Lithography Control and Optimization 61

Defining Photoresist Linewidth

A cross-section of a photoresist profile has, in general,a very complicated 2D shape. In order to compare theshapes of two different profiles, one must find a conve-nient description for the shapes of the profiles that some-how reflects their salient qualities. The most commondescription is to modelthe resist profile as atrapezoid. Thus, threenumbers can be used todescribe the profile: thewidth of the base of thetrapezoid (linewidth w),its height (profile thick-ness D), and the anglethat the side makes with the base (sidewall angle θ). Ob-viously, to describe such a complicated shape as a resistprofile with just three numbers is a great, though neces-sary, simplification. The key to success is to pick a methodof fitting a trapezoid to the profile that preserves the impor-tant features of the profile, is numerically practical, and asa result is not overly sensitive to slight changes in the pro-file.

The trapezoidal feature model can cause an inherent uncer-tainty in the measurement of a resist feature whenever theactual resist shape differs significantly from a trapezoid, asis often seen when imaging out of focus.

Downloaded from SPIE Digital Library on 19 Feb 2011 to 159.226.165.151. Terms of Use: http://spiedl.org/terms

Page 74: Field Guide to Optical Lithography - Neo Black Pantherneoblackpanther.com/materials/mack_lithography.pdf · Field Guide to Optical Lithography The material in this Field Guide to

62 Optical Lithography

Critical Dimension Control

Fundamentally, errors in the final dimension of a featureare the result of errors in the tools, processes, and materi-als that affect the final critical dimension (CD). Supposethe influence of each input variable on the final CD wereexpressed in a mathematical form, such as

CD = f (v1,v2,v3, . . .)

where vi are the input (process) variables. Given an errorin each process variable �vi, the resulting CD error canbe computed from a Taylor expansion. Ignoring the higher-order terms (that is, assuming a linear response), as wellas the cross terms,

�CD = �v1∂CD∂v1

+ �v2∂CD∂v2

+ · · ·Each �vi represents the magnitude of a process error.Each partial derivative ∂CD/∂vi represents the processresponse, the response of CD to an incremental changein the variable. This process response can be expressed inmany forms; for example, the inverse of the process re-sponse is called process latitude.

The linear error equation above can be modified to accountfor the nature of the errors at hand. In general, CD errorsare specified as a percentage of the nominal CD. For such

Downloaded from SPIE Digital Library on 19 Feb 2011 to 159.226.165.151. Terms of Use: http://spiedl.org/terms

Page 75: Field Guide to Optical Lithography - Neo Black Pantherneoblackpanther.com/materials/mack_lithography.pdf · Field Guide to Optical Lithography The material in this Field Guide to

Lithography Control and Optimization 63

Critical Dimension Control (cont’d)

a case, the goal is usually to minimize the relative CD error,�CD/CD:

�CDCD

= �v1∂ ln CD

∂v1+ �v2

∂ lnCD∂v2

+ · · ·Also, many sources of process errors result in errors thatare a fraction of the nominal value of that variable (for ex-ample, illumination nonuniformity in a stepper produces adose error that is a fixed percentage of the nominal dose).For such error types, it is best to use a relative process er-ror, �vi/vi:

�CDCD

= �v1

v1

∂ ln CD∂ ln v1

+ �v2

v2

∂ ln CD∂ ln v2

+ · · ·These equations are simple, but reveal a very importanttruth about error propagation and the control of CD. Thereare two distinct ways to reduce �CD: reduce the mag-nitude of the individual process errors (�vi), or reducethe response of CD to that error (∂CD/∂vi). Reducingthe magnitude of process errors is generally considered aprocess control activity. Reducing the process response isa process optimization activity.

A note of caution: the derivation of the above equationsassumed that the process errors were small enough to belinear and independent in their influence on CD. This willnot always be the case in a real lithographic process. Oneneed only consider the two variables of focus and expo-sure to see that the response of CD is certainly nonlinearand the two variables are highly dependent on each other.Usually, a linear expansion is most useful as a guide tounderstanding rather than as a computational tool.

Downloaded from SPIE Digital Library on 19 Feb 2011 to 159.226.165.151. Terms of Use: http://spiedl.org/terms

Page 76: Field Guide to Optical Lithography - Neo Black Pantherneoblackpanther.com/materials/mack_lithography.pdf · Field Guide to Optical Lithography The material in this Field Guide to

64 Optical Lithography

Critical Dimension Control: Effect on Devices

A classic example of the influence of CD control is atthe polysilicon gate level of standard CMOS logic devices.Physically, the polysilicon gate linewidth controls the effec-tive gate length Leff , which is directly proportional to theswitching time of the transistor. Thus, narrower gates tendto make transistors that can switch on and off at higherclock speeds. But transistors are designed for a specific gatelength. As the gate length gets smaller than this designedvalue, the transistor “leaks” current when it should be off,causing an increase in power consumption.

When printing a chip with millions of transistor gates, thegate lengths take on a distribution of values across the chip.This across-chip linewidth variation (ACLV) producesa range of transistor behaviors. The overall speed withwhich an operation can be performed (i.e., the fastest clockspeed) is limited by the slowest (largest gate CD) transistorin the critical path for that operation. On the other hand,the reliability of the chip is limited by the smallest gateCDs on the chip due to leakage current resulting in highpower consumption.

Downloaded from SPIE Digital Library on 19 Feb 2011 to 159.226.165.151. Terms of Use: http://spiedl.org/terms

Page 77: Field Guide to Optical Lithography - Neo Black Pantherneoblackpanther.com/materials/mack_lithography.pdf · Field Guide to Optical Lithography The material in this Field Guide to

Lithography Control and Optimization 65

Overlay Control

An integrated circuit is built up layer by layer to createa complex, 3D structure of many different materials. Any-where from 20 to 30 separate lithography steps are per-formed on a wafer to create a modern chip. When printinga small pattern, one must not only get the size of that fea-ture correct, one must place that feature on the wafer atjust the right spot so that it can work correctly with thepatterns defined by previous lithography steps. Overlay isthe measure of how well one pattern is placed on a waferrelative to a previously defined pattern.

Overlay errors have a definite impact on how small we canmake the circuit device. Given a certain expected amountoverlay error, the chip must be designed with enough roombetween the various components in order to tolerate theseerrors without causing device failure. As a result, the tran-sistors are not as small and are not packed as tightly to-gether as they might otherwise be. The biggest impact ofadding tolerance for overlay errors is a decrease in packingdensity and a subsequent increase is chip size. Thus, over-lay control affects price per function and functions per chip.Continuously improving our ability to control overlay is al-most as important as improving our ability to control CD.

Downloaded from SPIE Digital Library on 19 Feb 2011 to 159.226.165.151. Terms of Use: http://spiedl.org/terms

Page 78: Field Guide to Optical Lithography - Neo Black Pantherneoblackpanther.com/materials/mack_lithography.pdf · Field Guide to Optical Lithography The material in this Field Guide to

66 Optical Lithography

Line Edge Roughness

When variations in the width of a resist fea-ture occur quickly over the length of the fea-ture, this variation is called linewidth rough-ness. When examining these variations alongjust one edge it is called line edge roughness(LER). LER becomes important for featuresizes on the order of 100 nm or less, and canbecome a significant source of linewidth con-trol problems for features below 50 nm. LER iscaused by a number of statistically fluctuatingeffects at these small dimensions such as shotnoise (photon flux variations), statistical distri-butions of chemical species in the resist suchas photoacid generators, the random walk nature of aciddiffusion during chemical amplification, and the nonzerosize of resist polymers being dissolved during development.It is unclear which process or processes dominate in theircontribution to LER.

LER is usually characterized asthe 3σ deviation of a line edgefrom a straight line, though amore complete frequency analy-sis of the roughness can be valu-able as well. For 193-nm lithog-raphy, LER values of 4 nm andlarger are common. LER is in-versely proportional to the nor-malized image log-slope (NILS), so LER generally getsworse as an image goes out of focus and for higher reso-lution patterns.

The impact of LER on device performance depends on thespecific device layer and specific aspects of the device tech-nology. For lithography generations below 100 nm, typicalspecifications for the 3σ LER are about 5% of the nominalCD. It is possible that LER will become the main limiter ofCD control below 65-nm production.

Downloaded from SPIE Digital Library on 19 Feb 2011 to 159.226.165.151. Terms of Use: http://spiedl.org/terms

Page 79: Field Guide to Optical Lithography - Neo Black Pantherneoblackpanther.com/materials/mack_lithography.pdf · Field Guide to Optical Lithography The material in this Field Guide to

Lithography Control and Optimization 67

Metrology: Critical Dimension

In the early days of semiconductor processing, optical mi-croscopes were used to measure the dimensions of impor-tant features on the wafer. Today, feature sizes are toosmall for precise optical measurements. The most com-mon metrology tool for CD measurement is the scanningelectron microscope (SEM). A sample is scanned bya focused beam of fairly low-energy electrons. Secondaryand/or backscattered electrons are then detected as a func-tion of beam position to form an image. The resolution ofthe image is a function of the beam size and the electronscattering range inside the materials being imaged. Charg-ing of the resist pattern can also cause blurring of the im-age and inaccuracies in the measurement.

Steps in the height of the materials (such as the edge ofa photoresist feature) produce increased electron produc-tion to the detector, making edges of the features appearbrighter. Analysis of the image along a cross-section givesa linescan of grayscale values. Various algorithms can beused to detect the edges and measure the width of the fea-ture.

A higher-accuracy alternative to the SEM is the slower andmore expensive atomic force microscope (AFM). A spe-cial narrow tip of silicon is brought so close to the samplethat atomic forces attract or repel the tip. By detecting theheight of the tip to produce a constant force, a map of thesurface height of the sample is obtained.

Downloaded from SPIE Digital Library on 19 Feb 2011 to 159.226.165.151. Terms of Use: http://spiedl.org/terms

Page 80: Field Guide to Optical Lithography - Neo Black Pantherneoblackpanther.com/materials/mack_lithography.pdf · Field Guide to Optical Lithography The material in this Field Guide to

68 Optical Lithography

Metrology: Overlay

Overlay control requirements are, as a rule of thumb, aboutone third of the minimum feature size. Typically, any con-trol application requires metrology precision equal to onetenth to one fifth of the control requirement. Thus, overlaymeasurement precision requirements today are just a fewnanometers, and are always shrinking.

Most overlay today is measured using optical imagingof wafer patterns printed with two different lithographysteps. The box-in-box structure is the most common, wherefeatures are big enough (about 2 µm) to be readily imagedin a broadband microscope of moderately high numericalaperture. Edge detection finds small difference in right-left and top-bottom gaps between the two boxes, which aretranslated into overlay error vectors.

Errors in overlay measurement come from odd aberrationsin the measurement optics, such as coma (giving rise toTIS, tool-induced shift) and asymmetries in the physi-cal shape of the measurement target (giving rise to WIS,wafer-induced shift).

Analysis of overlay data is fit to models of typical overlayerror sources, such as translation and rotation of the reticleor the wafer, and magnification errors. Model coefficientsare then used to correct these error sources for subsequentwafers.

Downloaded from SPIE Digital Library on 19 Feb 2011 to 159.226.165.151. Terms of Use: http://spiedl.org/terms

Page 81: Field Guide to Optical Lithography - Neo Black Pantherneoblackpanther.com/materials/mack_lithography.pdf · Field Guide to Optical Lithography The material in this Field Guide to

Lithography Control and Optimization 69

The Process Window

Since the effect of focus is dependent on exposure, the onlyway to judge the response of the process to focus is to si-multaneously vary both focus and exposure in a focus-exposure matrix. Plotting linewidth as a function of fo-cus for different exposures is called a Bossung plot. Ofcourse, one output as a function of two inputs can be plottedin several different ways. For example, the Bossung curvescould also be plotted as exposure latitude curves (linewidthversus exposure) for different focus settings. Probably themost useful way to plot this 2D data set is a contourplot—contours of constant linewidth versus focus and ex-posure.

The contour plot formof data visualization isespecially useful for es-tablishing the limits ofexposure and focus thatallow the final imageto meet certain speci-fications. Rather thanplotting all of the con-tours of constant CD,one could plot only thetwo CDs correspondingto the outer limits of

Downloaded from SPIE Digital Library on 19 Feb 2011 to 159.226.165.151. Terms of Use: http://spiedl.org/terms

Page 82: Field Guide to Optical Lithography - Neo Black Pantherneoblackpanther.com/materials/mack_lithography.pdf · Field Guide to Optical Lithography The material in this Field Guide to

70 Optical Lithography

The Process Window (cont’d)

acceptability—the CD specifications. Because of the natureof a contour plot, other variables can also be plotted on thesame graph. The figure below shows an example of plot-ting contours of CD (nominal ±10%), 80◦ sidewall angle,and 10% resist loss all on the same graph. The resultingoverlapping region is called the process window—the re-gion of focus and exposure that keeps the final resist profilewithin all three specifications.

The focus-exposure process window is one of the most im-portant plots in lithography since it shows how exposureand focus work together to affect linewidth, sidewall angle,and resist loss. The process window can be thought of as aprocess capability—how the process responds to changesin focus and exposure. An analysis of the error sources forfocus and exposure in a given process will give a processrequirement. If the process capability exceeds the processrequirements, yield will be high. If, however, the processrequirement is too large to fit inside the process capability,yield will suffer.

The process window is used to measure the depth of focus(DOF).

Downloaded from SPIE Digital Library on 19 Feb 2011 to 159.226.165.151. Terms of Use: http://spiedl.org/terms

Page 83: Field Guide to Optical Lithography - Neo Black Pantherneoblackpanther.com/materials/mack_lithography.pdf · Field Guide to Optical Lithography The material in this Field Guide to

Lithography Control and Optimization 71

Depth of Focus

To measure the size of a focus-exposure process win-dow, the first step is to graphically represent errors in fo-cus and exposure as a rectangle on the same plot as theprocess window. The width of the rectangle represents thebuilt-in focus errors of the processes, and the height rep-resents the built-in dose errors. The problem then becomesone of finding the maximum rectangle that fits inside theprocess window. However, there is no one answer to thisquestion. There are many possible rectangles of differentwidths and heights that are “maximum,” i.e., they cannotbe made larger in either direction without extending be-yond the process window. The result is a very importanttrade-off between exposure latitude and depth of focus(DOF).

If all focus and exposure errors were systematic, then theproper graphical representation of those errors would bea rectangle. If, however, the errors were randomly distrib-uted, then a surface of constant probability of occurrence isan ellipse.

Using either a rectangle for systematic errors or an ellipsefor random errors, the size of the errors that can be toler-ated for a given process window can be determined. Tak-ing the rectangle as an example, one can find the maxi-mum rectangle that will fit inside the processes window.Every maximum rectangle is determined and its height

Downloaded from SPIE Digital Library on 19 Feb 2011 to 159.226.165.151. Terms of Use: http://spiedl.org/terms

Page 84: Field Guide to Optical Lithography - Neo Black Pantherneoblackpanther.com/materials/mack_lithography.pdf · Field Guide to Optical Lithography The material in this Field Guide to

72 Optical Lithography

Depth of Focus (cont’d)

(the exposure latitude) is plotted versus its width (depthof focus, DOF). Likewise, assuming random errors in focusand exposure, every maximum ellipse that fits inside theprocesses window can be determined. The horizontal widthof the ellipse would represent a 3σ error in focus, while thevertical height of the ellipse would give a 3σ error in expo-sure. A plot of the height versus the width of this familyof maximal error shapes gives the exposure latitude versusDOF curve.

The exposure latitude versus DOF curve provides the mostconcise representation of the coupled effects of focus andexposure on the lithography process. Each point on the ex-posure latitude vs. DOF curve is one possible operatingpoint for the process. The user must decide how to balancethe trade-off between DOF and exposure latitude. One ap-proach is to define a minimum acceptable exposure latitudeand then operate at this point. This has the effect of max-imizing the DOF of the process. In fact, this approach al-lows for the definition of a single value for the DOF of agiven feature for a given process. The depth of focus ofa feature can be defined as the range of focus that keepsthe resist profile of a given feature within all specifications(linewidth, sidewall angle, and resist loss) over a specifiedexposure range.

Downloaded from SPIE Digital Library on 19 Feb 2011 to 159.226.165.151. Terms of Use: http://spiedl.org/terms

Page 85: Field Guide to Optical Lithography - Neo Black Pantherneoblackpanther.com/materials/mack_lithography.pdf · Field Guide to Optical Lithography The material in this Field Guide to

Lithography Control and Optimization 73

Resolution

Resolution is the smallest feature that you are able toprint (with a given process, tool set, etc.) with sufficientquality. For a production engineer, the manufacturable res-olution is the smallest feature size that provides adequateyield for a device designed to work at that size.

In practice, process variationslimit resolution since smallerfeatures have inherently lessprocess latitude. It is commonto use focus and exposure doseas representative process vari-ables, so that resolution is de-fined as the smallest feature ofa given type that can be printedwith a specified depth of fo-cus.

For contact holes, the pointspread function of the lensforms a good measure of resolu-tion. For dense lines and spaces, the smallest pitch is lim-ited by how many diffraction orders can pass throughthe lens (and thus is limited by λ/NA). For an isolated fea-ture, there is no hard resolution cut-off. Instead, linewidthcontrol is the limiter.

Downloaded from SPIE Digital Library on 19 Feb 2011 to 159.226.165.151. Terms of Use: http://spiedl.org/terms

Page 86: Field Guide to Optical Lithography - Neo Black Pantherneoblackpanther.com/materials/mack_lithography.pdf · Field Guide to Optical Lithography The material in this Field Guide to

74 Optical Lithography

Rayleigh Criteria: Resolution

The easiest (though not the only)way to derive the Rayleigh res-olution criterion is with theimaging of equal lines and spaces.For a pitch p, the diffraction pat-tern will be discrete diffractionorders at spatial frequenciesthat are multiples of 1/p. Thelens allows a portion of the dif-fraction pattern to pass throughand be used to form the im-age. The largest spatial frequencythat can make it through the lensis NA/λ.

In order to form an image, at least two diffraction ordersmust go through the lens. Assuming coherent illumination,this means the zero order and the two first orders mustgo through the lens. The smallest pitch that allows this tohappen would put the first diffraction orders exactly at theedge of the lens:

1pmin

= NAλ

For equal lines and spaces, the resolution is one half of thisminimum pitch:

R = pmin

2= 0.5

λ

NASince the above criterion for resolution is fairly specific(equal lines and spaces with coherent illumination), it iscommon in lithography applications to generalize some-what and simply say that resolution is directly proportionalto λ/NA, using k1 as the proportionality factor:

R = k1λ

NA

Downloaded from SPIE Digital Library on 19 Feb 2011 to 159.226.165.151. Terms of Use: http://spiedl.org/terms

Page 87: Field Guide to Optical Lithography - Neo Black Pantherneoblackpanther.com/materials/mack_lithography.pdf · Field Guide to Optical Lithography The material in this Field Guide to

Lithography Control and Optimization 75

Rayleigh Criteria: Depth of Focus

Defocusing of a wafer is equivalent to causing an aberra-tion—an error in curvature of the actual wavefront relativeto the desired wavefront. The distance from the desired tothe “defocused” wavefront is called the optical path dif-ference (OPD). Describing the position within the exitpupil by an angle θ, the optical path difference is

OPD = δ(1 − cosθ) = 12

δ

(sin2

θ + sin4θ

4+ sin6

θ

8+ · · ·

)

The diffraction pattern of an array of small lines and spacesis a set of discrete diffraction orders, points of light enter-ing the lens spaced regularly depending only on the wave-length of the light λ and the pitch p of the mask pattern.The angle of the first diffracted order is sinθ = λ/p.

For small lens numerical apertures, the largest angles go-ing through the lens are also quite small and the higher-order terms in the Taylor series for OPD can be ignored.

OPD ≈ 12

δ sin2θ

If the OPD were set to a quarter of the wavelength, thezero and first diffracted orders would be exactly 90◦ outof phase with each other. At this much OPD, the zero or-der would not interfere with the first orders and no patternwould be formed. The true amount of tolerable OPD mustbe less than this amount (as indicated by the factor k2).

OPDmax = k2λ

4, where k2 < 1. Thus,

DOF = 2δmax = k2λ

sin2θ

= k2λ

NA2

where the last expression on the right applies only at theresolution limit, so that the first diffracted orders are atthe edge of the lens. Note that this Rayleigh DOF crite-rion applies only to low numerical apertures when imagingdense patterns at the resolution limit.

Downloaded from SPIE Digital Library on 19 Feb 2011 to 159.226.165.151. Terms of Use: http://spiedl.org/terms

Page 88: Field Guide to Optical Lithography - Neo Black Pantherneoblackpanther.com/materials/mack_lithography.pdf · Field Guide to Optical Lithography The material in this Field Guide to

76 Optical Lithography

Mask Error Enhancement Factor (MEEF)

For “linear” imaging, mask critical dimension (CD) errorstranslate directly into wafer CD errors (taking into accountthe reduction factor of the imaging tool). If, however, thefeatures of interest are near the edge of the linear reso-lution limit, the assumption of linear imaging falls apart.Near the resolution limit, small errors in the mask dimen-sion can cause large errors in the final resist CD. This am-plification of mask errors is characterized by the mask er-ror enhancement factor (MEEF). The MEEF is definedas the change in resist CD per unit change in mask CD:

MEEF = ∂CDresist

∂CDmask

where again the mask CD is in wafer dimensions. Regionswhere the MEEF is significantly greater than 1 are regionswhere mask error may come to dominate CD control on thewafer.

Optical proximity correction techniques allow us to lowerthe linear resolution, but without improving the MEEF.

Downloaded from SPIE Digital Library on 19 Feb 2011 to 159.226.165.151. Terms of Use: http://spiedl.org/terms

Page 89: Field Guide to Optical Lithography - Neo Black Pantherneoblackpanther.com/materials/mack_lithography.pdf · Field Guide to Optical Lithography The material in this Field Guide to

Lithography Control and Optimization 77

Resolution Enhancement Technologies

Attempts to improve the process window by optical means(sometimes called optical “tricks”) include:

• Optimization of the maskpattern shape (optical prox-imity correction, OPC)

• Optimization of the anglesof light illuminating themask (off-axis illumination,OAI)

• Adding phase informationto the mask (phase-shiftmasks, PSM)

• Control of the polarizationof the illumination

Collectively, these optical approaches are known as reso-lution enhancement technologies (RETs). While sometechniques improve feature resolution at the expense ofpitch resolution, many of the RET approaches can improvepitch resolution and increase the process window simulta-neously. However, the most promising RETs (especially thebest PSMs techniques) require a revolution in chip layoutdesign that has yet to occur.

Downloaded from SPIE Digital Library on 19 Feb 2011 to 159.226.165.151. Terms of Use: http://spiedl.org/terms

Page 90: Field Guide to Optical Lithography - Neo Black Pantherneoblackpanther.com/materials/mack_lithography.pdf · Field Guide to Optical Lithography The material in this Field Guide to

78 Optical Lithography

Phase-Shift Masks

Phase-shift masks (PSMs) work by adding phase infor-mation to the mask in addition to amplitude information.A binary chrome-on-glass mask encodes the informationabout where to posi-tion resist edges us-ing chrome (with zeroamplitude transmit-tance) and glass (with100% amplitude trans-mittance). A phase-shiftmask relies on thefact that light passingthrough a transparent media will undergo a phase changeas a function of its optical thickness, the refractive indextimes the physical thickness. Thus, light passing througha certain thickness of quartz will have a different phasetransmittance than light passing through the same thick-ness of air. By adjusting the thickness of the quartz, anyphase difference can be obtained.

By setting the phase shift to be 180◦, high-resolution imag-ing with good depth of focus can be obtained. Light fromshifted and unshifted parts of the mask, when combined,will interfere and cancel out at the image plane due tothe 180◦ phase shift. If the shifted and unshifted lightis of equal quantity the cancellation will be complete.A 0–180◦ phase edge on a mask will print as a narrow darkline. An array of these edges will print patterns of linesand spaces with widths as lowas 0.25λ/NA. Common typesof PSMs are alternating (pic-tured here) and attenuatedPSMs. Practical implementa-tion of PSMs is limited byphase termination problemsand mask fabrication difficul-ties.

Downloaded from SPIE Digital Library on 19 Feb 2011 to 159.226.165.151. Terms of Use: http://spiedl.org/terms

Page 91: Field Guide to Optical Lithography - Neo Black Pantherneoblackpanther.com/materials/mack_lithography.pdf · Field Guide to Optical Lithography The material in this Field Guide to

Lithography Control and Optimization 79

Phase-Shift Masks: Alternating

Alternating phase-shift masks (AltPSM) are used toprint narrow lines in positive photoresist by making theclear areas on either side of the line of opposite phase.Thus, the phase cancellation effect of light diffracted fromeither side of the line will keep the line dark and narrow,even when out of focus. AltPSM is a “strong” PSM that canmaximize resolution and depth of focus.

AltPSM suffers from two very important drawbacks. Onthe mask fabrication side, a subtractive etch to create thephase-shifted space results in reduced amplitude transmit-tance and non-perfect 180◦ phase transmittance, both ofwhich vary with the width of the shifted space. Biasing theetched spaces wider and allowing the etch to undercut thechrome can alleviate but not eliminate the problem.

The second difficulty is calledthe phase conflict problem. At-tempting to phase shift an arbi-trary layout of lines will invari-ably lead to phase conflicts: nophase shift where you do wantit, and a phase shift where youdon’t want it. The first type re-sults in a lack of phase shiftacross a critical feature whenthere is an odd wrapping ofphase assignments. This “non-shifted” feature will not prop-erly print. The second type isalso called the termination prob-lem since it usually occurs at theend of a line. Alternating phaseacross each side of a line will result in those two phasesmeeting at the line end. Whenever two opposing phasesmeet, a dark interference line is created causing an un-wanted resist line to print. Generally, a second “trim” ex-posure is required to remove unwanted phase prints.

Downloaded from SPIE Digital Library on 19 Feb 2011 to 159.226.165.151. Terms of Use: http://spiedl.org/terms

Page 92: Field Guide to Optical Lithography - Neo Black Pantherneoblackpanther.com/materials/mack_lithography.pdf · Field Guide to Optical Lithography The material in this Field Guide to

80 Optical Lithography

Phase-Shift Masks: Attenuated

Attenuated phase-shift masks (also called the embed-ded phase-shift masks, or EPSM) have been very widelyadopted for contact hole and via printing, and are becomingfairly mainstream for other critical lithography layers aswell. This type of PSM is generally called a “weak” shifter—it provides only a portion of the full resolution and depth offocus potential of alternating PSM. Its great advantage isthe simplicity and low cost of replacing chrome on glass(COG) masks, the non-phase shift alternative. Essentially,an existing design based on COG can be converted to anEPSM by simply recalibrating the optical proximity cor-rection (OPC) models used to apply OPC to the design.Mask manufacturing, while certainly more difficult thanCOG, is not dramatically different (the chrome is replacedby a more complex absorber such as molybdenum silicon)and only somewhat more costly. In short, the transitionfrom COG to EPSM-based lithography presents no majorhurdles.

Typical EPSM materials have 6% intensity transmittanceand a 180◦ phase shift, though higher transmittance masksare sometimes used. The resulting 0–180◦ phase edgemakes the aerial imageedges steeper and betterdefined. The overall back-ground transmittance of 6%can cause problems whennearby features interact con-structively to produce side-lobes, unwanted regions ofhigh intensity. If the inten-sity in the sidelobe becomes too high, it could print as anunwanted hole in the photoresist.

While EPSM on its own does not provide the resolution anddepth of focus enhancement that can come with AltPSM,the combination of EPSM with off-axis illumination can beoptimized to give very good results.

Downloaded from SPIE Digital Library on 19 Feb 2011 to 159.226.165.151. Terms of Use: http://spiedl.org/terms

Page 93: Field Guide to Optical Lithography - Neo Black Pantherneoblackpanther.com/materials/mack_lithography.pdf · Field Guide to Optical Lithography The material in this Field Guide to

Lithography Control and Optimization 81

Optical Proximity Effects

Proximity effects are the variations in the linewidth of afeature (or the shape for a 2D pattern) as a function of theproximity of other nearby features. The simplest exampleof an optical proximity effect is the difference in printedlinewidth between an isolated line and a line in a densearray of equal lines and spaces, called the iso-dense printbias.

The proximity ef-fect is very fea-ture size depen-dent. For large fea-tures, the diffrac-tion patterns forisolated and denselines are similar,giving very lit-tle differences inthe aerial images.As feature sizeshrinks, the differ-ences grow. Sincethe iso-dense print bias is predominantly an optical effect,the optical parameters of the imaging tool affects the mag-nitude of the bias.

Proximity effectsare more com-pletely character-ized by measuringresist linewidthversus pitch fordifferent maskCDs. More com-plex proximity ef-fects include lineend shorteningand corner round-ing.

Downloaded from SPIE Digital Library on 19 Feb 2011 to 159.226.165.151. Terms of Use: http://spiedl.org/terms

Page 94: Field Guide to Optical Lithography - Neo Black Pantherneoblackpanther.com/materials/mack_lithography.pdf · Field Guide to Optical Lithography The material in this Field Guide to

82 Optical Lithography

Optical Proximity Correction (OPC)

For a given lithography process, the difference between the“as drawn” designed feature sizes and the actual printedwafer dimensions can be characterized and corrected by bi-asing the mask (i.e., changing the actual feature width onthe mask to be different from the desired resist width). Thistype of geometry-dependent mask biasing is commonly re-ferred to as optical proximity correction (OPC), al-though a more descriptive name might be “mask shaping.”

There are three basic styles of OPC. The simplest andmost important is geometry biasing—changing the featuresizes on the mask. To reduce line edge shorting and to im-prove corner fidelity, serifs can be added to the cornersand endcaps (hammerheads) to the line ends. Finally, sub-resolution assist features (SRAFs) can be added to makeisolated features behave more like dense features.

Automated OPC softwarecan take an entire designand, using rules and/orlithography models, ad-just the edge positions ofthe design to achieve abetter match to the de-sired printed shapes.

Downloaded from SPIE Digital Library on 19 Feb 2011 to 159.226.165.151. Terms of Use: http://spiedl.org/terms

Page 95: Field Guide to Optical Lithography - Neo Black Pantherneoblackpanther.com/materials/mack_lithography.pdf · Field Guide to Optical Lithography The material in this Field Guide to

Lithography Control and Optimization 83

Off-Axis Illumination

Off-axis illumination refers to any illumination shapethat significantly reduces or elim-inates the “on-axis” component ofthe illumination, that is, the lightstriking the mask at near nor-mal incidence. By tilting the illu-mination away from normal inci-dence, the diffraction pattern ofthe mask is shifted within the ob-jective lens. Placing those diffrac-tion orders that make it throughthe lens evenly about the cen-ter of the lens leads to improveddepth of focus (DOF). Thus, themain advantage of off-axis illumi-nation is an increase in depth offocus for small pitch patterns.

The distance between diffractionorders in sigma space is λ/(pNA).To center the zero and first or-ders about the center of the lens,the zero order must by shifted byλ/(2pNA) in sigma space. Thus,this becomes the optimum illu-mination tilt to give maximumdepth of focus. Tilting in both pos-itive and negative directions pro-duces an illumination called dipole and adds the desirableeffect of reducing sensitivity to lens aberrations such as im-age placement error. Note that the optimum illuminationtilt is pitch dependent.

If both vertical and horizontal lines are to be imaged to-gether on the same mask, an illumination shape must beused that provides optimum tilts for both geometries. Thesimplest shape that provides this optimum tilt for both hor-izontal and vertical line/space patterns is called quadru-pole illumination. In sigma space, the radial position of

Downloaded from SPIE Digital Library on 19 Feb 2011 to 159.226.165.151. Terms of Use: http://spiedl.org/terms

Page 96: Field Guide to Optical Lithography - Neo Black Pantherneoblackpanther.com/materials/mack_lithography.pdf · Field Guide to Optical Lithography The material in this Field Guide to

84 Optical Lithography

Off-Axis Illumination (cont’d)

the center of each pole with respect to the center of the lensthat gives optimum DOF is

√2λ/(2pNA). Note that this po-

sitioning of the quadrupoles gives the same horizontal andvertical spacing between poles as in the dipole case, butplaces them closer to the edge of the lens aperture.

While the quadrupole shape provides optimum perfor-mance for vertical and horizontal lines, other orientations(such as a line/space array oriented at 45◦) will not be op-timum. For any orientation of lines, the optimum dipole forthat pattern will be spread in a direction perpendicular tothe line orientation, and can be shifted parallel to the linesin any amount that keeps the dipoles within the lens. Ifthe mask will contain arbitrary orientations of lines, manyrotations of the dipoles will produce an annulus of illumi-nation. The optimum center of the annular ring is the sameas the optimum dipole position.

For each illumi-nation shape dis-cussed—dipole, quad-rupole, and annularillumination—thereis one size that maxi-mizes the depth of fo-cus for a given pitch.While pitches close tothis optimum will getmost of the benefit of the off-axis illumination, pitches suf-ficiently far away from the optimum will receive little orno benefit. In fact, the worst-case pitch for any given off-axis configuration would put one of the diffracted ordersdead center in the lens, the situation that off-axis illumi-nation is designed to avoid. This worst-case pitch occurs atexactly twice the pitch for which the illumination was opti-mized. Since this pitch receives none of the focus-enhancingbenefits of the off-axis illumination, it is sometimes calleda “forbidden” pitch, indicating the lithographer’s desirethat this pitch be avoided during circuit design.

Downloaded from SPIE Digital Library on 19 Feb 2011 to 159.226.165.151. Terms of Use: http://spiedl.org/terms

Page 97: Field Guide to Optical Lithography - Neo Black Pantherneoblackpanther.com/materials/mack_lithography.pdf · Field Guide to Optical Lithography The material in this Field Guide to

Lithography Control and Optimization 85

Lithography Simulation

In the 30+ years since optical lithography modeling wasfirst introduced to the semiconductor industry, it has gonefrom a research curiosity to an indispensable tool for re-search, development, and manufacturing. There are fourmajor uses for lithography simulation: 1) as a research tool,performing experiments that would be difficult or impossi-ble to do any other way, 2) as a development tool, quicklyevaluating options, optimizing processes, or saving timeand money by reducing the number of experiments in thefab, 3) as a manufacturing tool, for troubleshooting processproblems and determining optimum process settings, and4) as a learning tool, to help provide a fundamental under-standing of all aspects of the lithography process.

Some of the most common-use cases for lithography simu-lation are:

• Film stack optimization (including BARC)• Process window prediction• NA/σ optimization• Overall process optimization and specification• Troubleshooting/root cause analysis• OPC and OPC verification• RET design and development• NGL evaluation and projection

Today, commercial lithography simulation tools such asPROLITH are ubiquitous throughout the industry.

Downloaded from SPIE Digital Library on 19 Feb 2011 to 159.226.165.151. Terms of Use: http://spiedl.org/terms

Page 98: Field Guide to Optical Lithography - Neo Black Pantherneoblackpanther.com/materials/mack_lithography.pdf · Field Guide to Optical Lithography The material in this Field Guide to

86 Optical Lithography

Moore’s Law

In 1965, Gordon Moore observed that since the first planartransistor was built in 1959, the number of components perchip was doubling every year. Extrapolating this trend for adecade, Moore predicted that chips with 65,000 componentswould be available by 1975.

In 1975 Moore revisited his 1965 prediction and divided theadvances in circuit complexity among its three principlecomponents: increasing chip area, decreasing feature size,and improved device and circuit designs. Minimum featuresizes were decreasing by about 10% per year, chip area wasincreasing by about 20% each year, and design clevernessmade up the rest of the improvement (about 33%).

Moore also extrapolated each individual trend into the fu-ture and predicted an end to design cleverness improve-ments, and thus a change in the slope of his overall trendfrom doubling every year to doubling every two years. How-ever, Moore’s prediction of a slowdown was too pessimistic.The industry followed a “new” Moore’s Law trend of dou-bling of transistor counts per chip every eighteen monthsor so throughout the 1980s and early 1990s.

By the mid-1990s Moore’s Law had become a self-fulfillingprophesy, now enshrined as the International TechnologyRoadmap for Semiconductors (ITRS), which continues to beupdated every two years or so.

Downloaded from SPIE Digital Library on 19 Feb 2011 to 159.226.165.151. Terms of Use: http://spiedl.org/terms

Page 99: Field Guide to Optical Lithography - Neo Black Pantherneoblackpanther.com/materials/mack_lithography.pdf · Field Guide to Optical Lithography The material in this Field Guide to

Lithography Control and Optimization 87

Next-Generation Lithography (NGL)

Since the mid-1970s, nearly all semiconductor manufac-turing has used projection optical lithography due to itsgood resolution and low cost. There have been many litho-graphy approaches with much higher resolution (such aselectron beam direct-write and x-ray proximity lithogra-phies) that are not used for manufacturing due to costrather than technical capability. Immersion lithography at193-nm wavelength is expected to allow printing of pitchesdown to 80 nm or so, but it is not clear if continuedevolutionary improvements of this technology beyond thisregime is practical. As a result, several next-generationlithography (NGL) technologies are being developed.

While direct-write electron-beam lithography is too slowto be practical, projection e-beam might overcome thisthroughput hurdle. Drawbacks include the need for sten-cil masks and Coulomb-Coulomb interactions within thee-beam optics that limits current and thus throughput.

Extreme Ultraviolet (EUV) lithography uses light ata wavelength near 13 nm. At this “soft x-ray” wavelength,multilayer mirrors can be made with about 70% reflectivity(refractive lenses are not possible). Thus, using mirroredimaging tools with numerical apertures up to 0.3, pitchesdown to 40 nm can be printed. Several technical roadblocksremain, however. Because of the low mirror efficiency, muchlight is lost and bright sources are not yet available. At13 nm, making mirrors with sufficient smoothness (as afraction of the wavelength) is very hard, and current sys-tems suffer from high flare levels. Resists with sufficientsensitivity and low line edge roughness have yet to be de-veloped. Finally, defect levels on photomasks are severalorders of magnitude too high to be practical as of yet.

Imprint lithography uses the seemingly low-tech processof embossing to print ultrafine patterns (below 20 nm).While very low cost, it uses 1 × templates that are hardto make and requires direct contact with the wafer, withunknown defect consequences.

Downloaded from SPIE Digital Library on 19 Feb 2011 to 159.226.165.151. Terms of Use: http://spiedl.org/terms

Page 100: Field Guide to Optical Lithography - Neo Black Pantherneoblackpanther.com/materials/mack_lithography.pdf · Field Guide to Optical Lithography The material in this Field Guide to

88 Optical Lithography

Equation Summary

Maxwell’s Equations (in CGS):

�∇ × �H − 1c

D = 4π

c�J �∇ × �E + 1

cB = 0

�∇ • �B = 0 �∇ • �D = 4πρ

Material Equations:

�J = σ �E, �D = ε�E, �B = µ �H

Plane wave traveling along +z:

E(P, t) = A cos(ωt − kz)

Phasor representation of E(P, t):

U(P) = A(P)e−i�(P), E(P, t) = Re{U(P)e−iωt}

Fourier transform of 1D mask pattern:

Isolated space: Tm(fx) = sin(πwfx)

πfx

Dense space: Tm(fx) = 1p

∞∑n=−∞

sin(πwfx)

πfxδ

(fx − n

p

)

Pupil function of ideal lens:

P(fx, fy) =

1,√

f 2x + f 2

y < NA/λ

0,√

f 2x + f 2

y > NA/λ

Electric field image at the wafer:

E(x,y) = F−1{Tm(fx, fy)P(fx, fy)}

Zernike formulae for aberrations:

X Tilt: R cosθ

Y Tilt: R sinθ

Power (focus): 2R2 − 1

Downloaded from SPIE Digital Library on 19 Feb 2011 to 159.226.165.151. Terms of Use: http://spiedl.org/terms

Page 101: Field Guide to Optical Lithography - Neo Black Pantherneoblackpanther.com/materials/mack_lithography.pdf · Field Guide to Optical Lithography The material in this Field Guide to

Equation Summary 89

Equation Summary (cont’d)

3rd-Order Astigmatism: R2 cos2θ

3rd-Order 45◦ Astigmatism: R2 sin 2θ

3rd-Order X Coma: (3R2 − 2)R cosθ

3rd-Order Y Coma: (3R2 − 2)R sinθ

3rd-Order Spherical: 6R4 − 6R2 + 1

3rd-Order Trefoil: R3 cos3θ

45◦ Trefoil: R3 sin 3θ

5th-Order Astigmatism: (4R2 − 3)R2 cos2θ

Pupil phase error due to 3rd-order astigmatism:

phase error = 2πZastigR2 cos2θ

Optical path difference due to defocus:

OPD = δ(1 − cosθ) ≈ 12

δ sin2θ

Pupil phase error due to defocus:

phase error ≈ πδNA2

λR2

Horizontal-vertical bias:

H–V bias ≈ 8aδZastigλ

NA2

Flare measurement:

Flare = E0

E0–island

Magnification and the Lagrange (optical) Invariant:

m = no sinθo

ni sinθi

Downloaded from SPIE Digital Library on 19 Feb 2011 to 159.226.165.151. Terms of Use: http://spiedl.org/terms

Page 102: Field Guide to Optical Lithography - Neo Black Pantherneoblackpanther.com/materials/mack_lithography.pdf · Field Guide to Optical Lithography The material in this Field Guide to

90 Optical Lithography

Equation Summary (cont’d)

Reflection and transmission coefficients (betweenlayers i and j), normal incidence:

ρij = ni − nj

ni + njτij = 2ni

ni + nj

Internal transmittance of a resist:

τD = e−i2πn2D/λ

Fresnel reflection and transmission coefficients:

ρ12⊥ = n1 cos(θi) − n2 cos(θt)

n1 cos(θi) + n2 cos(θt)τ12⊥ = 2n1 cos(θi)

n1 cos(θi) + n2 cos(θt)

ρ12‖ = n1 cos(θt) − n2 cos(θi)

n1 cos(θt) + n2 cos(θi)τ12‖ = 2n1 cos(θi)

n1 cos(θt) + n2 cos(θi)

Lambert law of absorption:

dIdz

= −αI, I(z) = I0e−αz

Beer’s law:αsolution = ac

Dill ABC parameters taken from photoresist trans-mittance curve:

A = 1D

ln[

T(∞)

T(0)

]B = − 1

Dln

[T(∞)

T12

]

C = A + BAT(0){1 − T(0)}T12

dTdE

∣∣∣∣E=0

, T12 = 1 −(

nresist − 1nresist + 1

)2

Diffusion (1D):∂H∂t′

= ∂

∂z

(DH

∂H∂z

), DH(T) = Are−Ea/RT

Mack 4-parameter dissolution rate model:

r = rmax(a + 1)(1 − m)n

a + (1 − m)n + rmin, a = (n + 1)

(n − 1)(1 − mTH)n

Downloaded from SPIE Digital Library on 19 Feb 2011 to 159.226.165.151. Terms of Use: http://spiedl.org/terms

Page 103: Field Guide to Optical Lithography - Neo Black Pantherneoblackpanther.com/materials/mack_lithography.pdf · Field Guide to Optical Lithography The material in this Field Guide to

Equation Summary 91

Equation Summary (cont’d)

NILS and the lithographic imaging equation:

NILS = wd ln(I)

dxm(x,y, z) = e−CEzI(x,y)

∂m∂x

= m ln(m)∂ ln I∂x

∂m∗

∂x≈ ∂m

∂xe−σ2π2/2L2

γ ≡ ∂ ln R∂ ln E

∣∣∣∣max

∂ lnR∂x

= γ(E)∂ ln I∂x

Critical dimension variational equation:

�CDCD

= �v1

v1

∂ ln CD∂ ln v1

+ �v2

v2

∂ ln CD∂ ln v2

+ · · ·

Rayleigh Criteria:

R = k1λ

NADOF = 2δmax = k2

λ

sin2θ

= k2λ

NA2

Immersion–High NA depth of focus Rayleigh crite-rion:

DOF = k2

nfluid(1 − cosθ)

Mask error enhancement factor:

MEEF = ∂CDresist

∂CDmask

Downloaded from SPIE Digital Library on 19 Feb 2011 to 159.226.165.151. Terms of Use: http://spiedl.org/terms

Page 104: Field Guide to Optical Lithography - Neo Black Pantherneoblackpanther.com/materials/mack_lithography.pdf · Field Guide to Optical Lithography The material in this Field Guide to

117

Index

3rd-order astigmatism, 22, 893rd-order spherical, 22, 893rd-order trefoil, 22, 893rd-order x coma, 22, 893rd-order y coma, 22, 8945◦ trefoil, 22, 895th-order astigmatism, 22, 89

ABC parameters, See Dillparameters

aberrations, lens, 20–23, 92absorption coefficient, 41, 42,

44, 92acid-catalyzed resist, 40, 92across-chip linewidth

variation (ACLV), 64actinic wavelength, 92activation energy, 46, 92additive processes, 10adhesion promoter, 3, 92advanced process control

(APC), 92, 93aerial image, 13, 17, 23, 49,

53, 92aligner, See mask aligneralignment, 93alignment key, 93alignment mark, 93alignment target, 93alternating phase-shift

masks, 78, 79, 93annular illumination, 84, 93antireflective coating (ARC),

37, 38, 93aperture stop, 93aperture, numerical, See

numerical apertureArF, 93Arrhenius coefficient, 46, 93Arrhenius equation, 46, 93aspect ratio, 94astigmatism, 22, 24, 94atomic force microscope

(AFM), 67

attenuated phase-shiftmasks, 78, 80, 94

autofocus system, 94

bandwidth, illumination, 23,94

base quencher, 47Beer’s law, 41, 42, 90bias, 81, 94binary mask, 94birefringence, 94bleaching, photoresist, 42, 44,

94Bossung curves, 69, 94bottom antireflection coating

(BARC), 38, 94

CAR, 40, 94catadioptric, 94catalyze, 7, 40, 45catoptric, 94Cauchy coefficients, 95characteristic curve, See

contrast curvechemically amplified resists,

7, 40, 45, 46, 57, 95chromatic aberration, 23, 95chrome on glass, 80circular polarization, 29clearing dose (E0), See dose to

clearcoating, resist spin, 4, 95coherence factor, 19, 95coherence, spatial, 18, 19, 95coherent illumination, 18, 19,

95coma, 22, 95condenser lens, 13, 95contact printing, 6, 95contrast curve, 95contrast enhancement layer

(CEL), 96contrast, image, 95contrast, resist, 59, 95corner rounding, 96

Downloaded from SPIE Digital Library on 19 Feb 2011 to 159.226.165.151. Terms of Use: http://spiedl.org/terms

Page 105: Field Guide to Optical Lithography - Neo Black Pantherneoblackpanther.com/materials/mack_lithography.pdf · Field Guide to Optical Lithography The material in this Field Guide to

118 Optical Lithography

Index (cont’d)

critical dimension (CD),61–63, 94, 96

critical dimension variationalequation, 63, 91

critical shape (CS), 96critical shape difference

(CSD), 96critical shape error (CSE), 96

deblocking, 40, 45deep-UV lithography, 96, 98defocus, 25, 54, 69, 96degree of coherence, 19, 96dehydration bake, 3, 96depth of focus (DOF), 31, 32,

70–73, 83, 97descum etch, 9design rule, 97developer, 8, 51, 97development, 8, 49–51, 59, 97development path, 52development rate, 49–51, 97development rate monitor

(DRM), 97diffraction, 14, 15, 97diffraction orders, 15, 32, 73,

97diffraction-limited, 17, 97diffraction pattern, 15, 97diffusion, 7, 46, 90diffusion coefficient, 46, 98diffusion equation, 46, 90diffusion length, 57, 98diffusivity, See diffusion

coefficientDill parameters, 42–44, 90,

92, 97dioptric, 98dipole illumination, 83, 98direct-write lithography, 87,

98dispersion, 23, 98dissolution inhibitor, 98dissolution promoter, 98dissolution rate, 48, 49, 51,

59, 90, 98

distortion, 98dose, 43, 69, 98dose to clear (E0), 26, 36, 98dose to size, 99DRM, 99dyed resist, 99

e-beam lithography, See elec-tron beam lithography

edge bead, 99edge bead removal (EBR), 99electric field image at the

wafer, 17, 88electromagnetic wave, 11, 27,

29electron beam lithography,

87, 98, 99elliptical polarization, 29embedded PSM (EPSM), 80,

99entrance pupil, lens, 99etching, 9excimer laser, 99exit pupil, lens, 21, 29, 75, 99exposure, 6, 43, 99exposure dose, See exposure

energyexposure energy, 43, 69, 100exposure field, See field,

exposureexposure latitude, 62, 71, 100exposure margin, 100extinction coefficient, 100extreme ultraviolet (EUV)

lithography, 87, 99, 100

fab, 100FE matrix, 69, 71, 101field-by-field alignment, 100field curvature, 100field, exposure, 100first law of photochemistry, 43first-order kinetics, 43, 45flare, 26, 100flare measurement, 26, 89

Downloaded from SPIE Digital Library on 19 Feb 2011 to 159.226.165.151. Terms of Use: http://spiedl.org/terms

Page 106: Field Guide to Optical Lithography - Neo Black Pantherneoblackpanther.com/materials/mack_lithography.pdf · Field Guide to Optical Lithography The material in this Field Guide to

119

Index (cont’d)

flood exposure, 100focal plane, 100focal position, See focusfocus, 69, 101focus-exposure matrix, 69, 71,

101focus-exposure process

window, 69, 71forbidden pitch, 84Fourier optics, 17, 101Fourier transform, 15, 17Fourier transform of 1D mask

pattern, 15, 88Fraunhofer diffraction, 14, 15Fresnel, Joseph, 14Fresnel reflection formulae,

35, 90

g-line, 101GDS II, 101glass transition temperature,

101global alignment, 101

H-D Curve, 101h-line, 101H-V bias, 24, 101hard bake, 9, 102hexamethyldisilizane

(HMDS), 3horizontal-vertical bias, 24,

89, 101Hurter-Driffield curve, See

H-D curveHuygen’s principle, 14, 102

i-line, 102IC, See integrated circuitillumination system, 13, 102illumination, Köhler, 102image contrast, 102image log-slope (ILS), 53, 56,

102image reversal, 102immersion lithography, 31,

32, 37, 87, 91, 102

immersion–high NA depth offocus Rayleigh criterion,32, 91

imprint lithography, 87, 102incoherent illumination, 19,

102index of refraction, 30, 31, 34,

37, 38, 78, 111integrated circuit, 1, 103intensity, 27, 34, 103internal transmittance, 34, 90invariant, optical 30, 31, 89inverse Fourier transform, 17ion beam lithography, 103ion implantation, 10iso-dense print bias, 81, 103isofocal bias, 103isofocal dose, 103isofocal linewidth, 103

Köhler illumination, 103Kirchhoff diffraction, 14KrF, 103

Lagrange invariant, 30Lambert law of absorption,

41, 90latent image, 40, 43, 45, 56,

57, 103latent image gradient, 56, 57Levenson PSM, See

alternating PSMlift-off process, 104lifting, resist, 103line edge roughness (LER),

66, 104line end shortening (LES),

103, 104linescan, 67linewidth, 61, 67, 104linewidth roughness, 66lithographic cluster, 2lithographic imaging

equation, 59

Downloaded from SPIE Digital Library on 19 Feb 2011 to 159.226.165.151. Terms of Use: http://spiedl.org/terms

Page 107: Field Guide to Optical Lithography - Neo Black Pantherneoblackpanther.com/materials/mack_lithography.pdf · Field Guide to Optical Lithography The material in this Field Guide to

120 Optical Lithography

Index (cont’d)

lithography, 1, 104log-slope defocus curve, 55LSI, 104

Mack 4-parameter dissolu-tion rate model, 49, 90

magnification factor, 16mask, 13, 76, 78–80, 104mask aligner, 104mask biasing, 82, 104mask blank, 105mask error enhancement fac-

tor (MEEF), 76, 91, 105mask error factor, See mask

error enhancement factormask linearity, 105maskless lithography, 105Maxwell’s equations, 11, 27,

88MEF, See mask error

enhancement factormercury arc lamp, 105metrology, 67, 68, 105microlithography, 1, 105mix-and-match lithography,

105modeling, 85, 105Moore’s law, 86, 105multilayer resist (MLR), 106

nanolithography, 106negative photoresist, 106next-generation lithography

(NGL), 87, 106non-bleachable absorption

coefficients, 42, 44normality, developer, 51normalized image log-slope

(NILS), 53–60, 66, 91, 106Novolak/DNQ, 39numerical aperture (NA), 16,

17, 55, 106

OAI, 77, 83, 106objective lens, 13, 106

off-axis illumination (OAI),77, 83, 106

OPC, 77, 80, 82, 106optical density, 106optical invariant, 30, 31, 89optical lithography, 1, 2, 106optical path difference (OPD),

25, 75, 89, 107optical path difference due to

defocus, 89optical proximity correction

(OPC), 77, 80, 82, 107optical proximity effect, 81,

107overlay, 65, 68, 107overlay correctables, 68, 107overlay mark, 68, 107overlay mark fidelity, 107

paraxial approximation, 107partial coherence, 19, 107partial coherence factor, 19partially coherent

illumination, 19, 108pattern placement error, 108patterning, 1, 9, 108pellicle, 108phase conflict, 79phase-shift mask (PSM),

77–80, 108, 109phasor, 12, 88photoacid generator (PAG),

45, 107, 108photoactive compound (PAC),

39, 43, 107, 108photolithography, 1, 2, 108photomask, 6, 78, 108photoresist, 1, 2, 108photoresist contrast, 59, 108photoresist dissolution,

49–52, 59piston, 22pitch, 15, 73, 81, 83, 109plane wave, 12, 34, 88point spread function, 73, 108

Downloaded from SPIE Digital Library on 19 Feb 2011 to 159.226.165.151. Terms of Use: http://spiedl.org/terms

Page 108: Field Guide to Optical Lithography - Neo Black Pantherneoblackpanther.com/materials/mack_lithography.pdf · Field Guide to Optical Lithography The material in this Field Guide to

121

Index (cont’d)

polarization, 27, 29, 109positive photoresists, 39, 109post-apply bake (PAB), 5, 48,

107, 109post-exposure bake (PEB), 7,

45, 46, 57, 108, 109post-exposure delay, 47postbake, 9, 109power (focus), 22, 88prebake, 5, 48, 109process control, 63process error, 62process latitude, 62, 109process optimization, 63process window, 70, 109projection e-beam, 87projection printing, 6, 109proximity baking, 5, 109proximity effects, 81, 110proximity printing, 6, 110puddle development, 8pupil filter, 110pupil function, 17, 88, 110pupil phase error due to

defocus, 89pupil, lens, 17, 110

quadrupole illumination, 83,110

quantum efficiency, 110

random polarization, 29raster scan, 110Rayleigh depth of focus

criterion, 32, 75, 91Rayleigh equations, 74, 75,

91, 110Rayleigh resolution criterion,

74, 91reactive ion etching, 10reciprocity, 43reflection coefficient, 34, 35,

90reflective notching, 111reflectivity, 34, 35, 111

refractive index, See index ofrefraction

registration, 111resin, photoresist, 111resist, 1, 2, 111resist contrast (gamma), 51,

59, 111resist linewidth, 61, 111resist reflectivity, 36, 111resist stripping, 9, 114resolution, 31, 73, 74, 77, 111resolution enhancement tech-

nologies (RET), 77, 111reticle, 111

saggital lines, 112scalar wave theory, 112scanners, 6, 112scanning electron microscope

(SEM), 67, 112scattering bars, 82, 112semiconductor device, 112sensitizer, 39, 112sensitizer decomposition, 48serifs, 82, 112sidelobes, 80sidewall angle, 61, 69, 112simulation, 85, 112smiley plot, 69, 100, 112Snell’s law, 30soft x-ray lithography, 112softbake, 5, 48, 112solvent, photoresist, 42, 113spatial coherence, 18spatial frequencies, 74, 113spherical aberration, 22, 89,

113spider plot, 69, 113spin coating, 4, 113spin development, 8spin speed curve, 4spray development, 8standing waves, 7, 33, 34, 38,

113

Downloaded from SPIE Digital Library on 19 Feb 2011 to 159.226.165.151. Terms of Use: http://spiedl.org/terms

Page 109: Field Guide to Optical Lithography - Neo Black Pantherneoblackpanther.com/materials/mack_lithography.pdf · Field Guide to Optical Lithography The material in this Field Guide to

122 Optical Lithography

Index (cont’d)

step-and-repeat camera, 6,113

step-and-scan, 6, 113steppers, 6, 113Strehl ratio, 113sub-resolution assist feature

(SRAF), 82, 114substrate, 114substrate cleaning, 3substrate reflectivity, 114surface induction, 50, 114surface inhibition, 50, 114surfactants, 50, 114swing curve, 36–38, 114swing ratio, 114

T-top, resist profile, 47, 114tangential lines, 115thermal dose, 45tool-induced shift (TIS), 68,

115top antireflection coating, 37,

115top surface imaging, 115transmission coefficient, 34,

90

ULSI, 115unpolarized, 29UV, 115UV cure, 115

vapor prime, 3, 115vector scan, 115vector wave theory, 27, 115VLSI, 116

wafer, 13, 116wafer-induced shift, 68wafer fab, 116wavefront, 12, 14, 20, 116

X tilt, 22, 88x-ray lithography, 116

Y tilt, 22, 88

Zernike coefficients, 21, 22,88, 116

Zernike formulae foraberrations, 22, 88

Zernike polynomial, 21, 22,88, 116

Downloaded from SPIE Digital Library on 19 Feb 2011 to 159.226.165.151. Terms of Use: http://spiedl.org/terms

Page 110: Field Guide to Optical Lithography - Neo Black Pantherneoblackpanther.com/materials/mack_lithography.pdf · Field Guide to Optical Lithography The material in this Field Guide to

Chris A. Mack received Bachelor of Science degrees in physics, chemistry, electrical engineering, and chemical engineering from Rose-Hulman Institute of Technology in 1982, a Master of Science degree in electrical engineering from the University of Maryland in 1989, and a Ph.D. in chemical engineering from the University of Texas at Austin in 1998.

Dr. Mack founded FINLE Technologies, the developer of the lithography simulation software PROLITH, in 1990, serving as President and Chief Technical Officer until the acquisition of FINLE by KLA-Tencor in 2000. For the next five years he served as Vice President of Lithography Technology for KLA-Tencor. In 2003 he received the SEMI Award for North America for his efforts in lithography simulation and education. He is also an adjunct faculty member at the University of Texas at Austin. Currently, he writes, teaches, and consults on the field of semiconductor microlithography in Austin, Texas, where he lives with his wife Susan and their daughter Sarah.

Downloaded from SPIE Digital Library on 19 Feb 2011 to 159.226.165.151. Terms of Use: http://spiedl.org/terms