euv lithography industrialization...

33
Rudy Peeters EUVL Washington DC | Oct 27 th , 2014 EUV Lithography Industrialization Progress

Upload: others

Post on 12-Jul-2020

3 views

Category:

Documents


0 download

TRANSCRIPT

Page 1: EUV Lithography Industrialization Progresseuvlsymposium.lbl.gov/pdf/2014/47bd83028a6d41029a0a70c1e634e587.pdf27 Oct 2014 Public Slide 31 • Defect reduction ~10x per year shown and

Rudy Peeters EUVL Washington DC | Oct 27th, 2014

EUV Lithography Industrialization Progress

Page 2: EUV Lithography Industrialization Progresseuvlsymposium.lbl.gov/pdf/2014/47bd83028a6d41029a0a70c1e634e587.pdf27 Oct 2014 Public Slide 31 • Defect reduction ~10x per year shown and

• EUV benefit and status at customers

• Towards higher productivity

• Imaging, Overlay, Defectivity

• Summary

27 Oct 2014

Public

Slide 2

Agenda

Page 3: EUV Lithography Industrialization Progresseuvlsymposium.lbl.gov/pdf/2014/47bd83028a6d41029a0a70c1e634e587.pdf27 Oct 2014 Public Slide 31 • Defect reduction ~10x per year shown and

• EUV benefit and status at customers

• Towards higher productivity

• Imaging, Overlay, Defectivity

• Summary

Agenda

27 Oct 2014

Public

Slide 3

Page 4: EUV Lithography Industrialization Progresseuvlsymposium.lbl.gov/pdf/2014/47bd83028a6d41029a0a70c1e634e587.pdf27 Oct 2014 Public Slide 31 • Defect reduction ~10x per year shown and

27 Oct 2014

Slide 4

Public

Multi-patterning complexity drives need for EUV

20 n

m (

Imm

ers

ion)

8xMasks

10 n

m (

Imm

ers

ion)

23xMasks

7 n

m (

Imm

ers

ion)

33xMasks

7nm

(E

UV

)

9xMasks

Node

# of litho steps

# OVL Metrology

# max metrology /

litho steps

20 nm

8 (Immersion)

9-11

2

10 nm

23 (Immersion)

36-40

3

7 nm

33 (Immersion)

59-65

4

7 nm

12

3

Layers

9 (EUV)

EUV Lithography will stop the strong increase of litho steps/masks needed

Page 5: EUV Lithography Industrialization Progresseuvlsymposium.lbl.gov/pdf/2014/47bd83028a6d41029a0a70c1e634e587.pdf27 Oct 2014 Public Slide 31 • Defect reduction ~10x per year shown and

EUV status:

Demonstrated >500 wafers per day at customer sites Slide 5 Public

27 Oct 2014

• More than 500 wafers per day demonstrated

during endurance tests at 2 customer sites

• 7 NXE:3300B systems shipped to customers

• 4 more NXE:3300B systems being integrated

• 4th generation NXE system (NXE:3350B)

integration ongoing

• EUV cleanroom extension is under construction

Page 6: EUV Lithography Industrialization Progresseuvlsymposium.lbl.gov/pdf/2014/47bd83028a6d41029a0a70c1e634e587.pdf27 Oct 2014 Public Slide 31 • Defect reduction ~10x per year shown and

Slide 6

Public

• EUV benefit and status at customers

• Towards higher productivity

• Imaging, Overlay, Defectivity

• Summary

Agenda 27 Oct 2014

Page 7: EUV Lithography Industrialization Progresseuvlsymposium.lbl.gov/pdf/2014/47bd83028a6d41029a0a70c1e634e587.pdf27 Oct 2014 Public Slide 31 • Defect reduction ~10x per year shown and

Total produced wafers on NXE:3300B at customer sites Reaching output in 8 months that took NXE:3100 more than 2 years

NX

E:3

10

0

NX

E:3

30

0B

Week since start

27 Oct 2014

Public

Slide 7

0

2000

4000

6000

8000

10000

12000

14000

16000

18000

200001 4 7

10

13

16

19

22

25

28

31

34

37

40

43

46

49

52

55

58

61

64

67

70

73

76

79

82

85

88

91

94

97

10

0

10

3

10

6

10

9

NX

E:3

10

0

NX

E:3

300B

To

tal n

um

ber

of

pro

du

ced

wafe

rs

Page 8: EUV Lithography Industrialization Progresseuvlsymposium.lbl.gov/pdf/2014/47bd83028a6d41029a0a70c1e634e587.pdf27 Oct 2014 Public Slide 31 • Defect reduction ~10x per year shown and

Wafers per day program

27 Oct 2014

Public

Slide 8

Conversion

efficiency

Dose

margin

Drive laser

power

Optical

transmission

Automation

Collector

lifetime

Droplet

generator

reliability

Drive laser

reliability

Overhead

optimization

Exposure dose

> 500 Wafers

per day

Stage accuracy at

high speed

Laser to

droplet

control

Page 9: EUV Lithography Industrialization Progresseuvlsymposium.lbl.gov/pdf/2014/47bd83028a6d41029a0a70c1e634e587.pdf27 Oct 2014 Public Slide 31 • Defect reduction ~10x per year shown and

>40W rolled-out on customer NXE:3300B systems

NXE:3300B customer systems

27 Oct 2014

Public

Slide 9

Under clean collector conditions

0

10

20

30

40

50

60

70

80

Customer Customer Customer Customer

EUV

po

we

r (W

atts

)

Page 10: EUV Lithography Industrialization Progresseuvlsymposium.lbl.gov/pdf/2014/47bd83028a6d41029a0a70c1e634e587.pdf27 Oct 2014 Public Slide 31 • Defect reduction ~10x per year shown and

Courtesy of

System continuously used Stable power over 2 months period of time So

urc

e p

ow

er [

Wat

t]

Acc

um

ula

ted

Gp

uls

e co

un

t

Slide 10

Public

27 Oct 2014

Power of >40W

since start use system System pulse count

Customer system continuous use at power level of >40W

Page 11: EUV Lithography Industrialization Progresseuvlsymposium.lbl.gov/pdf/2014/47bd83028a6d41029a0a70c1e634e587.pdf27 Oct 2014 Public Slide 31 • Defect reduction ~10x per year shown and

Courtesy of

>40W over 2 months period of time C

olle

cto

r re

flec

tivi

ty [

%]

Slide 11

Public

27 Oct 2014

0

10

20

30

40

50

60

70

80

90

100

No collector degradation seen in 2 months period of time during

continuous use of the system at >40 Watts

Stable collector reflectivity

Stable power over 2 months period of time

Sou

rce

po

wer

[W

att]

Power of >40W

since start use system

Page 12: EUV Lithography Industrialization Progresseuvlsymposium.lbl.gov/pdf/2014/47bd83028a6d41029a0a70c1e634e587.pdf27 Oct 2014 Public Slide 31 • Defect reduction ~10x per year shown and

Stable ~80W EUV source power at ASML Multiple runs on NXE:3300B stand-alone sources

Meets dose requirement Conversion efficiency >3%

See presentation David Brandt

Slide 12

Public

27 Oct 2014

Page 13: EUV Lithography Industrialization Progresseuvlsymposium.lbl.gov/pdf/2014/47bd83028a6d41029a0a70c1e634e587.pdf27 Oct 2014 Public Slide 31 • Defect reduction ~10x per year shown and

0

10

20

30

40

50

0

20

40

60

80

0 3 6 9 12 15 18 21 24

Do

se m

argi

n (

%)

Po

we

r (W

)

Time (hours)

Continuous stable source operation at 80 W excellent die yield, ~25% dose margin

Slide 13

Public

27 Oct 2014

24 hours continuous operation at 80W of EUV power with excellent

simulated Die Yield

Die Yield = Simulated % of dies that meet the 1% dose requirement

Page 14: EUV Lithography Industrialization Progresseuvlsymposium.lbl.gov/pdf/2014/47bd83028a6d41029a0a70c1e634e587.pdf27 Oct 2014 Public Slide 31 • Defect reduction ~10x per year shown and

Wafers per day program: Progress in major areas

27 Oct 2014

Public

Slide 14

Conversion

efficiency

Dose

margin

Drive laser

power

Optical

transmission

Automation

Collector

lifetime

Droplet

generator

reliability

Drive laser

reliability

Overhead

optimization

Exposure

dose

> 500 Wafers

per day

Stage accuracy

at high speed

Laser to

droplet

control

Improvement of Conversion

Efficiency demonstrated

Reduced dose margin with

advanced controls Improvement in collector

lifetime

Doubled source power to ~80

Watts demonstrated

Improved automation

algorithms

Faster resist formulations

demonstrated

See presentation David Brandt

Improved optical design

Page 15: EUV Lithography Industrialization Progresseuvlsymposium.lbl.gov/pdf/2014/47bd83028a6d41029a0a70c1e634e587.pdf27 Oct 2014 Public Slide 31 • Defect reduction ~10x per year shown and

• EUV benefit and status at customers

• Towards higher productivity

• Imaging, Overlay, Defectivity

• Summary

27 Oct 2014

Public

Slide 15

Agenda

Page 16: EUV Lithography Industrialization Progresseuvlsymposium.lbl.gov/pdf/2014/47bd83028a6d41029a0a70c1e634e587.pdf27 Oct 2014 Public Slide 31 • Defect reduction ~10x per year shown and

4 nm99.7%Fx: 1.1 nmy: 1.0 nm

(S2F)

Ed

ge

(>

0.1

47

m)

an

d O

utlie

rs (

6sig

S2

F)

rem

ove

d

# of wafers D

CO

[nm

]

Slide 16 Public

27 Oct 2014

Full wafer dedicated chuck overlay of <1.1 nm at

40W source power

• Layers are exposed on the same wafer on the same chuck. • Dedicated chuck overlay is a measure of the overlay performance of the system.

Page 17: EUV Lithography Industrialization Progresseuvlsymposium.lbl.gov/pdf/2014/47bd83028a6d41029a0a70c1e634e587.pdf27 Oct 2014 Public Slide 31 • Defect reduction ~10x per year shown and

Overlay matching NXE:3300B to Baseliner MMO wafers Full-wafer matched machine overlay <4 nm at 40W, stable over 2 weeks

Wafer – Baseliner controlled

10 nm

99.7%x: 3.3 nmy: 3.0 nm

Customer B: 6par/field; field fingerprint and flyers removed

Lot (3.3, 3.0)

MM

O [n

m]

10 nm

99.7%x: 3.2 nmy: 3.4 nm

6 wafer BMMO S2(F-WEC), 10par/chuck res, W2W outliers removed

Lot (3.2, 3.4)

MM

O [n

m]

1 2 3 4 5 6 0

2.5

5

7.5

10

Aug 4 Aug 8 Aug 17 0

2

4

6

8

27 Oct 2014

Public

Slide 17

Customer A

Wafer – one day after setup

Page 18: EUV Lithography Industrialization Progresseuvlsymposium.lbl.gov/pdf/2014/47bd83028a6d41029a0a70c1e634e587.pdf27 Oct 2014 Public Slide 31 • Defect reduction ~10x per year shown and

NXE:3300B full wafer Focus Uniformity at >40 W Well below 25 nm performance target

0

5

10

15

20

25

1 2 3 4

Chuck 1 Chuck 2

Fo

cu

s U

nifo

rmity [n

m]

System A B C D

27 Oct 2014

Public

Slide 18

• The focus uniformity performance test is done in resist • Focus sensitive marks are being exposed to obtain full wafer coverage information

Page 19: EUV Lithography Industrialization Progresseuvlsymposium.lbl.gov/pdf/2014/47bd83028a6d41029a0a70c1e634e587.pdf27 Oct 2014 Public Slide 31 • Defect reduction ~10x per year shown and

Multiple NXE:3300B systems show CDU below 1.5 nm dense and iso lines exposed at 16mJ/cm2 – conventional ill.

22 nm DLs: Full wafer CDU <1.2 nm

22 nm ILs: Full wafer CDU < 1.5 nm

System A System B System C System D System E

CD measurements performed with YieldStar Data corrected for reticle errors and mask shadowing effect

27 Oct 2014

Public

Slide 19

Page 20: EUV Lithography Industrialization Progresseuvlsymposium.lbl.gov/pdf/2014/47bd83028a6d41029a0a70c1e634e587.pdf27 Oct 2014 Public Slide 31 • Defect reduction ~10x per year shown and

Good Focus and Dose performance stability measurements done over 2 months period at 40W source power

27 Oct 2014

Public

Slide 20

Free running state in preparation for implementation of baseliner control

0

0.25

0.5

0.75

1

1.25

1.5

1.75

2

2.25

2.5

1 2 3 4 1 2 3 4 1 2 3 4 1 2 3 4 5 6 7 8 1 2 3 4 5 6 7 8 1 2 3 4

8/20/2014 8/22/2014 8/29/2014 9/7/2014 9/13/2014 9/16/2014

Target

Best Focus stability of 5 nm Max Dose Error < 1% for 99.96%

of exposed fields (2239 of 2240 fields)

Ma

x D

ose

pe

r fie

ld E

rro

r (%

)

25

20

15

10

5

0

-5

-10

-15

-20

-25

7/28/14 8/4/14 8/11/14 8/18/14 8/25/14 9/1/14 9/8/14 9/15/14

Be

st F

ocu

s [n

m]

◆ Z_ch1

■ Z_ch2

Courtesy of Courtesy of

Page 21: EUV Lithography Industrialization Progresseuvlsymposium.lbl.gov/pdf/2014/47bd83028a6d41029a0a70c1e634e587.pdf27 Oct 2014 Public Slide 31 • Defect reduction ~10x per year shown and

27 Oct 2014

Public

Slide 21

NXE:3300B CD monitoring for 18 nm HP Horizontal lines

at 40W shows stable performance over time

19.5

CD

[n

m]

19.0

18.5

18.0

17.5

17.0

16.5

16.0

15.5

8/20

2.20

Fu

ll Wa

fer C

DU

[nm

]

2.00

1.80

1.60

1.40

1.20

1.00

0.80

0.60 1 1 1 2 3 4

8/22 8/29/14

1 2 3 4 5 6 7 8

9/7/14

1 2 3 4 5 6

9/13/14

1 2 3 4

9/16/14

Wafer - Date

Courtesy of

Page 22: EUV Lithography Industrialization Progresseuvlsymposium.lbl.gov/pdf/2014/47bd83028a6d41029a0a70c1e634e587.pdf27 Oct 2014 Public Slide 31 • Defect reduction ~10x per year shown and

Achieved >50% exposure dose reduction while keeping

same performance for tip-to-tip and tip-to-space

Tip-to-tip

~30 nm

~59 mJ/cm2 ~24 mJ/cm2

2013 2014 10

15

20

25

30

35

40

45

50

55

60

0 10 20 30 40 50 60

T2

T g

ap

CD

[n

m]

Dose [mJ/cm2]

Tip-to-space

22 nm dense L/S with 20 nm gap

~20 nm

See presentation Eelco van Setten for EUV imaging

~50 mJ/cm2 ~18 mJ/cm2

2013 2014 10

15

20

25

30

35

40

45

50

55

60

0 10 20 30 40 50 60

T2

T g

ap

CD

[n

m]

Dose [mJ/cm2] Conv - Resist C

Quasar - Resist C

Conv - Resist A

Quasar - Resist A

27 Oct 2014

Public

Slide 22

22 nm dense L/S with 20 nm gap

Page 23: EUV Lithography Industrialization Progresseuvlsymposium.lbl.gov/pdf/2014/47bd83028a6d41029a0a70c1e634e587.pdf27 Oct 2014 Public Slide 31 • Defect reduction ~10x per year shown and

NXE:3300B 10 nm logic imaging at large process window Validation of Tachyon NXE mask 3D model accuracy

-60nm

0nm

60nm

Focus Predicted contours (blue)

match well SEM contours

NXE:3300B, 10 nm logic metal 1 layer example, 45 nm minimum pitch,

Quasar 45, ~20mJ/cm2 Source: ST, 2014

27 Oct 2014

Public

Slide 23

Page 24: EUV Lithography Industrialization Progresseuvlsymposium.lbl.gov/pdf/2014/47bd83028a6d41029a0a70c1e634e587.pdf27 Oct 2014 Public Slide 31 • Defect reduction ~10x per year shown and

18 nm Dense Lines process window improvement

with FlexPupil optimization

0

2

4

6

8

10

12

14

16

18

20

22

0 0.02 0.04 0.06 0.08 0.1 0.12 0.14

EL

[%

]

Depth of Focus [um]

SEC's 18nm DL - Unconstrained (ellipse) PW

Quasar A

Quasar B

LWR = 3.99nm

LWR = 2.95nm

27 Oct 2014

Public

Slide 24

Customer C

Page 25: EUV Lithography Industrialization Progresseuvlsymposium.lbl.gov/pdf/2014/47bd83028a6d41029a0a70c1e634e587.pdf27 Oct 2014 Public Slide 31 • Defect reduction ~10x per year shown and

Source Mask Optimization and FlexPupil maximizes process

window and minimizes edge placement error (EPE) 27 Oct 2014

Public

Slide 25

• Pattern shift (impacting overlay) due to EUV non-telecentricity and 3D mask topography is reduced by optimizing for EPE

• Source mask optimization (SMO) optimizes FlexPupil shape to maximize process window and minimize EPE

¹Source: Patterns published by Yan Borodovsky of Intel (2012 International Workshop on EUV Lithography Maui, HI)

Page 26: EUV Lithography Industrialization Progresseuvlsymposium.lbl.gov/pdf/2014/47bd83028a6d41029a0a70c1e634e587.pdf27 Oct 2014 Public Slide 31 • Defect reduction ~10x per year shown and

27 Oct 2014

Slide 26

Public

Front-side reticle defectivity: 10x reduction/year realized Clean scanner remains priority

Ad

de

d p

art

icle

s >

92 n

m

per

reticle

pass

Customer requirement

for full production

without pellicle

2010 2011 2012 2013 2014 2015

0.0001

0.001

0.01

0.1

1

10

100

■ NXE:3100

■ NXE:3300B

Overall PRP <0.004 for system based on >1300 test cycles

Page 27: EUV Lithography Industrialization Progresseuvlsymposium.lbl.gov/pdf/2014/47bd83028a6d41029a0a70c1e634e587.pdf27 Oct 2014 Public Slide 31 • Defect reduction ~10x per year shown and

Scalability of free-standing pSi films demonstrated and

prototype pSi pellicles successfully tested in EUV tools

11mm x 11mm (~80% EUV transmission)

50mm x 50mm (~82% EUV transmission)

64mm x 106mm ~(86% EUV transmission)

103mm x 122mm (~85% EUV transmission)

Half size prototype

Full size prototype

Open frames used for testing prototype pSi pellicles in EUV tools

27 Oct 2014

Public

Slide 27

All reticles and frames courtesy of Intel

Page 28: EUV Lithography Industrialization Progresseuvlsymposium.lbl.gov/pdf/2014/47bd83028a6d41029a0a70c1e634e587.pdf27 Oct 2014 Public Slide 31 • Defect reduction ~10x per year shown and

Imaging testing of ½ size pSi pellicle collaborative effort of

ASML and customers 27 Oct 2014

Slide 28

Public

Wilton

Taiwan

½ size pSi film Frame mounted

on reticle

pSi film +

frame

mounted on

reticle

Frame mounted

on reticle

Page 29: EUV Lithography Industrialization Progresseuvlsymposium.lbl.gov/pdf/2014/47bd83028a6d41029a0a70c1e634e587.pdf27 Oct 2014 Public Slide 31 • Defect reduction ~10x per year shown and

27 Oct 2014

Slide 29

Public

27 nm L/S features successfully exposed with

half-size proto pellicle

SEM image at BE/BF

w/o pellicle

SEM image at BE/BF

w/ pellicle

0.2 µm 0.2 µm

Joint effort of NXE end users and ASML accomplished

imaging results of half size pellicle

CD = 24.2 nm

LWR = 4.0 nm

CD = 24.0 nm

LWR = 3.7 nm

• NXE:3100, NA=0.25, Conventional Illumination

• CDU difference of 0.18 nm

• Pellicle EUV transmission confirmed in imaging data: 85.5% (single pass)

Reticles and frames courtesy of Intel Scanner exposures courtesy of tsmc

Page 30: EUV Lithography Industrialization Progresseuvlsymposium.lbl.gov/pdf/2014/47bd83028a6d41029a0a70c1e634e587.pdf27 Oct 2014 Public Slide 31 • Defect reduction ~10x per year shown and

• EUV benefit and status at customers

• Towards higher productivity

• Imaging, Overlay, Defectivity

• Summary

27 Oct 2014

Public

Slide 30

Agenda

Page 31: EUV Lithography Industrialization Progresseuvlsymposium.lbl.gov/pdf/2014/47bd83028a6d41029a0a70c1e634e587.pdf27 Oct 2014 Public Slide 31 • Defect reduction ~10x per year shown and

• >40W stable performance at customers, 80W performance

shown at ASML and being transferred

to customers

Summary: EUV towards production insertion

• Two customers demonstrated 500 wafer

per day capability in endurance tests.

• System performance well within target at

>40W source power

• NXE:3300B imaging and overlay performance is in line with

requirements for 10nm node insertion

• EUV source: Improvements demonstrated in conversion

efficiency, dose margin, automation

and collector lifetime, driving power and availability.

27 Oct 2014

Public

Slide 31

• Defect reduction ~10x per year shown and full-size EUV

pellicle prototype manufactured

Page 32: EUV Lithography Industrialization Progresseuvlsymposium.lbl.gov/pdf/2014/47bd83028a6d41029a0a70c1e634e587.pdf27 Oct 2014 Public Slide 31 • Defect reduction ~10x per year shown and

Acknowledgements

The work presented today, is the result of hard work and

dedication of teams at ASML, Cymer, Zeiss, and many

technology partners worldwide including our customers

Special thanks to our partners and customers for allowing

us to use some of their data in this presentation

27 Oct 2014

Public

Slide 32

Page 33: EUV Lithography Industrialization Progresseuvlsymposium.lbl.gov/pdf/2014/47bd83028a6d41029a0a70c1e634e587.pdf27 Oct 2014 Public Slide 31 • Defect reduction ~10x per year shown and