elettronica di front-end per il rivelatore di ... - mi.infn.it · realizzazione pratica dei...

167
Università degli studi di Milano Facoltà di scienze Matematiche, Fisiche e Naturali Corso di Laurea in Fisica Elettronica di Front-End per il Rivelatore di Fluorescenza dell’esperimento AUGER Relatore: Prof. Daniel V. Camin Correlatore: Dott. Giuseppe Battistoni Tesi di Laurea di Mauro Destro Matr. N° 369394 Codice P.A.C.S. 85.30 Anno Accademico 1998-1999

Upload: duongdien

Post on 17-Feb-2019

214 views

Category:

Documents


0 download

TRANSCRIPT

Università degli studi di Milano

Facoltà di scienze Matematiche, Fisiche e Naturali Corso di Laurea in Fisica

Elettronica di Front-End per il Rivelatore di Fluorescenza

dell’esperimento AUGER

Relatore: Prof. Daniel V. Camin

Correlatore: Dott. Giuseppe Battistoni

Tesi di Laurea di Mauro Destro Matr. N° 369394

Codice P.A.C.S. 85.30

Anno Accademico 1998-1999

Mi sembra giusto continuare a credere che la conoscenza scientifica sia una delle glorie dell’uomo. Non voglio sostenere che la conoscenza non sia mai nociva. Queste affermazioni generiche possono quasi sempre essere confutate con esempi appositamente scelti. Ciò che sostengo, e sostengo fermamente, è che la conoscenza è molto più spesso utile che nociva e che il timore della conoscenza è molto più spesso nocivo che utile.

Bertrand Russell

Mentre nessun esperimento positivo può darmi definitivamente ragione, un solo esperimento negativo può sempre darmi torto.

Albert Einstein

Ai miei familiari

Indice

2

Introduzione all’esperimento Auger.......................................................................................5

La fisica dei raggi cosmici d’altissima energia.......................................................................7 1-1 Cenni storici ......................................................................................................................7 1-2 Sistemi di accelerazione....................................................................................................8 1-3 Misura dell’energia di un raggio cosmico ......................................................................13 1-4 Gli esperimenti in corso ..................................................................................................14

L’esperimento Auger..............................................................................................................17 2-1 Caratteristiche generali ...................................................................................................17 2-2 L’osservatorio Ibrido ......................................................................................................19 2-3 Rivelatori di superficie....................................................................................................21 2-4 I rivelatori di fluorescenza ..............................................................................................22 2-5 Struttura dello sciame atmosferico..................................................................................25 2-6 Profilo longitudinale di uno sciame ................................................................................26 2-7 L’effetto Čerenkov..........................................................................................................27 2-8 Calcolo della direzione di provenienza di un raggio cosmico ........................................28 2-9 Forma del segnale rivelato, in uscita dai tubi fotomoltiplicatori ....................................30 2-10 Estensione Dinamica del segnale di fluorescenza ........................................................35 2-11 Rumore nel rivelatore di fluorescenza ..........................................................................35

Principio di funzionamento di un tubo fotomoltiplicatore .................................................37 3-1 Costruzione di un tubo fotomoltiplicatore ......................................................................37 3-2 Guadagno G di un tubo fotomoltiplicatore .....................................................................40 3-3 Linearità di un tubo fotomoltiplicatore ...........................................................................41 3-4 Vita media di un tubo fotomoltiplicatore........................................................................46 3-5 Risposta del tubo fotomoltiplicatore al singolo fotoelettrone.........................................47 3-6 Sorgenti di rumore ..........................................................................................................49

Polarizzazione del PMT .........................................................................................................53 4-1 Finalità ............................................................................................................................53 4-2 Sistema di test elettro-ottico............................................................................................54 4-3 Il LED Driver ..................................................................................................................57 4-4 L’ambiente di programmazione LabVIEW 5.0 ..............................................................58 4-5 Sistema di test semi–automatico, controllato da LabVIEW ...........................................59 4-6 Simulazioni del PMT con Pspice....................................................................................60 4-7 Studio della rete di polarizzazione del PMT...................................................................63 4-8 La base di polarizzazione Attiva.....................................................................................65 4-9 Misure di linearità di guadagno per l’XP2012, con basi di polarizzazione Passiva e Attiva.....................................................................................................................................67 4-10 Misure di linearità di guadagno per l’XP3062, con rete di polarizzazione Passiva e Attiva di tipo progressivo......................................................................................................70 4-11 Misure di rumore...........................................................................................................73

Monitoraggio della corrente di anodo in un tubo fotomoltiplicatore con catodo a massa..................................................................................................................................................75

5-1 Introduzione ....................................................................................................................75 5-2 Teoria del Current Monitor.............................................................................................77 5-3 Simulazione con PSpice dello specchio di corrente .......................................................80

3

5-4 Sistema semi-automatico di acquisizione dati, controllato da LabVIEW ......................85 5-5 Verifica sperimentale del sistema di monitoraggio della corrente di anodo...................87 5-6 Test del Current Monitor alle condizioni di funzionamento dell’ FD del Progetto Auger...............................................................................................................................................94 5-7 Scelta del migliore fotoaccoppiatore da utilizzare nell’OCM ........................................97 5-8 Caratterizzazione del Current Monitor per la misura di basse correnti ..........................99

Trasmissione del segnale rivelato........................................................................................101 6-1 Finalità ..........................................................................................................................101 6-2 Trasmissione del segnale rivelato dal PMT ..................................................................102 6-3 Equalizzazione del guadagno del tubo fotomoltiplicatore............................................106 6-4 Segnale di test ...............................................................................................................107 6-5 Trasmissione contemporanea dell’impulso rivelato dal PMT e della corrente media d’anodo................................................................................................................................108 6-6 Definizione dell’elettronica di Front-End.....................................................................109

Realizzazione pratica dei prototipi di PCB, relativi al Current Monitor e all’Active/Passive Bias Network ..........................................................................................115

7-1 Finalita teoriche pratiche...............................................................................................115 7-2 Studio preliminare.........................................................................................................115 7-3 Realizzazione del PCB relativo all’Active/Passive Bias Network ...............................119 7-4 Realizzazione del PCB relativo al Current Monitor .....................................................125

Risultati dei test di accettazione ..........................................................................................131 8-1 Introduzione ai test........................................................................................................131 8-2 Sitema di collaudo semi automatico .............................................................................132 8-3 Test di accettazione.......................................................................................................133 8-4 Specifiche ricavate dai dati di collaudo ........................................................................156 8-5 Conclusioni ...................................................................................................................157

4

5

Introduzione all’esperimento Auger La costruzione dell’Osservatorio di raggi cosmici Pierre Auger è un progetto che ha come obiettivo quello di dare una risposta ad uno dei più affascinanti quesiti della fisica delle astroparticelle: quale sia l’origine dei raggi cosmici di energia ultra elevata. Questa problematica sfugge alla comunità scientifica da almeno trentacinque anni, quando per la prima volta è stato osservato un evento di energia superiore a 1020 eV. Il progetto Auger mobilita una collaborazione internazionale coadiuvata da scienziati e ingegneri, provenienti

da 18 paesi tra cui l’Italia con 5 gruppi di ricerca: Torino, Pavia, Milano, Roma e Catania. L’obiettivo primario della collaborazione è di aumentare sostanzialmente la statistica dei raggi cosmici di energia superiore a 1019 eV. In questo modo ci sarà una quantità di dati che dovrebbero permettere la determinazione del loro spettro di energia, la composizione, la direzione di provenienza nonché i meccanismi alla base della loro propagazione e accelerazione. Il progetto porta il nome di Pierre Auger, in omaggio al fisico francese che ha dato contributi di grande rilevanza alla fisica nucleare e alla fisica dei raggi cosmici. Pierre Auger ha anche contribuito allo sviluppo di grandi istituzioni internazionali quali il CERN o l’UNESCO. L’esperimento Auger prevede l’osservazione degli sciami di particelle generati dall’interazione delle particelle primarie in arrivo nell’atmosfera; a tal scopo verranno costruiti due osservatori quasi identici (Pierre Auger Observatory, PAO), il primo dei quali sarà realizzato nell’emisfero Sud (Mendoza/Argentina), seguito successivamente da quello

nell’emisfero Nord (Utah/USA). I rivelatori consistono entrambi in una matrice di rivelatori di superficie (Čerenkov ad acqua) sensibili al passaggio delle particelle dello sciame al livello del suolo, e da un certo numero di rivelatori della luce di fluorescenza dell’azoto, prodotta dal passaggio delle stesse particelle attraverso l’atmosfera. Entro la fine di quest’anno si prevede l’installazione dei primi prototipi dei rivelatori di superficie e del primo prototipo di un modulo del rivelatore di fluorescenza. L’intero sistema dovrebbe entrare in funzione nel 2003 e per raccogliere una quantità di dati statisticamente significativa, rimarrà in attività per circa vent’anni. Nonostante ciò, dopo i primi due anni di misura, il numero di eventi raccolti sarà superiore a quelli accumulati negli ultimi trentacinque anni. Il ruolo italiano all’interno della collaborazione è concentrato nella progettazione e costruzione del rivelatore di fluorescenza in collaborazione con il gruppo di Karlsruhe ed altri gruppi della collaborazione internazionale. Il rivelatore di fluorescenza ha per scopo la determinazione dello sviluppo longitudinale dello sciame mediante la misura della luce di fluorescenza atmosferica. Questo rivelatore consiste in uno specchio a larga apertura che concentra la luce in una matrice di tubi fotomoltiplicatori posizionati nel piano focale, sul quale verrà registrata la traccia dell’evento generato dall’interazione in atmosfera di un raggio cosmico ad altissima energia. L’utilizzo di due tecniche indipendenti (Fluorescenza e Čerenkov in acqua), permetterà di realizzare una calibrazione incrociata dei sistemi di rivelazione riducendo gli errori che, dovuto alla inaccuratezza dei modelli di interazione adronica ad altissima energia, possono diventare altrimenti significativi.

6

Il lavoro svolto nell’ambito di questa tesi sperimentale è suddiviso in quattro parti. • Nella prima parte, ho studiato e comparato diversi sistemi elettronici di polarizzazione del

tubo fotomoltiplicatore, per poter scegliere per il rivelatore la configurazione più adatta in termini di linearità, dinamica e dissipazione di potenza.

• Nella seconda parte, ho studiato la possibilità di misurare direttamente la corrente media

circolante nell’anodo del tubo fotomoltiplicatore, nonostante questo si trovi ad alta tensione, portando alla realizzazione di un sistema di misura otticamente isolato di nuova concezione.

• Nella terza parte mi sono concentrato sul preamplificatore del segnale, al quale sono

richieste delle caratteristiche molto spinte in termini di rumore elettronico, reiezione al rumore di modo comune, dinamica, trasmissione differenziale del segnale e potenza dissipata.

• Nell’ultima parte ho integrato le funzioni elencate sopra, in un unico insieme di elettronica

per il PMT (Head Electronics, HE), che consiste in due PCB di dimensioni compatibili con lo spazio disponibile nella camera.

7

Capitolo 1

La fisica dei raggi cosmici d’altissima energia

1-1 Cenni storici Nel 1911 Victor Hess, durante una serie di esperimenti pionieristici realizzati in mongolfiera sino a 10 km d’altezza, scoprì che il nostro pianeta è immerso in un mare di radiazioni ionizzanti di origine cosmica, le stesse radiazioni che in esperimenti precedenti erano state rivelate al livello del mare e sopra la torre Eiffel a Parigi. Nel 1929, con l’invenzione della camera a nebbia, si poterono osservare le tracce lasciate da un raggio cosmico, mentre l’interpretazione sulla sua natura, fu data da Robert Millikam nel 1932, ipotizzando che si trattasse di raggi gamma provenienti dallo spazio (di qui il nome di “raggi cosmici”). Grazie alla osservazione di queste tracce, negli anni successivi fu possibile scoprire l’esistenza del positrone (1933) e del muone (1937), dando un notevole impulso allo sviluppo della fisica delle particelle elementari. Più tardi, nel 1938, Pierre Auger osservò sciami di particelle secondarie sulla superficie terrestre, prodotte dall’interazione di singole particelle primarie, ad elevata energia, con gli strati dell’alta atmosfera [1]. In base alle dimensioni degli sciami rivelati a terra, Auger concluse che lo spettro di energia posseduto dalle particelle primarie si estendeva sino a 1015 eV e forse anche oltre. Nel 1963, John Lisley [2] usando una matrice di contatori a scintillazione disposti su una superficie di ~ 10 km2, rivelò raggi cosmici con energia di 1020 eV che solo oggi con una più raffinata analisi dei risultati sperimentali, ha permesso di stimare con più precisione a 1,14·1020 eV. Recentemente solo due eventi di raggi cosmici con energia superiore a 1020 eV sono stati osservati tramite gli esperimenti Fly’s Eye [3] nel 1991 e AGASA [4] nel 1994. L’energia di quello rivelato da Fly’s Eye è di 3,0 ± 0,36·1020 eV. Fino ad ora il numero totale di eventi osservati al di sopra di 1020 eV è di 7.

Figura 1 Victor Hess. Figura 2 Pierre Auger.

8

A tutt’oggi, per la comprensione degli eventi di più bassa energia < 1014 eV statisticamente più frequenti, sono stati compiuti molti passi in avanti. Grazie soprattutto all’utilizzo di rivelatori installati in palloni stratosferici e nei satelliti, si è misurata l’energia e la composizione dei raggi cosmici che interagiscono direttamente con i rivelatori, si veda la Figura 3 per la loro distribuzione spettrale. Per gli eventi a energia ultra elevata, non è ancora del tutto chiaro il meccanismo per il quale queste particelle (probabilmente protoni), possono raggiungere energie superiori a 1020 eV. E’ interessante ricordare che energie di 1020 eV sono 100 milioni di volte più grandi di quelle che si possono ottenere attualmente negli acceleratori di particelle [2].

1-2 Sistemi di accelerazione Diversi modelli sono stati proposti per spiegare l’elevato trasferimento di energia ad un’unica particella, ad esempio la presenza di enormi campi elettrici generati da corpi compatti magnetizzati in rapida rotazione, oppure turbolenze e/o onde d’urto in plasmi astrofisici, o ancora modelli più speculativi che si riferiscono a meccanismi “esotici”.

Figura 3 Spettro dei raggi cosmici, la retta che appare nel grafico rappresenta un flusso con un andamento proporzionale a E-3. In particolare si può notare la scarsa statistica

per energie > 1019 eV.

9

Il primo modello fu proposto nel 1949 da Enrico Fermi [5] e successivamente fu noto come “accelerazione di Fermi”. Esso prevede che una particella acquisti energia come conseguenza di ripetuti urti con nuvole di plasma fortemente magnetizzate, entro un regione confinata di spazio. Fermi dimostrò che l’interazione della particella in movimento con le nuvole di plasma magnetizzato, provocano un incremento mediamente positivo dell’energia, proporzionale all’energia della particella stessa ∆Ε = αΕ; così dopo k interazioni tale particella avrà un’energia Ε = Ε0·(1+α)k. Indicando con Pout la probabilità che per urto la particella esca dalla regione di confinamento, il numero di particelle che sopravvivono per un tempo sufficiente a raggiungere un’energia Ε è dato, sommando su tutte le k interazioni, da N(>Ε) ∝ Ε-γ, dove γ ≅ Pout /α se α e Pout sono entrambi piccoli. Questo meccanismo di accelerazione di Fermi, produce in modo naturale particelle, con uno spettro energetico che segue una legge di potenza. L’energia massima raggiunta da una particella dipende essenzialmente dal tempo trascorso in interazione con il plasma; in alcuni casi le regioni di accelerazione hanno una vita limitata nel tempo perché prodotte da onde d’urto di supernovae, le quali sono dissipate mediamente dopo circa 103 anni. Se le nuvole di plasma sopravvivono per periodi più lunghi, allora l’energia può aumentare moltissimo tanto da rendere difficoltoso il confinamento magnetico delle particelle.

Figura 4 Diagramma di Hillas, mostra i possibili scenari galattici e extragalattici in grado di accelerare le particelle ad altissima energia. Gli oggetti al dì sotto della linea tratteggiata non

possono accelerare le particelle sino a 1020 eV per mezzo di onde d’urto.

10

Il più semplice modello [6][7][8] di accelerazione di Fermi, fornisce per un particella carica Ζe, l’energia massima:

(1)

dove L è la dimensione caratteristica della regione di accelerazione e βc la velocità delle onde d’urto (βc ≅ 0,01 per le supernovae). Dallo studio condotto da Hillas nel 1984, si deduce che, affinché il meccanismo di accelerazione produca gli effetti indotti dall’equazione (1), occorre cercare zone di spazio dove il campo magnetico B è molto intenso e le dimensioni L molto grandi. In sintesi, nella Figura 4, appare il grafico dell’intensità del campo magnetico B rispetto alle sue dimensione L. I possibili siti extragalattici, candidati a produrre accelerazioni nelle particelle sino a energie di 1020 eV, sono convenzionalmente (partendo dal basso-destra): lobi di radiogalassie, AGNs, gruppi di galassie e galassie in collisione. Altre possibili sorgenti, possono essere i Gamma Ray Burst (GRB), stelle di neutroni, difetti topologici o ancora, particelle esotiche che entrano nell’atmosfera terrestre, come per esempio, monopoli magnetici pesanti ultra relativistici.

Figura 5 Limiti di propagazione dei raggi cosmici (protoni), secondo Greisen-Zatsepin-Kuz’min. Per energie prossime a 1020 eV le sorgenti devono essere ad una distanza inferiore 50 Mpc.

LBZecmax ⋅⋅⋅β≅Ε

11

Si è così portati a pensare che tali particelle energetiche possono provenire da sorgenti puntuali nello spazio. Tuttavia la scoperta nel 1966 della radiazione cosmica di fondo a 2,7 k (Cosmic Microwave Background Radiation, CMBR), ad opera di Arno Penzias e Robert Wilson, consentirono a K. Greisen, G. Zatsepin e V. Kuz’min di calcolare la perdita di energia dei raggi cosmici, a causa della loro interazione con la radiazione di fondo, principalmente attraverso la fotoproduzione di pioni. A causa di ciò, le particelle che percorrono grandi distanze intergalattiche prima di giungere sulla terra, non possono avere energie superiori a ∼ 5·1019 eV o in altri termini, per osservare energie superiori a ∼ 5·1019 eV, le sorgenti devono essere molto vicine a noi, tra 30-50 Mpc(*) [9]. Questa minima distanza che ci consentirebbe di osservare particelle ultra energetiche, costituisce un limite che va sotto il nome di “GZK cut-off” ed è mostrato nel diagramma di Figura 5. Tale diagramma è il risultato di uno studio condotto da Cronin [10] nel 1992, e mostra che la probabilità di sopravvivenza dei raggi cosmici costituiti da protoni, con energie di 8·1019, 1020 e 3·1020 eV dopo aver attraversato 20 Mpc, sono rispettivamente di 0,70, 0,55 e 0,12 ; riducendosi ulteriormente a 0,40, 0,12 e 0,04 , se la distanza dalla sorgente a noi diviene di 50 Mpc. Oltre i 200 Mpc, qualsiasi protone ultra energetico, converge al valore di energia ~ 7·1019 eV. Nel caso che i raggi cosmici siano di origine extragalattica o metagalattica e costituiti da nuclei più pesanti come il Berillio o il Ferro, prima di tale limite, le collisioni con la radiazione di fondo che induce mediamente una perdita di 2 nucleoni per Mpc, fa sì che comunque a noi sopraggiungano solo protoni con energia di ~ 7·1019 eV! Solo nel caso che i raggi cosmici abbiano un’origine galattica, possiamo aspettarci che siano costituiti da nuclei più pesanti come il Fe, dato che sono 26 volte più facili da accelerare dei protoni. Sfortunatamente sino ad oggi non si è stabilita alcuna correlazione tra il piano galattico e i raggi cosmici con energie superiori a 1019 eV. Ciò nonostante si cerca di stabilire la loro direzione di provenienza per conoscere la posizione delle sorgenti puntuali (se esse esistono); questo è reso possibile dal fatto che i campi magnetici galattici ed extragalattici non influenzano sufficientemente le loro traiettorie. Infatti, per una sorgente posta ad una distanza L(kpc), la traiettoria di una particella carica immersa in un campo magnetico uniforme risulta incurvata, la deviazione angolare θ osservata nella direzione di arrivo, rispetto alla traiettoria in linea retta in assenza di campo è:

(2)

L’energia della particella E20 è espressa in unità di 1020 eV, B indica l’intensità del campo magnetico (in unità di µG o nG) attraversato durante il moto della particella ed infine, Z indica la carica della particella espressa in unità di e. In Figura 6 sono mostrati gli effetti del campo magnetico sui protoni. Il grafico a sinistra mostra la deflessione angolare subita dai protoni al variare della loro energia. La linea inferiore si riferisce ad un’intensità del campo magnetico galattico pari a 2 µG su un tragitto di 0,5 kpc, o occasionalmente ad un’intensità di origine extragalattica di 1 nG, su una distanza di 1 Mpc [10]. La linea superiore invece, si riferisce ad un’intensità del campo magnetico extragalattico pari a 1 nG su un tragitto di 30 Mpc. In quest’ultimo caso, quando il tragitto delle particelle è molto lungo, queste vengono deviate più volte dai campi magnetici incontrati che possono occupare regioni di spazio con direzioni casualmente distribuite. Ogni deviazione, che si può supporre avvenga dopo un

(*) Mpc = MegaParsec ≅ 3·106 anni-luce

20

nGMpc

20

Gkpc

EZBL

30EZBL

30 )()()()( ,, ⋅°=⋅°≅θ µ

12

percorso l di circa 1 Mpc, è mediamente data dalla stessa equazione (2). In definitiva, se il percorso è lungo L(Mpc) allora la deviazione angolare media sarà: )(L Mpc volte la deviazione su un percorso di 1 Mpc.

Figura 6 Deflessione magnetica dei protoni. A sinistra si osserva la deflessione in funzione dell’energia nei caso in cui attraversino il disco galattico oppure 30 Mpc di spazio

extragalattico. A destra, il tempo di ritardo in funzione dell’energia nei medesimi casi.

Se dei raggi cosmici ultra energetici hanno rispettivamente energie di 4·1019, 1020 e 1021 eV e provengono da una distanza di 30 Mpc, la deflessione indotta da un campo magnetico extragalattico con intensità media di ~ 1 nG è rispettivamente di: 4,0 , 1,4 e 0,15 gradi. La deflessione indotta sugli stessi raggi cosmici, ma provenienti da 0,5 kpc ed in presenza di un campo magnetico galattico di 2 µG, provoca una deflessione rispettivamente di: 0,7 , 0,25 e 0,02 gradi. Importanti informazioni sulle caratteristiche dei campi magnetici attraversati dai raggi cosmici possono essere dedotte rivelando il tipo di particelle: siano esse cariche o neutre, provenienti dalla stessa sorgente di raggi cosmici. Infatti, le particelle neutre non subiscono modificazioni di traiettoria a differenza dei protoni prodotti simultaneamente dalla stessa sorgente. Quello che cambia è il loro tempo di arrivo. Considerando cioè un semplice percorso ad arco per un protone distante L in linea retta dalla sorgente, si ha il ritardo:

(3)

Mentre nel caso di ripetute deviazioni su intervalli di lunghezza l(Mpc) , si ha il ritardo:

220

2nG

23Mpc

anni220

2G

23kpc

anni EBZL

012E

BZL0120t )()(

)()()(

)( ,, ⋅=⋅≅∆ µ

13

(4)

Nel grafico a destra di Figura 6 sono mostrati i ritardi nell’arrivo dei protoni in funzione dell’energia, per sorgenti galattiche (linea sotto) o extragalattiche (linea sopra).

1-3 Misura dell’energia di un raggio cosmico L’energia di una particella carica, responsabile della generazione di uno sciame atmosferico, può essere calcolata dall’integrale lungo il percorso d’evoluzione dello sciame:

(5)

dove E rappresenta l’energia della particella primaria (il raggio cosmico), Ec/λr ≅ 2,2 MeV/(g·cm2) è il rapporto tra l’energia critica degli elettroni e la lunghezza di radiazione cioè rappresenta l’energia media depositata da una particela carica, su un intervallo di 1 g/cm2 [11] mentre Ne(X) è il numero di elettroni prodotti dallo sciame in funzione della profondità atmosferica. In realtà occorrerebbe integrare sul numero totale di particelle cariche e non solo sul numero di elettroni. Dato però, che gli elettroni sono di gran lunga preponderanti nella produzione di luce di fluorescenza, si può considerare questa come una stima inferiore.

Figura 7 Profilo longitudinale dell’evento più energetico osservato, rivelato da Fly’s Eye e pari a 3,0 ± 0,36·1020 eV [3].

220

2nG

2(Mpc)

2Mpc

anni EBZL

06t )()()(

l, ⋅≅∆

∫λ= dX)(N

E E e

c Xr

14

Aumentando del 10% l’energia ricavata si ottiene approssimativamente il risultato corretto. Come esempio di reale misura del numero di elettroni in funzione della profondità atmosferica, si può considerare la ricostruzione del profilo longitudinale dell’evento più energetico mai osservato prima, nella storia dei raggi cosmici. Esso è visibile in Figura 7 e fornisce l’andamento nel numero di elettroni osservati dai rivelatori di fluorescenza, in funzione della profondità atmosferica X.

1-4 Gli esperimenti in corso I dati degli esperimenti condotti precedentemente, come ad esempio AGASA, non hanno trovato in corrispondenza della direzione dell’asse dello sciame, nessuna possibile sorgente a distanza di 100 Mpc: radio galassie con una notevole emissione luminosa come CYGA o 3C147 sono troppo distanti !. Solo nel 1997 Peter Biermann [12], studiando gli eventi rivelati nell’esperimento Fly’s Eye suggerì come possibile sorgente la galassia 3C134. Tuttavia la sua distanza è sconosciuta e la separazione angolare tra la direzione di provenienza e la sorgente è di 12 gradi, troppo grande per delle particelle di luce, è nel caso fosse vicino a noi avrebbe una luminosità assoluta molto bassa. Ricordando dal grafico di Figura 3, che il flusso integrale di raggi cosmici aventi energia superiore a 1019 eV è di solo 1 evento per Km2 steradiante in un anno, mentre per energie superiori a 1020 eV si riduce a 1/100 per Km2 steradiante in un anno, si comprende la necessità di coprire superfici molto ampie (come i ~ 6000 Km2 di entrambi i siti dell’esperimento Auger) [13], affinché a parità di flusso il numero di eventi sia abbastanza elevato.

Figura 8 Comparazione tra gli Spettri di energia (xE3) rivelati negli eperimenti di: Haverah Park (×), Fly’s Eye (stereo, •),Yakutsk ( ) e Akeno/AGASA (Ο). La scala di energia dei vari

esperimenti è stata traslata per essere comparata con i risultati di AGASA( ~ 1018 eV).

15

Attualmente i dati sperimentali acquisiti per energie superiori a 1018,5 eV, sono principalmente ottenuti da soli rivelatori di particelle in superficie, oppure mediante soli rivelatori di fluorescenza quali Fly’s Eye. Recentemente Cronin ha mostrato che la forma dello spettro d’energia ottenuto negli esperimenti di: Yakutsk, Haverah Park, Akeno e Fly’s Eye coincidono se la loro energia è scalata di meno del 20% (vedi Figura 8), in questo modo si evidenzia l’importanza di avere esperimenti che combinano entrambe le tecniche di rivelazione per eliminare possibili errori sistematici.

16

17

Capitolo 2

L’esperimento Auger

2-1 Caratteristiche generali L’osservatorio Pierre Auger (PAO) [14], sarà il più importante rivelatore di raggi cosmici al mondo. La sua costruzione è spinta da una vasta collaborazione internazionale costituita da circa 230 scienziati provenienti da 49 istituti di 18 nazioni. La tecnica utilizzata per la rivelazione dei raggi cosmici è denominata ibrida, perché costituita da due tecniche complementari tra loro: - Fluorescenza in atmosfera, - Čerenkov in superficie. La struttura fondamentale, consisterà in due matrici di rivelatori Čerenkov ad acqua (Water Čerenkov Tank, WCT), disposti in modo da campionare ciascuna un’area di 3000 km2. Nel sito andranno posti tre o più rivelatori di fluorescenza, in grado di estendere l’apertura per gli eventi con energia superiore a 1019 eV a 14000 Km2·steradiante.[15] La Figura 9, mostra come sono distribuiti nel mondo, gli istituti che collaborano al progetto Auger.

Figura 9 La collaborazione al progetto Auger.

18

La esposizione di un solo sito Auger per circa 2 mesi, supera l’attuale esposizione totale di tutti i rivelatori di raggi cosmici, sopra i 5·1019 eV ( ~ 1541 Km2·steradiante all’anno, vedere Tabella 1). Infatti un sito [16] può permettere di rivelare dai 6000 – 9000 eventi all’anno, sopra i 1019 eV e dai 60 – 90 eventi all’anno sopra i 1020 eV. In comparazione con i 20 eventi all’anno al dì sopra di 5·1019 eV rivelati da AGASA in 5 anni, o ancora un totale di soli 7 eventi rivelati sopra i 1020 eV. Tali eventi aumenteranno notevolmente la statistica delle rivelazioni. A conferma dell’estrema rarità degli eventi, la Tabella 2 mostra una statistica delle rivelazioni fatte nei vari siti sparsi in tutto il mondo e le tecniche impiegate.

Matrice [Km2 anno ster.] AGASA 630

Fly’s Eye (stereo) 151 Fly’s Eye (mono) 930

Haverah Park (12 Km2) 270 Yakutsk (25 Km2) 490

Totale 2471 Totale (escluso Fly’s Eye mono) 1541

Tabella 1 Esposizione integrale totale al di sopra di 5·1019 eV. Non include gli ultimi eventi rivelati ad AGASA.

Tipi di rivelatori utilizzati nella matrice

Anno Energia degli eventi

Volcano Ranch (USA) Scintillatori

Aprile 1962 ~ 1020 eV

Haverah Park (UK) WCT

Dic. 1970 – Gen. 1980 3 x ~ 1020 eV, 1 x 1,2⋅1020 eV

Yakutsk (Siberia) Scintil. + Čerenkov Atm.

Maggio 1989 1,2⋅1020 eV

Fly’s Eye (USA) Fluorescenza Atmosferica

Ottobre 1991 3⋅1020 eV

AGASA (Giappone) Scintillatori

Dicembre 1993 Ottobre 1996

3⋅1020 eV 1020 eV

Tabella 2 Elenco dei siti in cui sono stati rivelati raggi cosmici ultra energetici. Tali eventi sono in media al 30% della risoluzione di energia.

19

2-2 L’osservatorio Ibrido La Figura 10 illustra la tecnica ibrida usata in Auger. Da un lato il rivelatore ottico misura le caratteristiche della traccia che la luce di fluorescenza imprime sul suo piano focale. Successivamente una matrice di rivelatore di superficie, raccoglierà su un numero limitato di rivelatori le particelle cariche che arrivano in superficie.

Figura 10 Vista schematica della tecnica ibrida impiegata nei siti Auger.

Il trigger di un evento ibrido può già avvenire a partire da 1019 eV, con soli 5 WCT interessati dall’evento. Il rivelatore è anche sensibile agli sciami orizzontali di particelle, indotti dai neutrini. Questi infatti hanno bassa probabilità di interazione con la materia ma, la maggiore profondità atmosferica percorsa in direzione parallela alla superficie della terra (~ 40000 g/cm2), compensa questa probabilità. In questo modo l’evento orizzontale diviene più probabile rispetto a quello verticale, di profondità atmosferica circa 40 volte inferiore. Per la totalità dei raggi cosmici, non si ha una conoscenza della direzione di provenienza. Per questa esigenza si è scelto di collocare i due siti Auger, in due aree geografiche simmetricamente opposte rispetto all’equatore. Mentre il sito posto nell’emisfero Sud a El Nihuil in provincia di Mendoza, in Argentina alla latitudine ~ -35° , punta verso il centro della nostra galassia; il sito posto nell’emisfero Nord a Millard Country nello stato dell’Utah, in USA alla latitudine di ~ +39°, punta verso l’esterno della galassia ossia verso possibili sorgenti extragalattiche. La rotazione terrestre unita alla precessione, consentirà ai due siti Auger nell’arco di 4 mesi, di osservare l’intera volta celeste. Le eventuali diversità nelle rivelazioni effettuate dai due siti, indicheranno così possibili anisotropie dello spazio.

20

La scelta dei siti ha comunque seguito anche altri criteri oltre a quello summenzionato, in particolare: - lo sviluppo dello sciame di particelle raggiunge un massimo in corrispondenza di

un’altezza sul livello del mare di ~ 1300 m o meglio, alla profondità rispetto alla ionosfera espressa in termini di densità colonnare di ~ 875 g/cm2.

- La presenza di aria secca a moderata temperatura, rende l’attenuazione dell’aria una variabile gestibile con sistemi di calibrazione Laser.

- Una modesta inclinazione dell’intero sito di ~ 6% - Una facile accessibilità da parte dei membri della collaborazione nonché la presenza di

infrastrutture di supporto, hanno reso i due siti ottimali. In Figura 11, si può osservare la disposizione geometrica di base, dei rivelatori di superficie. I piccoli punti rappresentano la posizione delle taniche di acqua, usate dai rivelatori Čerenkov (WCT), mentre i punti più grandi indicano la posizione dei rivelatori di fluorescenza (FD). La matrice superficiale sarà composta da 1596 WCT, disposti a distanza di 1,5 km fra di loro, secondo una disposizione triangolare tale da coprire un’area di 2970 km2.

Figura 11 Geometria relativa alla disposizione WCT e visione della rete di comunicazione.

21

2-3 Rivelatori di superficie Gli sciami originati dai raggi cosmici, si presentano nella loro traiettoria come dischi sottili di particelle in movimento alla velocità relativistica. Lo scopo dei rivelatori di particelle Čerenkov posti in superficie, è quello di stabilire l’ordine temporale di arrivo ai differenti rivelatori, per consentire di ricostruire l’angolo di incidenza dello sciame. Inoltre, dalla densità di particelle, dal numero di muoni e dal tempo di salita dell’impulso rivelato, si determina l’energia dello sciame e altre caratteristiche utili per determinare la possibile natura del raggio cosmico che lo ha generato. La matrice di superficie che costituisce il rivelatore Auger, sarà molto simile a quella già realizzata nell’esperimento in Haverah Park ed usata per più di vent’anni. Una fotografia del prototipo di WCT è indicata in Figura 12. Esso è di forma cilindrica con un’area del disco superiore di 10 m2, un’altezza di 1,2 m, ricoperto internamente da materiale altamente riflettente (Tyvek) e contiene acqua pura. Tre grandi tubi fotomoltiplicatori osserveranno l’acqua da sopra, e per ogni evento rivelato, sarà memorizzata la forma d’onda in uscita da essi, campionata da un Flash ADC. L’intero sistema dovrà essere alimentato in modo autonomo da un sistema di batterie a ricarica solare, in grado di assicurare un’autonomia di circa 10 giorni. In questo modo sarà possibile realizzare una rete di distribuzione energetica su un’area molto vasta, senza arrecare un notevole impatto ambientale. Le taniche saranno collegate tramite un sistema di comunicazione radio alla centrale di raccolta dati. Inoltre per provvedere ad una accurata ricostruzione temporale degli eventi, ogni WCT sarà collegato al sistema satellitare di posizionamento globale (GPS).

Figura 12 Fotografia del prototipo WCT al Fermilab.

22

2-4 I rivelatori di fluorescenza Nel 1960 Greisen [17] e indipendentemente anche altri negli anni 80, come Sokolsky [18] e Baltrusaitas [19], introdussero l’idea innovativa di rivelare lo sviluppo longitudinale dello sciame di particelle. Questo concetto nasce dal fatto che, durante il percorso di discesa verso la superficie terrestre, lo sciame produce nell’atmosfera eccitazioni nella banda 2P della molecola di azoto 2N , e nella banda 1N della molecola ionizzata +

2N . La successiva diseccitazione spontanea di tali bande produce il fenomeno della fluorescenza. Esso conta mediamente l’emissione isotropica di 4 ÷ 5 fotoni per ogni metro percorso da un elettrone [20], di cui 82,4% risulta essere con lunghezza d’onda compresa tra i 300 e i 450 nm, con tre picchi di emissione a 337 nm, 357 nm e 391 nm, come mostra la Figura 13.

Figura 13 Spettro di emissione della luce di fluorescenza dalle bande 2P e 1N delle molecole di azoto. Circa l’82% della luce è emessa tra 300 e 450 nm.

Una matrice di tubi fotomoltiplicatori (Photo Multipliers Tubes, PMT), sensibili alla luce di fluorescenza, guarderà il cielo suddividendolo in piccole finestre dette “Pixel”, in grado di vedere attraverso l’atmosfera il passaggio degli sciami di particelle secondarie prodotti dai raggi cosmici, come si farebbe con uno spot luminoso che si muovesse a velocità relativistica. L’evento così osservato, produce in sequenza l’eccitazione dei singoli PMT. In questo modo è possibile ricostruire la proiezione nel cielo, della traiettoria dello sciame. Dato che il profilo d’intensità prodotto da ogni singolo PMT è proporzionale al numero di particelle cariche che, istante per istante sono presenti nello sviluppo dello sciame, si può risalire alla misura dell’energia primaria mediante il calcolo dell’integrale sotto la curva di intensità. L’attenuazione della luce gioca un ruolo dominante nella accuratezza della misura, di

23

conseguenza è previsto l’utilizzo di un sistema di monitoraggio continuo della trasparenza del cielo. La proposta iniziale [21] del rivelatore di fluorescenza (FD) a cui nel seguito ci riferiremo anche con la dizione di “occhio”, consisteva nel disporre 45 specchi su due file: la prima costituita da 23 specchi, in grado di coprire un regione di cielo tra 2° e 16° in elevazione su tutto l’orizzonte, la seconda costituita dai rimanenti 22 specchi, in grado di coprire da 16° a 30° in elevazione. Complessivamente ogni specchio avrebbe avuto un campo visivo di 16°x14°, per un totale di 360°x28°. In questo modo, la luce raccolta dalla matrice 11x11 = 121 PMT esagonali disposti sul fuoco di ogni specchio, avrebbe consentito ad ogni singolo PMT, di osservare una regione di cielo di 1,5°x1,5°, come è mostrato in Figura 14.

Figura 14 Proposta del primo prototipo di rivelatore di fluorescenza.

Successivamente si è scelto uno schema strutturalmente diverso da quello precedentemente proposto (vedi Figura 15 e Figura 16) il cui sistema ottico è denominato “camera di Schmidt”. Esso consiste in uno specchio sferico, sovrastato da un diaframma posto nel centro di curvatura, mentre la posizione della matrice di 22 x 20 = 440 PMT, verrà posta nel fuoco dello specchio sferico. La ottica di Schmidt permette di eliminare l’aberrazione di coma(*), cioè di ottenere degli spot luminosi circolari anche se questi si trovano angolarmente distanti rispetto all’asse dello specchio. Ciò è molto importante, perché una distorsione variabile dell’immagine indurrebbe degli errori nella misura della durata degli impulsi di fluorescenza. Infine, si ha un vantaggio nella riduzione dei costi delle strutture di sostegno di un fattore quattro, dato che un solo

(*) L’aberrazione di coma si osserva negli specchi sferici quanto più è grande l’angolo di incidenza dei raggi luminosi, rispetto all’asse dello specchio.

24

specchio è ora in grado di osservare una regione di cielo di 30°x30°. Durante l’osservazione degli eventi ed in assenza di Luna, lo sciame di particelle può produrre l’attivazione di una successione più o meno lineare di PMT, a seconda della geometria della traiettoria e in base all’energia primaria del raggio cosmico. Ad esempio, si può avere un piccolo numero di PMT attivati o addirittura un’intera sequenza su 30° di altitudine.

Figura 15 Possibile struttura di un singolo telescopio.

Figura 16 Struttura di un singolo occhio, costituito da 12 telescopi.Vista frontale (Dx) e vista dall’alto (Sx).

25

2-5 Struttura dello sciame atmosferico Lo sciame di particelle, generato inizialmente nell’alta atmosfera dall’interazione con un singolo raggio cosmico ad energia ultra elevata, è costituito da moltissime particelle secondarie che a loro volta interagiscono con le molecole dell’atmosfera, secondo un processo di reazione a catena. La tipologia delle particelle secondarie costituenti lo sciame, dipenderanno dal tipo di particella primaria e dalla sua energia. Se questa è un nucleo o un nucleone allora le prime interazioni saranno prevalentemente adroniche, altrimenti se si tratta di un fotone saranno prevalentemente elettromagnetiche. La Figura 17 mostra uno schema semplificato dei processi di reazione che avvengono in uno sciame atmosferico. Quando un sciame si forma, circa il 90% dell’energia primaria viene dissipata sotto forma di energia elettromagnetica, per mezzo del decadimento del mesone neutro π0 in due fotoni γ e successivamente elettroni e. Il restante 10% di energia, viene trasportato sino a terra da neutrini e muoni. Ad altissima energia il numero Nµ di muoni generato da un protone con energia E è circa proporzionale a E0,85. Se il raggio cosmico è costituito da un nucleo atomico di numero A, allora ( ) 850AE ,/N Α∝Α

µ . Conseguentemente, paragonando tale numero di

muoni con quelli prodotti equivalentemente da un solo protone si ha p150µ

Αµ ⋅Α= NN , . Ad

esempio, un raggio cosmico costituito da un nucleo pesante di Ferro (A = 56), produce l’80% di muoni in più rispetto a quelli generati da un singolo protone.

Figura 17 Diagramma schematico dei principali processi di formazione degli sciami di particelle, generati da un raggio cosmico.

26

2-6 Profilo longitudinale di uno sciame La suddivisione in pixel del cielo, tramite le matrici di PMT, consente di misurare il profilo d’intensità luminosa dello sciame man mano che questo si sviluppa. Poiché questo è proporzionale al numero di particelle cariche, si possono estrapolare informazioni quali: la profondità atmosferica Xmax alla quale si ha in numero massimo di particelle e la profondità atmosferica X0 alla quale è avvenuta la prima interazione. Questi dati, congiuntamente al libero cammino medio di un protone in aria, espresso in termini di pressione atmosferica λ0 ≅70 g/cm2, e il parametro empirico che fornisce il numero massimo di particelle Nmax = 0,62 E(GeV), consentono di determinare il profilo longitudinale dello sciame atmosferico, si veda la Figura 18. Esso è l’andamento del numero di elettroni Ne (X), al variare della profondità atmosferica, una utile approssimazione è data dalla formula di Gaisser-Hillas [22]:

(6)

Nota la funzione Ne (X) che descrive il profilo longitudinale, l’energia E del raggio cosmico può essere determinata dall’equazione (5), semplicemente integrando lungo il percorso d’evoluzione dello sciame. Se da un lato la tecnica della fluorescenza si basa sulla misura della componente elettromagnetica, i rivelatori di superficie WCT contribuiscono a stabilire il numero di muoni che costituiscono lo sciame, in modo da poter risalire al tipo di raggio cosmico oltre che alla sua energia. Ovviamente l’analisi dei dati si baserà su modelli più accurati ricavati dalle simulazioni attualmente in corso.

Figura 18 Profilo longitudinale di sciami di particelle secondarie prodotte da fotoni, protoni e nuclei di ferro con energie prossime a 1020 eV. Per ogni particella primaria sono stati simulati 10 eventi. La fascia ombreggiata rappresenta eventi per i quali si è tenuto conto del campo magnetico terrestre e dell’effetto PLM (Landau-Pomeranchuk-Migdal: effetto quantistico che produce un’alterazione degli sciami di più alta energia) [23].

0

0

0

0 XXXX

0

0e e

XXXX

X λ−

λ−

⋅−

−=

maxmax

)(N)(Nmax

max

27

2-7 L’effetto Čerenkov Un fenomeno importante ma particolarmente disturbante, ai fini della rivelazione della quantità di luce di fluorescenza emessa dallo sciame di particelle è l’effetto Čerenkov [24]. Esso si verifica quando una particella carica, in moto alla velocità della luce, entra in un mezzo con indice di rifrazione n > 1 quale è appunto l’aria dell’atmosfera; provocando un’emissione assai pronunciata di onde elettromagnetiche, lungo un cono di apertura angolare θ, secondo la semplice relazione :

(7)

La particella carica, movendosi all’interno del mezzo con velocità v maggiore della velocità di fase delle onde nel mezzo, produce un movimento di dipolo lungo la direzione del moto a causa della differente distribuzione della polarizzazione indotta dalla carica. Le onde elettromagnetiche così prodotte, interferiscono costruttivamente solo lungo il cono di apertura θ, dell’ordine di un grado, producendo un’intensa emissione di radiazione Čerenkov. E’ da osservare tuttavia che, fenomeni di scattering intervengono a diffondere tale radiazione in tutte le direzioni e d’altra parte, non c’è una semplice relazione di proporzionalità tra il numero di particelle e l’intensità della radiazione Čerenkov, dato che il numero di fotoni emessi per tale fenomeno dipende anche dallo sviluppo precedente dello sciame. Per questi motivi, è di fondamentale importanza avere una stima della quantità di fotoni prodotti da tale fenomeno, in modo da correggere la quantità di quelli generati dal fenomeno della sola fluorescenza. A questo fine è utile l’espressione seguente [16], con la quale è possibile comunque stimare il numero di fotoni emessi in aria per effetto Čerenkov, lungo un percorso dl e per steradiante:

, (8)

dove dNγ/dl è il numero di fotoni emesso per ogni metro, θ è l’angolo di emissione espresso in radianti, 670

0 830 ,tE,θ −= , (Et è l’energia di soglia per l’emissione Čerenkov in MeV).

Quando lo sciame di particelle viene osservato da un’angolazione inferiore a 25° rispetto all’asse di propagazione, l’emissione Čerenkov può essere più intensa della emissione di fluorescenza, questo impedisce un misurazione accurata del profilo dello sciame. In particolare nella parte alta della traccia, dove al primo stadio dello sviluppo dello sciame l’angolo di osservazione è minore. Successivamente nella parte bassa della traccia, dove il risultato dell’intensa emissione può generare per fenomeni di scattering atmosferico [25], una quantità di luce Čerenkov che compete con quella di semplice fluorescenza. A tal fine si veda il grafico di Figura 19, per conoscere in base alla simulazione di uno sciame generato da un protone con energia di 1019 eV, una la stima della quantità di luce emessa dall’emissione Čerenkov e dalla sola fluorescenza al variare della profondità atmosferica.

ncv

θ) =cos(

( )θdldN

lddNd θ

θ

sin2e 0

2

π⋅=

Ω

γγ

28

Figura 19 Valutazione del contributo delle varie componenti del segnale in funzione della profondità atmosferica, per uno sciame generato da un protone di energia 1019 eV e

orientamento θ = 45°, φ = 0° alla distanza di 10 km dal rivelatore.

2-8 Calcolo della direzione di provenienza di un raggio cosmico

Il processo di formazione dello sciame procede nell’atmosfera secondo un fronte diretto come la traiettoria del raggio cosmico che lo genera, ci si pone allora il problema di stabilire tale traiettoria. Questa sarebbe già possibile stabilirla con le sole informazioni di un occhio, ma dato che ogni sito Auger dispone di almeno due tecniche di rivelazione, il cui duty-cycle di utilizzo è del 100% per i rivelatori in superficie (WCT) e di ~ 10 % per gli occhi (FD), la maggior parte degli eventi sarà ricostruita con le sole informazioni dei WCT. Infatti, quando uno sciame sopraggiunge alla superficie, il suo fronte colpirà i rivelatori al suolo in istanti di tempo diversi e se il loro numero è superiore a tre, si può usare il metodo dei minimi quadrati per trovare la traiettoria che meglio si approssima ai dati rivelati. La situazione descritta risulta ancora migliore, se l’evento è osservato anche da uno (mono) o più (stereo) FD. Consideriamo allora il caso di un solo FD coinvolto nella rivelazione, come primo parametro è utile conoscere il piano contenente l’asse dello sciame e un punto che individua il centro del rivelatore di fluorescenza (shower-detector-plane SDP), come mostrato in Figura 20. Successivamente si determina l’asse dello sciame contenuto nel piano SDP, mediante

29

l’utilizzo dei parametri Rp e χ0 che sono rispettivamente: la distanza minima del rivelatore FD rispetto all’asse perpendicolare alla direzione dello sciame, e l’angolo tra quest’ultimo e la superficie terrestre, contenuto nel piano SDP. Indicando con T0 l’istante di tempo in cui lo spot luminoso che si muove lungo la direzione dello sciame, viene visto dal rivelatore alla distanza minima Rp, si può determinare l’istante di tempo ti in cui viene visto dall’i-esimo tubo fotomoltiplicatore lo spot luminoso, sotto un angolo χi tra il piano SDP e la superficie terrestre, dalla seguente formula:

(9)

I parametri cercati Rp e χ0, possono essere determinati minimizzando la funzione χ2 :

, (10)

con σi parametro che stima l’incertezza nella misura dell’istante ti, in cui il PMT risulta essere colpito.

Figura 20 Geometria dello sciame e del rivelatore, all’interno del piano SDP.

( ) ( )°−−⋅+°−−⋅+=

90χχcoscR

90χχtanc

RTt

i0

pi0

p0i

1

⋅+=2χχ

tanc

RTt iop

0i

( )∑

=

−=

N

1i

2

2osservatoi,i2

ttχ

30

2-9 Forma del segnale rivelato, in uscita dai tubi fotomoltiplicatori

Per comprendere il processo di formazione del segnale nel rivelatore di fluorescenza, conviene considerare uno dei PMT della matrice. Quando un raggi cosmico ultra energetico produce un sciame di particelle, queste producono nell’atmosfera il fenomeno della fluorescenza che si manifesta come un disco luminoso in moto a velocità relativistica e diretto verso la superficie terrestre. Il disco attraversando il campo visivo della matrice di rivelazione di un telescopio, provoca la formazione di un segnale elettrico nei rispettivi tubi fotomoltiplicatori. In linea di massima solo pochi di essi vedranno il disco luminoso, tutti gli altri riveleranno solamente la fievole luce di fondo del cielo, producendo fluttuazioni che costituiscono il rumore. Per risalire alla forma del segnale elettrico è utile la Figura 21, secondo lo schema mostrato al tempo iniziale t1 il disco luminoso si trova all’esterno del campo visivo del PMT e non si ha nessun segnale. Man mano che il disco avanza nella sua traiettoria, esso entra nel campo visivo impiegandoci un periodo di tempo trise, il segnale elettrico corrispondentemente prodotto aumenta proporzionalmente alla quantità di luce vista, ossia dalle dimensioni del disco. Successivamente, il disco rimane per un certo periodo di tempo twidth nel campo visivo del PMT, mantenendo pressoché costante l’ampiezza del segnale elettrico finché, non ne esce in un tempo tfall, scomparendo definitivamente.

Figura 21 Processo di formazione del segnale elettrico all’uscita di uno dei tubi fotomoltiplicatori. Lo spot luminoso ossia il disco (cerchio scuro), procedendo nella sua

traiettoria attraversa il fotocatodo, producendo un segnale nullo al tempo t1-t2 e t7-t8, massimo al tempo t4-t5 e graduale con un fronte di salita in t3 e discesa in t6.

E’ possibile mettere in relazione i parametri geometrici e quelli fisici dello sciame, con la forma del segnale elettrico generato all’uscita dei tubi fotomoltiplicatori. Questo si ottiene tenendo conto anzitutto del numero di fotoni dNγ emessi con lunghezza d’onda compresa tra 300 nm e 450 nm, in una distanza dl :

31

, (11)

dove Nf = 2,4 fotoni emessi [20], nella regione di spettro anzidetta, per ogni particella carica e per ogni metro percorso, Ne è invece il numero di particelle cariche dato dall’equazione (6). I fotoni prodotti dal fenomeno della fluorescenza, vengono emessi isotropicamente su una superficie sferica di area 4πd2 che si espande sino a raggiungere in corrispondenza della distanza d, lo specchio con area efficace A.

(12)

è facilmente ricavabile dalla Figura 20. L’area del diaframma A = 1,54 m2, non tiene conto della zona di specchio oscurata dalla matrice di PMT né del coefficiente di riflessione. Quindi solo una frazione dei fotoni emessi in un certo istante di tempo, pari a:

(13)

raggiunge lo specchio, questi dopo essere stati riflessi raggiungono il fotocatodo dei PMT. Come verrà spiegato nel Capitolo 3, relativo al principio di funzionamento di un tubo fotomoltiplicatore, al fotocatodo ha luogo l’effetto fotoelettrico, consistente nella produzione di fotoelettroni per ogni fotone incidente, con una efficienza quantica ρ ≅ 0,25 (pe / e). Nel periodo di tempo pw

iΔT (µs) in cui lo spot luminoso viene visto dall’i-esimo fotocatodo del PMT, si genera il segnale Si di fotoelettroni:

(14)

L’esponenziale che appare nell’equazione, tiene conto dell’attenuazione dei fotoni nell’atmosfera in funzione della distanza percorsa (ξ0 = 16,5 km @ 860 g/cm2 e λ = 352 nm). La durata temporale pw

iΔT del segnale, dipende dal tempo impiegato dall’i-esimo PMT a vedere il disco luminoso quando entra e esce dal suo campo visivo. Trascuriamo per semplicità l’indice di pixel i. I tempi di entrata e uscita visti dal PMT sono ritardati dal tempo di propagazione impiegato dalla luce della traccia, ad arrivare sino al PMT.

dlNNdN efγ ⋅⋅=

)θ(R

di

p

sin=

2d 4πA

))sin((pwi

i2

ii

i0eμssinpe θR

2p

ef

p

ρ)(T cΔ)R4π

)θ(Α(ΝΝ)(S ⋅ξ−

⋅⋅⋅

⋅⋅=

32

Figura 22 Calcolo della durata del segnale di fluorescenza, considerando la velocità di propagazione della luce. L’occhio in O osserva lo spot luminoso posto in B,

sotto un angolo di vista α.

Osservando la Figura 22, si può ricavare l’espressione della durata del segnale relativo all’i-esimo pixel:

(15)

con gli istante in cui il disco entra ed esce nel campo visivo del pixel sono: 1t e 2t , mentre gli equivalenti istanti di tempo in cui l’i-esimo PMT vede entrare e uscire il disco nel proprio

campo visivo sono: 11 tOAt +=c

' e 22 tOCt +=c

' , la differenza di queste due fornisce la

relazione:

(16)

D’altra parte, lo spot luminoso percorre la distanza AC all’interno del campo visivo, in un tempo:

(17)

Infine tenuto conto dell’equazione (12) e della relazione ( )θcosACOAOC ⋅−=− , si determina la durata pwΔT dall’equazione (15):

(18)

12pw tt ''ΔT −=

1212 ttOAOCtt −+−=−c

''

( )θcd

c sinACtt 12 ⋅

α==−

( ) ( )( )θθc

αRΔT p cos1

sin 2pw −⋅

⋅=

33

In Figura 23, sono riportate (qualitativamente) alcune situazioni tipiche nella direzione di arrivo degli sciami di particelle ed in corrispondenza, le forme d’onda dei segnali che queste generano ai fotocatodi dei PMT. Lo stesso segnale luminoso, può dunque essere osservato dai PMT con durate di tempo diverse, a seconda dell’inclinazione nella direzione di arrivo degli sciami, rispetto alla direzione di osservazione. Per una stima (quantitativa) della variazione massima e minima nella durata

pwΔT dell’impulso di fluorescenza visto dai PMT, in Tabella 3 sono riassunti i calcoli per le tre situazioni mostrate in Figura 23. Come si può osservare, al variare dell’angolo d’incidenza ψ tra 150°, 100° e 60°, l’osservazione del cielo da parte dei PMT può essere fatta con un angolo d’elevazione compreso tra 0° e 30°. Questo si traduce in un variazione della durata degli impulsi i cui casi estremi sono di pwΔT = 1÷13 µS.

Figura 23 Forme d’onda (qualitative) prodotte ai fotocatodi dei PMT, al variare dell’angolo di incidenza dello sciame prodotto dal raggio cosmico, rispetto alla direzione di osservazione.

34

Traccia/angolo A ψ = 150° B ψ = 100° C ψ = 60° ∆Tmax [µS] 0,649·Rp 150° 0,105·Rp 100° 0,058·Rp 60° ∆Tmin [µS] 0,174·Rp 120° 0,087·Rp 70° 0,047·Rp 30° Rp = 20 [Km] ∆Tmax 12,98 µµµµS 2,1 µS 1,16 µS ∆Tmin 3,48 µS 1,74 µS 0,94 µ0,94 µ0,94 µ0,94 µS

Tabella 3 Valutazione dell’equazione (18), per i tre angoli di incidenza dello sciame (ψ = 150°,100°,60°), mostrati in Figura 23. In evidenza appaiono i due casi estremi nella durata

degli impulsi, nel caso si osservino gli eventi da una distanza Rp = 20 Km.

L’angolo α sotto cui il PMT vede la parte di traccia che si trova all’interno del campo visivo del pixel, può variare da 0° a 1,5° a seconda che la traccia sia tangente al diametro del pixel o passante proprio per il suo centro. Il caso reale dovrà tenere conto della forma esagonale del campo visivo del PMT. A titolo di esempio, se il pixel fosse circolare con raggio r, e la traccia si trovasse ad una distanza a dal centro, il valore di α diverrebbe [16]:

, α = 1,5°. (19)

I tempi di salita e di discesa indicati trise e tfall, che ci si deve aspettare per il segnale osservato sono allora facilmente determinati dall’espressione di proporzionalità:

(20)

Effetti del secondo ordine, dovuti alla possibile differenza tra la distanza iniziale di osservazione d1 quando lo spot entra nel campo visivo e la distanza finale d2 quando ne esce, dovrebbero essere considerati, in quanto agli effetti del segnale prodotto in uscita dal PMT, a questo corrisponderebbe una diversa ampiezza iniziale in confronto a quella finale. Nei successivi capitoli, l’equazione (20) sarà utile per le simulazioni e verifiche sperimentali. A tal fine si perviene alla più pratica relazione che lega i tempi di salita e discesa con il periodo del segnale rivelato : trise = tfall = 40% pwΔT . dove si è usato una dimensione angolare dello spot di αααα‘ = 0.6°, e un angolo sotto cui il PMT vede il disco luminoso di αααα = 1,5°.

2

1

−⋅=

raαα'

αα'

tΔT

tΔT ==

fall

pw

rise

pw

35

2-10 Estensione Dinamica del segnale di fluorescenza Sino ad ora si è visto che l’osservazione mediante i PMT della luce di fluorescenza, produce nei fotocatodi un segnale che si può esprimere in termini di: fotoelettroni a µs o equivalentemente in corrente di pA. Ancora però non sappiamo di quanto possa variare questo segnale. La variazione del segnale è dovuta alla luminosità dello spot osservato, questo a sua volta è direttamente collegato alla energia dell’evento e alla distanza a cui avviene l’osservazione. Per estensione dinamica del segnale (range dinamico), si intende il rapporto tra la massima e la minima ampiezza del segnale ai fotocatodi dei PMT, in un breve intervallo temporale. Se da un lato questo range dinamico del segnale, può assumere valori molto grandi proprio perché si vogliono osservare eventi con una distribuzione energetica spaziata su diverse decadi di energia (ad esempio tra 1018 e 1021 = 103 ≅ 210 10 bits di range dinamico del segnale), la casualità nella loro direzione di arrivo potrebbe determinare nei PMT una variazione molto maggiore ad esempio a causa della vicinanza o lontananza dello sciame. Dall’altro lato, esiste un limite fisico nel range dinamico del segnale da rivelare, dovuto proprio alla linearità dei PMT che risulta essere di 16Bits [26]. Il range dinamico gioca un ruolo molto importante nella elettronica dedicata alla rivelazione di segnali di fluorescenza. Infatti un range dinamico limitato nella catena di misura darebbe luogo alla perdita dei segnali più grandi, oppure alla inaccuratezza alla determinazione di quelli più piccoli. La implementazione di un sistema ad alto range dinamico (16 Bits), pone problemi non indifferenti tenuto conto della tecnologia attuale dei Flash ADC. Data la complessità del problema, l’analisi del range dinamico va fatta per simulazione di un numero significativo di eventi, per i quali si calcolano le ampiezze dei segnale ai fotocatodi dei PMT. Questo è stato fatto Dawson [27] in una simulazione del 1997. Essa ha consistito nell’eseguire con metodi di tipo Montecarlo e l’ausilio di un opportuno software, una distribuzione casuale di eventi compresi tra: 1018 - 1021 eV, su tutta l’area di un sito (~ 3000 km2) andando a misurare per ogni evento il numero di fotoelettroni prodotti dai singoli PMT. In questo modo, egli ha mostrato che un range dinamico di 16 bit è sufficiente per la maggior parte degli eventi. I valori da lui trovati: 2 ph/(100 ns) 3,2 pA per il bit meno significativo del convertitore Flash ADC, su un massimo di 131∙103 ph/(100 ns) 210 nA, riducono la probabilità di andare fuori dalla portata di misura su un evento di 1021 eV, a circa un su mille.

2-11 Rumore nel rivelatore di fluorescenza Nel paragrafo 2-9 si è trovata l’espressione (14), che fornisce il numero di fotoelettroni che si sviluppano al fotocatodo del PMT durante tutto il periodo di tempo pwΔT , di visione dello spot luminoso provocato dallo sciame di particelle. Questo costituisce la misura del segnale.

(21)

Dove ξ(Rp) è la misura della attenuazione atmosferica, c la velocità della luce .

)(cρΔT)(N,S ppw

2e RR4

A42p

ξ⋅⋅π

⋅=

36

In assenza di eventi osservati, i PMT osservando il cielo nelle ore notturne rilevano comunque una attività luminosa. Essa è dovuta in prima approssimazione alle stelle, ma anche dalla luce solare diffusa dalla materia interplanetaria e dall’inquinamento luminoso. Una stima del numero di fotoni che sopraggiungono al fotocatodo dei PMT in questa situazione, si rifà al valore accettato nell’esperimento HiRes (*) di 40 fotoni per metro quadro, steradiante e µs. Come sarà chiarito nel prossimo capitolo sul funzionamento del PMT, la misura del rumore è data dalla radice quadrata del numero di fotoelettroni che si generano al fotocatodo, nel periodo di tempo pwΔT . Ossia la misura della fluttuazione del numero di fotoelettroni al fotocatodo costituisce la misura del rumore , ed è dato dall’espressione:

(22)

Dove A indica l’area dello specchio (non oscurata), Ω è l’angolo solido di campo del PMT e ρ l’efficienza quantica del fotocatodo. Possiamo stimare il rapporto Segnale/Rumore (S/N) dalla relazione seguente:

(23)

Nel caso in cui si scelgano per esempio i valori: Rp = 20 km, E = 1019 eV (Ne ≅ 3·109), A = 1,54 m2, Ω = (α = 1,5°)2 steradianti, ρ = 0,25 (ph/e) , pwΔT = 1,74 µs Questi forniscono: S = 26 (ph/e) e N = 7,5 (ph/e) S/N = 3,5. Il rapporto Segnale/Rumore fornisce una misura diretta della bontà del sistema di rivelazione. Un valore grande è indice di una buona capacità del rivelatore a rivelare eventi che si confondono con il rumore di fondo del cielo. Inoltre, il rapporto S/N è proporzionale al rapporto D/d, tra diametro D dello specchio e dimensione angolare dell’angolo di campo del PMT (d = α). Si conclude che, in generale per aumentare il rapporto S/N si deve aumentare il diametro dello specchio oppure ridurre l’angolo di campo del PMT.

(*) Questo valore è solo rappresentativo, dato che esso varia con l’angolo di elevazione e dalle condizioni atmosferiche. In condizioni normali questo valore può variare di un fattore 2.

ρΔTN pwA40 Ω⋅=

ξ−

Ωπ⋅=

p

p

R

2 eΔTρR

cN,

NS

40A60

pwe

37

Capitolo 3

Principio di funzionamento di un tubo fotomoltiplicatore

3-1 Costruzione di un tubo fotomoltiplicatore Il tubo fotomoltiplicatore (Photo Multiplier Tube PMT) è un sensore in grado di convertire un segnale luminoso in un segnale elettrico. Nella letteratura, si distingue tra la dizione fototubo e tubo fotomoltiplicatore, in quanto mentre il primo dispositivo è sostanzialmente un fotodiodo a vuoto, il secondo è un fotodiodo con speciali elettrodi, i dinodi, che sfruttando il fenomeno della emissione secondaria, moltiplicano gli elettroni emessi dal fotocatodo rendendolo di gran lunga più sensibile. Il PMT è costituito essenzialmente da una ampolla di vetro opportunamente sagomata, all’interno della quale e fatto il vuoto ed in cui sono posizionati in sequenza i seguenti elementi, indicati in Figura 24: • un fotocatodo, il quale converte il flusso luminoso in flusso di fotoelettroni; • un sistema d’ingresso elettro-ottico, che consente di focalizzare e accelerare il flusso di

fotoelettroni; • un moltiplicatore di elettroni, costituito da una serie di elettrodi moltiplicatori ad

emissione secondaria, detti comunemente dinodi, ed infine; • un anodo, responsabile della raccolta del flusso di elettroni proveniente dal moltiplicatore.

Figura 24 Elementi di un tubo fotomoltiplicatore.

38

I fenomeni fisici che stanno alla base del funzionamento del PMT sono: la fotoemissione e la emissione secondaria. La fotoemissione e indotta da una frazione dei fotoni incidenti sulla finestra d’ingresso, i quali impartiscono tutta la loro energia agli elettroni presenti nel materiale depositato sul fotocatodo, dando ad alcuni di essi, una energia sufficiente a produrre il distacco dalla superficie. Il rapporto medio tra il numero di fotoelettroni emessi nk e numero di fotoni incidenti np, prende il nome di efficienza quantica ρ, e dipende dalla lunghezza d’onda λ della radiazione incidente, secondo la relazione:

(24)

Dove con Sk,λ si è indicata la funzione: sensibilità caratteristica del fotocatodo alle differenti lunghezze d’onda . Il fotocatodo è nella maggior parte dei casi semitrasparente, e l’emissione di fotoelettroni avviene dalla parte opposta a quella illuminata. Dato che il materiale semiconduttore depositato internamente alla finestra d’ingresso, può essere di Argento-Ossigeno-Cesio (AgOCs), Antimonio-Cesio (SbCs) o composti Bi/Trialcalini come: SbKCs, SBRbCs o SbNa2KCs, ognuno di essi avrà un sensibilità caratteristica in funzione della lunghezza d’onda della luce incidente, che si estende indicativamente tra i 200 nm e 950 nm, diagramma a sinistra di Figura 25. Il vetro, utilizzato per la costruzione della finestra del tubo fotomoltiplicatore o anche per la sua intera struttura, limita ulteriormente la sensibilità alle lunghezze d’onda minori, poiché esso presenta una caratteristica di trasmissività analoga a quella di un filtro Passa Banda, con lunghezza d’onda di cut-off inferiore: tra 150 nm e 300 nm, superiore tra 5 µm e 8 µm, come mostrato nel diagramma a destra di Figura 25.

Figura 25 A sinistra, Spettro di sensibilità per due tipi di fotocatodi. A destra, trasmissività (%) come funzione della lunghezza d’onda λ, per alcuni materiali trasparenti usati per le finestre dei fotocatodi (spessore 1 mm).

λechS

ehνS

nnρ ,, ⋅=⋅== λkλk

p

k

39

L’emissione secondaria di elettroni è il processo di produzione di elettroni da parte dei dinodi, che può avvenire per due motivi principalmente: • A causa dell’assorbimento da parte di un materiale, dell’energia trasportata dagli elettroni

che vi incidono, mediante processi di ionizzazione; • Per diffusione di elettroni energetici attraverso il materiale; E’ da osservare che il numero medio di elettroni secondari emessi, dipende oltre che dall’energia dell’elettrone incidente anche dal materiale, ad esempio: l’ossido di magnesio MgO si comporta in modo alquanto diverso dal fosfuro di gallio GaP, mentre il primo è un materiale ad affinità elettronica positiva, il secondo, che presenta fattori di emissione secondaria notevolmente più elevati, è ad affinità elettronica negativa. Un’ulteriore aspetto del processo di emissione secondaria è la sua natura statistica, il che determina delle fluttuazioni nel numero medio di elettroni, con conseguente impatto sul rumore. Per mantenere un elevato fattore di moltiplicazione secondaria, si mantiene una differenza di potenziale tra dinodi, tipicamente dell’ordine del centinaio di Volt. Fanno eccezione il primo stadio (fotocatodo – 1° dinodo), in cui viene mantenuta un tensione più alta, da due a cinque volte quella usuale tra dinodi, per minimizzare il contributo al rumore e alle fluttuazioni dei tempi di transito del processo di moltiplicazione secondaria, ed a volte l’ultimo stadio (dinodo – anodo) o gli ultimi stadi, per ridurre effetti di non linearità dovuti alla carica spaziale. La polarizzazione del fotomoltiplicatore, è tipicamente ottenuta mediante l’impiego di una rete resistiva di partizione di tensione, ai capi della quale viene applicata l’alta tensione di alimentazione, come indicato in Figura 26.

Figura 26 Rete passiva di polarizzazione del PMT.

Il processo di moltiplicazione degli elettroni, avviene perciò con il contributo di tutti i dinodi, nella maniera seguente: l’emissione secondaria di elettroni da ogni dinodo, va a colpire quello successivo con un’energia di alcune centinaia di elettronvolt. Come risultato il numero di elettroni aumenta da dinodo a dinodo, raggiungendo in breve la moltiplicazione desiderata. Tuttavia, non tutti gli elettroni emessi da un dinodo raggiungono il successivo, dando origine così a quella che è denominata efficienza di raccolta η.

40

Per stabilire quanto valga il fattore di moltiplicazione M, ossia il guadagno del solo stadio moltiplicatore di elettroni, indichiamo l’emissione secondaria per ogni i-esimo dinodo con il coefficiente δi , e l’efficienza di raccolta (*) con ηi, nello spazio che segue quest’ultimo, entrambi i coefficienti sono funzioni incrementate dalla tensione Vi tra i dinodi di-1 e di. Il prodotto di ηi con δi definisce il guadagno di corrente gi dell’i-esimo dinodo, esso varia con la potenza della tensione applicata Vi, secondo la semplice legge esponenziale:

(25)

Dove ki è una costante di proporzionalità e l’esponente α e tipicamente compreso tra 0,65 e 0,75. Se il numero di elettroni che colpisce il primo dinodo è nk e il guadagno del primo dinodo e g1, allora il numero di elettroni secondari prodotti è nk⋅g1. Procedendo in questo modo, se il secondo dinodo ha un guadagno di g2 allora esso produrrà nk⋅g1⋅g2 elettroni. In definitiva, per un numero N di dinodi, il numero totale di elettroni na raccolti all’anodo è:

(26)

quindi il fattore M di moltiplicazione di elettroni sarà di:

(27)

3-2 Guadagno G di un tubo fotomoltiplicatore Il guadagno di corrente G di un tubo fotomoltiplicatore [28], è definito come il rapporto tra Ia/Ik, dove Ia è la corrente di anodo, prodotta dalla corrente di fotoelettroni al catodo Ik:

(28)

Indicando l’efficienza di raccolta degli elettroni al primo dinodo η, δi il coefficiente di emissione secondaria dell’i-esimo dinodo, ηi l’efficienza di raccolta dell’i-esimo stadio moltiplicatore, si può riscrivere il guadagno in altri termini,

(29)

Dall’espressione (25), data precedentemente per il guadagno gi dell’i-esimo stadio, si ha:

(*) L’efficienza di raccolta ηi, è definita come il rapporto tra il numero di elettroni raccolti dall’i-esimo stadio e il numero di elettroni emessi dallo stadio precedente.

α⋅=⋅= iiiii Vkηδg

∏Ν

=

⋅=1i

ika gnn

∏=

==Ν

1i

k

a

ig

nnM

k

a

II

=G

∏∏=

⋅==

⋅⋅=⋅⋅⋅⋅⋅=N

i

N

iiNN22111i1i

gηηδη)η(δ)η(δ)η(δηG

41

∏=

= α⋅

N

ii1i

VkG e riscrivendo Vi come un frazione 'ki della tensione di alimentazione VHV:

(30)

dove K è una costante che dipende dal materiale con cui sono fatti dinodi e dal rapporto di tensione tra di essi. A titolo di esempio, per un tubo fotomoltiplicatore di 10 dinodi l’incremento di guadagno e dell’ordine della settima potenza della tensione di alimentazione, raddoppiando ogni 10% di incremento della tensione. Attualmente si producono PMT con un guadagno G ≅ 106, per tensioni di alimentazione tra 800 V e 1200 V. Il rapporto tra il guadagno G e l’efficienza di raccolta del primo stadio η , fornisce semplicemente M = G/η, ossia il fattore di moltiplicazione di elettroni, cioè il numero di elettroni che sopraggiungono all’anodo, per ogni elettrone ricevuto dal primo dinodo.

3-3 Linearità di un tubo fotomoltiplicatore Una caratteristica importante dei PMT è la linearità, dato che questo parametro incide direttamente sulla precisione delle misure fatte con questi sensori, questa può essere classificata in due tipi: la prima è detta linearità di carica ed è la misura del grado di proporzionalità tra il numero di elettroni raccolti all’anodo, rispetto al numero di fotoni incidenti; la seconda è detta linearità di corrente ed è la misura del grado di proporzionalità tra il flusso luminoso incidente e la corrente di anodo. I fattori che inducono una riduzione della linearità sono molteplici, ma almeno quattro sono da ritenere i più importanti:

1. Variazioni nella tensione d’alimentazione, inducono variazioni di tensione tra dinodi con conseguente variazione del guadagno dovuta alla variazione dell’emissione secondaria e delle traiettorie degli elettroni (Linearity in DC Output Mode).

2. Variazioni della tensione interdinodica dovuta a variazioni della corrente di anodo, in

conseguenza di impulsi luminosi (Output Linearity in Pulsed Mode). 3. Saturazione dovuta alla presenza di carica spaziale (Saturation Linearity). 4. Resistività del fotocatodo semitrasparente, produce cadute di potenziale radiali che

alterano l’efficienza di raccolta al primo dinodo (Photocatode Linearity).

ααα⋅∏

=⋅=⋅=⇒⋅= N

HV

N

HViiHVii1i

)( VKVkkVkV '' G

42

Figura 27 Distribuzioni delle correnti, in un partitore resistivo di tensione collegato ad un PMT.

In particolare per il punto 1, quando la tensione tra dinodi è ricavata da una rete resistiva di partizione dell’alta tensione, come indicato in Figura 27, la corrente di anodo Ia tende a ridurre il potenziale tra anodo e l’ultimo dinodo. Dato però che l’alta tensione è stabilizzata, si ha ai capi delle resistenze e quindi dei dinodi, un incremento delle tensioni che determinano un aumento del guadagno, comparabile a quello che si avrebbe modificando l’alta tensione della stessa quantità. Più precisamente, in condizioni di non illuminazione del PMT, la corrente di anodo è nulla mentre quella che circola nel partitore Io è:

, (31)

dove VHV è l’alta tensione ed R, il valore comune delle resistenze. Quando nell’anodo circola corrente, anche nei resistori R cambia la corrente come mostrato in Figura 27, così essendo VHV costante, la corrente Io diverrà usando il principio della sovrapposizione degli effetti Io′, in quanto tra due consecutivi dinodi, circola ora la corrente Ii :

(32)

dall’equazione (30), il nuovo guadagno di corrente G′′′′ diviene:

(33)

mentre il guadagno quando la corrente di anodo Ia è nulla, può essere riscritta:

R1NHV

o ⋅+=

)(VI

∑=

⋅+

+=+=N

0iioooo 1N

1 I)(

IΔII'I

α−

αα ∏ −+=⋅= )IΔI(IV1N

0ioo

NNHV KRKG'

43

(34)

possiamo così scrivere il rapporto tra i guadagni, con corrente di anodo e senza :

(35)

nella quale, trascurando i termini di ordine superiore al primo

(36)

A questa relazione si sostituisce il valore precedentemente trovato di ∆Io, nell’equazione (32)

(37)

osservato che il termine tra parentesi tonde è positivo, in quanto nella sommatoria i rapporti sono sempre minori di uno, allora il guadagno G′′′′ in presenza di corrente di anodo è una funzione incrementale, dipendente dal rapporto:

o

a

o

N

II

II

= .

Nel campo di variazione di IN ≤ Io, il rapporto IN / Io si mantiene indipendente dal guadagno di ogni altro stadio, così imponendo che questi siano costanti,

e osservato che il guadagno 1N >>g , si può semplificare l’equazione (37), nella nuova:

(38)

αα= No

NKR IG

α−

=∏

−+=

1N

0i o

io1I

IΔIGG'

α−

=

−+= ∑

1N

0i o

i

o

o1II

IΔI

GG'

+⋅

++=

⋅−

+⋅+=

α−

=

=

α−

=

= =∑∑∑∑ ∑

1N

0i N

i1N

0k N

k

o

N1N

0i N

i

o

N1N

0i

N

0k N

k

o

N 11N

N11N

11II

II

II

II

II

II

II

GG'

α−

=

+−

++= ∑

1N

0i N

i

o

N

1N1

1NN1

II

II

GG'

ggII ==

−i

1i

i

α

−+

−+

+=g))((I

I11N

11N

Na1oG

G'

44

che per valori grandi di N, può ulteriormente semplificarsi,

(39)

L’espressione (39), fornisce in modo approssimato, la variazione relativa di guadagno ∆G′′′′/G′′′′, in funzione del rapporto tra la corrente di anodo Ia e la corrente nominale circolante nel partitore Io, quando Ia ~ 0. Un aumento di Ia produce un aumento di guadagno, per esempio per un PMT di N = 10 dinodi, posto α = 0,7 e Ia/Io = 0,1 si produce un variazione di guadagno del 7%. Per valori di Ia/ Io ≅ 1 l’equazione trovata non è più valida in quanto diminuendo la tensione tra l’ultimo dinodo e l’anodo, interviene una grande riduzione dell’efficienza di raccolta degli elettroni. Una possibile soluzione al mantenimento della linearità consiste nel mantenere il rapporto Ia/Io ≤ 0,01 , in modo che all’aumentare della Ia la variazione di guadagno sia contenuta; tuttavia se si vogliono correnti più elevate di anodo, occorre aumentare la potenza dissipata dalla rete resistiva di partizione sino a qualche watts, ossia aumentare la Io. E’ da menzionare comunque, la possibilità di utilizzare dei diodi zener al posto delle semplici resistenze, per fissare il guadagno. Questa possibilità non è normalmente utilizzata, perché rende impossibile regolare il guadagno del PMT, semplicemente variando l’alta tensione, inoltre una eventuale sovraesposizione dello stesso, produrrebbe elevate correnti che non sarebbero limitate dagli zener, rendendo pericoloso il loro impiego. Consideriamo ora il punto 2, relativo alla variazione di guadagno in presenza di impulsi luminosi; questi possono provocare all’anodo picchi di corrente e così pure proporzionalmente, su tutti i dinodi che lo precedono, con conseguente ridistribuzione dei potenziali interdinodici. Dei metodi largamente usati per compensare tale situazione prevedono: • alimentazione separata delle tensioni per ogni dinodo, con lo svantaggio dell’elevato costo

degli alimentatori utilizzati; • alimentazione ottenuta per moltiplicazione, a partire da una tensione di riferimento

secondo il noto circuito Cockcroft-Walton, con lo svantaggio dell’introduzione di rumore alla frequenza fondamentale del suo clock;

• infine il sistema più semplice ma altresì efficace, consistente nel porre delle capacità di disaccoppiamento Ci, tra i dinodi e tra l’ultimo dinodo e l’alta tensione.

In particolare considerando quest’ultimo metodo, la capacità tra ultimo dinodo e l’alta tensione CN, dovrà sopperire alla impulsiva richiesta di carica ∆QN (Coulomb) dell’ultimo dinodo. Per un impulso in uscita, corrispondentemente, ci sarà una massima variazione di tensione ∆VN tra dinodo e anodo, che sarà tollerata dal dinodo (nel senso che questa provoca una variazione del guadagno!), per cui il valore capacitivo CN è dato da:

(40)

o

a

1NN

IIΔ

+⋅α≈−=

G'GG'

G'G'

N

NN

Q C

VΔΔ

45

Dato che la carica negli stadi antecedenti l’ultimo è certamente inferiore e proporzionale al guadagno gi, il dimensionamento delle capacità Ci, diviene:

(41)

Un metodo pratico di scelta della capacità CN, impone di garantire tra l’ultimo dinodo e l’anodo, un valore capacitivo 100 volte superiore a quella richiesta dalla carica, in uscita. Il dimensionamento delle restanti capacità può essere fatto imponendo per semplicità un valore identico rispetto all’ultima. Tuttavia, se la frequenza con cui sopraggiungono gli impulsi è elevata, anche questo metodo non e sufficiente dato che la densità di elettroni aumenta soprattutto nell’ultimo stadio.

Figura 28 Rete di polarizzazione passiva di tipo progressivo, con capacità di disaccoppiamento tra gli ultimi dinodi.

Quest’ultimo fenomeno determina l’effetto di carica spaziale menzionato al punto 3. La carica spaziale si oppone al flusso di elettroni, influenzando le loro traiettorie e causando una perdita di raccolta che porta in breve l’uscita alla saturazione. Una tecnica per evitare tale situazione, consiste nell’amplificare di più negli ultimi stadi e un po’ di meno nell’ultimo, in modo da ripartire il flusso di corrente poi raccolto all’anodo, su più stadi, ma evitando di polarizzare con potenziali elevati l’ultimo. Tale sistema prevede una rete resistiva di polarizzazione di tipo progressivo, con capacità di disaccoppiamento come indicato in Figura 28, la configurazione così ottenuta è particolarmente ottimizzata per una risposta veloce del tubo fotomoltiplicatore, pur conservando un guadagno e una linearità all’impulso soddisfacenti. Ultima causa di non linearità menzionata nel punto 4 è la resistività del fotocatodo. Generalmente il sistema d’ingresso elettro-ottico presuppone che il fotocatodo

)(, 1Ni0g

C C N

1ikk

Ni −≤≤=

∏+=

46

semitrasparente posto dietro la finestra d’ingresso, sia una superficie equipotenziale; questo normalmente non è in quanto il materiale emittente è semiconduttore, cioè: non un buon conduttore alle temperature di funzionamento. D’altra parte, la non trascurabile resistività (1010 Ω), in concomitanza con un’intensa esposizione luminosa, genera una densità di corrente non equamente distribuita. Come conseguenza, corrente superiori ad un certo limite producono entro il fotocatodo cadute di potenziale, quindi campi elettrici radiali, che ostacolano l’efficienza di raccolta del primo dinodo, limitando l’uso lineare del PMT a correnti di fotocatodo inferiori a 10 nA.

3-4 Vita media di un tubo fotomoltiplicatore Il tempo di impiego di un PMT, dipende da diversi fattori, il più importante dei quali è dovuto al deterioramento irreversibile delle proprietà di emissione secondaria dei dinodi, provocando di conseguenza, un’inevitabile riduzione del guadagno G. In particolare, è l’ultimo dinodo ad avere i maggiori problemi data l’elevata corrente a cui è sottoposto, nei confronti di tutti gli altri. La vita media di un PMT è definita come il tempo richiesto al dimezzamento della sensibilità dell’anodo, ed un parametro che la caratterizza è legato alla misura della carica totale raccolta all’anodo, i cui valori tipici sono compresi tra 300 e 1000 Coulomb. Purtroppo, una statistica precisa non è data per ogni PMT. Un’idea per la sua misura, potrebbe essere quella di misurare per tutto il tempo di attività del PMT, la corrente di anodo. Ad esempio, un PMT che dimezza il guadagno dopo l’accumulo di 500 Coulomb, potrebbe funzionare con Ia = 10 µA durante poco più di un anno e sette mesi prima del dimezzamento del guadagno(∗). Nel diagramma di Figura 29, è mostrato l’andamento temporale della variazione di guadagno ∆G/G di un PMT con una corrente media di anodo di 30 µA. Dopo un tempo iniziale di invecchiamento, si raggiungono le caratteristiche indicate dalle specifiche del rivelatore a partire da variazioni iniziali di ± 20%. Successivamente dopo un modesto periodo di tempo in cui il guadagno aumenta, esso inizia a ridursi per il fenomeno indicato in precedenza, sino al tempo di dimezzamento.

(∗) 1[Coulomb] := 1[A]·[s] 500[C]/(10-5·60·60·24)[A·s/days] = 578,7[days] 578,7[days]/30,4[days/months] ≅ 1[year]+7[months].

47

Figura 29 Variazione relativa di guadagno nel tempo, per un PMT operante alla corrente media d’anodo di 30 µA.

3-5 Risposta del tubo fotomoltiplicatore al singolo fotoelettrone

Un segnale luminoso inviato al fotocatodo di un tubo fotomoltiplicatore, induce la produzione di molteplici impulsi, dovuti a singoli fotoelettroni. Quando un elettrone lascia il fotocatodo, produce in uscita sull’anodo, un segnale di corrente con polarità negativa (Single electron response, SER). La forma e l’ampiezza di questo segnale, dipendono da: 1. Fluttuazioni dei tempi di transito. 2. Modalità del processo di moltiplicazione. Per quanto riguarda le fluttuazioni dei tempi di transito, queste dipendono dal non isocronismo delle traiettorie nei vari stadi. E’ chiaro che, lo stadio dove il processo di focalizzazione e accelerazione non è del tutto avvenuto, questo effetto risulterà maggiore. Ed è questo il caso dei fotoelettroni nella regione d’ingresso compresa tra fotocatodo e primo dinodo. Per questa ragione, occorre minimizzare i tempi di transito nella regione d’ingresso imponendo una maggiore tensione tra fotocatodo e primo dinodo, ed eventualmente prevedendo griglie di focalizzazione. Per il punto2 è da considerare il numero di elettroni raccolti all’anodo. Questo non è costante e subisce fluttuazioni dovute al processo statistico di moltiplicazione, operato dall’emissione secondari dei dinodi. Se si illumina il fotocatodo di un PMT con una luce di intensità molto bassa, tale da provocare l’emissione di singoli fotoelettroni, si può ottenere, una curva di distribuzione statistica della carica degli impulsi simile a quella di Figura 30.

48

Figura 30 Distribuzione statistica del numero GN elettroni in funzione dell’energia, in risposta all’impulso SER.

Tale distribuzione statistica simile a quella di Poisson, mostra che un elettrone, entrando nella catena di moltiplicazione, ha una densità di probabilità di produrre un certo numero di elettroni all’anodo. Il guadagno complessivo del fotomoltiplicatore è dato dal valore medio

NG di elettroni raccolti all’anodo (G = NG ), mentre la varianza della distribuzione è

(42)

e la varianza relativa totale

(43)

che rappresenta un misura della risoluzione in ampiezza del rivelatore. La varianza relativa totale è il risultato di più eventi statistici di moltiplicazione. Tenendo conto della varianza relativa 2

iV di ogni i-esimo dinodo, si può riscrivere la (43) nel seguente modo:

(44)

Risulta così evidente il contributo maggiore alla varianza relativa totale dato dai primi dinodi, per questo motivo è importante che il loro fattore di moltiplicazione secondaria sia il più alto possibile.

2NN

2G GG )(σ −=

2

2G2

G Gσ

V =

1N1N2211

2N

2211

23

11

222

12

G−− ηδ⋅⋅ηδ⋅ηδ

++ηδ⋅ηδ

+ηδ

+= VVVVV

49

Questo è il motivo per cui tra il fotocatodo e il primo dinodo, viene imposto solitamente un potenziale più alto (3-4 volte maggiore), di quello dei restanti dinodi. Usando l’espressione (25) relativa agli i-esimi guadagni dei dinodi, e il fatto che questi sono il più delle volte pressoché tutti uguali eccetto il primo, si può riscrivere la formula (44), in forma semplificata:

(45)

3-6 Sorgenti di rumore La corrente che mediamente scorre nel fotocatodo, in presenza della sola luce del cielo Ibckgnd, ha associato un rumore granulare (rumore shot), che produce una fluttuazione casuale della corrente istantanea al fotocatodo Ipc(t); l’intensità di tale fluttuazione va misurata dallo spettro di potenza fi dd 2

fsn che è bianco sino alla frequenza dell’ordine dell’inverso del tempo di

transito degli elettroni dal fotocatodo all’anodo. La densità spettrale di potenza del rumore shot è:

(A2/Hz) (46)

tale espressione, può essere espressa in termini di numero medio di fotoelettroni in un’intervallo di tempo T:

(47)

Come già detto, il segnale rivelato dal PMT è di tipo trapezoidale caratterizzato da un tempo di salita e discesa nonché un intervallo tra questi due tempi in cui il segnale rimane costante (o quasi); quando esso arriva, il rumore shot aumenta in ragione dell’ampiezza Isignal :

(48)

ed essendo i due segnali Ssignal e Sbckgnd scorrelati tra loro, la loro densità spettrale di potenza andrà sommata linearmente:

( ) ( )11

11111 1N

0k

2G -g

gg-gg

gggg

V1

N

N

1k

1

⋅≅⋅

−⋅=⋅= ∑−

=

bckgnd

2fsn

bckgnd 2dd qI

fiS ==

Tnq

S phe2

bckgnd

2=

signalsignal 2qIS =

50

(49)

Ipotizzando di separare idealmente, il segnale dal rumore, ci si aspetta un andamento temporale del segnali e della linea di base come indicato in Figura 31.

Figura 31 Rumore shot (linea sotto), associato al segnale rivelato dal PMT (linea sopra).

Oltre alle sorgenti di rumore considerate, occorre tenere conto delle fluttuazioni statistiche del guadagno complessivo G del PMT, questo viene fatto considerando la varianza relativa totale della distribuzione di guadagno e indicato con 2

GV (si veda il paragrafo 3-5). Nella catena di elaborazione, il segnale verrà campionato da un Flash ADC. Ogni campione dopo esser stato filtrato dal filtro anti-aliasing con banda passante fn, sarà determinato con un certo rapporto S/N = Isignal/Inrms, il valore rms della corrente di rumore è calcolato nel modo usuale, dalla relazione seguente:

(50)

il termine ( )2

G1 V+ tiene conto della fluttuazione del guadagno del PMT e può essere espresso in termini del guadagno del primo dinodo g1, del guadagno dei successivi dinodi g e dell’efficienza di raccolta nell’ottica d’ingresso η [28]:

(51)

Per determinare l’incremento di rumore nel sistema elettronico sviluppato, si assumono i seguenti valori: η = 0,8 , g1 = 8 e g = 3,5; con questi risulta il valore di ( )2

G1 V+ = 1,469 , ossia un incremento del rumore di ~ 21% (*). Possiamo ora stimare il rapporto S/N :

(*) ( )2

G1 V+ = 1,211

bckgndsignaltotale SSS +=

( ) ( ) ( ) n2

Gsignalbckgndn2

Gtotalenrms 121 fVIIqfVSi ⋅+⋅+⋅=⋅+⋅=

( )

+⋅=+1

11111

2G g

ggη

V

51

(52)

L’equazione (52) assume un’espressione più semplice, se si considera la costante di tempo RC = 150 ns per il filtro anti-aliasing

(53)

Dove le correnti sono riferite al fotocatodo ed espresse in pA. Infine, un’utile espressione della corrente equivalente di rumore (ENI), definita come l’ampiezza del segnale Isignal che produce un rapporto S/N = 1, consente di stabilire il limite assoluto di sensibilità. Come mostrato nella Tabella 4, sono ricavati diversi valori di ENI a differenti correnti di Ibckgnd .

Ibckgnd ENI pA fotoelettroni/100ns PA fotoelettroni/100ns 4,3 2,68 2,27 1,42 6,0 3,75 2,59 1,62 60 37,5 7,26 4,53 130 81,1 10,4 6,55

Tabella 4 Calcolo di ENI per differenti valori di corrente di fotocatodo Ibckgnd.

Da un punto di vista statistico, il segnale si può considerare come il numero di fotoni nphsign , che arrivano mediamente al fotocatodo del PMT in un intervallo di tempo T, mentre la

phsignn è al sua fluttuazione statistica. Il rapporto segnale/rumore , può essere riscritto come segue:

(54)

dove nphsign è il numero totale di di fotoni per unità di tempo del segnale, mentre nphbckgnd è il numero di fotoni per unità di tempo, che mediamente arrivano in assenza di luce (il rumore!). tenuto conto che la corrente vale Isign = q·nphsign / T , si ha :

( ) ( ) n2

Gsignalbckgnd

signal

12 fVIIq

INS

⋅+⋅+⋅=

( )signalbckgnd

signal131II

I,

NS

+⋅=

( ) T

TNS

⋅+

⋅=

phsignphbckgnd

phsign

nn

n

( )T

II

INS

⋅⋅+⋅⋅

=

21q2 signbckgnd

sign

52

(55)

Dove fs è la frequenza di campionamento e W il numero di campioni presi nell’intervallo di tempo T. Comparando il risultato con l’equazione (50), il rapporto S/N migliora di un fattore W . Ricordando che RC = 150 ns = 1,5 Ts si può vedere che l’effettiva banda della frequenza di rumore diviene )Wf()V( n

2gNeff 1 ⋅+=f , la quale nel caso visto fornisce il valore

(56)

Si osserva che la banda passante per il rumore con il filtro anti-aliasing è 2 volte più piccola, il rumore che raggiunge il convertitore Flash ADC, potrà allora essere 4112 ,= volte maggiore.

( )WfII

INS

sign

sign

⋅⋅+⋅⋅

=

2q2 s

bckgnd

W,ff

⋅=

024n

Neff

53

Capitolo 4

Polarizzazione del PMT

4-1 Finalità I dati ottenuti in notti buie dai rivelatori nel sito di Utah [19], hanno indicato che il numero medio di fotoni che arrivano ad un telescopio è ~ 40 fotoni a µs, su una superficie di un m2 per steradiante. Questo si traduce al fotocatodo nella produzione di ~ 2,7 fotoelettroni ogni 100 ns, pari alla corrente di circa 4,3 pA, avendo assunto l’utilizzo di un diaframma non oscurato con un’area di 1,54 m2, un angolo di campo visivo di 1,5 x 1,5 deg2 e un’efficienza quantica ρ del fotocatodo del 20%. La scelta iniziale del tubo fotomoltiplicatore che meglio risponde ai requisiti di sensibilità allo spettro luminoso emesso dall’azoto [29], è stato l’XP2012, un PMT a 10 dinodi con un guadagno massimo G ≅ 6,5·105. Successivamente, è stato scelto il PMT della Philips XP3062 a 8 dinodi, con caratteristiche molto simili eccetto che per un guadagno massimo più limitato G = 2,6·105. Nonostante gli elevati guadagni, nella riunione tenutesi al CERN nell’Ottobre 1998 [30], è stato deciso di ridurli al valore più conveniente di solo G = 50K, per aumentare la vita media dei PMT ad almeno 15 anni. Infatti, la corrente media d’anodo nel caso peggiore si e assunto che sia Ia = 10 µA, questa in concomitanza con le specifiche di durata di utilizzo del PMT (≅ 500 Coulomb), darebbe luogo ad un tempo di 579 giorni di funzionamento continuativo prima del dimezzamento del guadagno. Dato però che il funzionamento del FD sarà solo del 8-10% del tempo disponibile, l’utilizzo potrà prolungarsi sino a (579/0,08)/(365) = 19,8 anni @ 8% (minimo 15,8 anni @ 10%) !. Nel caso pratico di impiego nel FD, la corrente media di anodo potrebbe aumentare di un fattore 30, con la presenza della luce riflessa dalla Luna [31]. L’innalzamento della corrente di anodo e riassunto in Tabella 5, in essa sono in evidenziati i valori di riferimento di corrente, utilizzati durante tutti i test condotti per l’elettronica.

Variazione Ia @ G = 50K Ia @ G = 2,6·105 Ia @ G ≅ 6,5·105 x1 215 nA 1,12 µA 2,79 µA x30 6,5 µA 33,5 µA 83,8 µA

X1000 215 µA 1,12 mA 2,79 mA

Tabella 5 Sono mostrati i valori medi di Ia, nei casi estremi di sola luce stellare e anche luce riflessa dalla Luna, per differenti guadagni G.

In realtà, la luminosità notturna può aumentare notevolmente, anche di un fattore 1000, a causa di fenomeni atmosferici quali l’Aurora o UFO [32]. Poiché simili circostanze renderebbero inutilizzabile l’intero rivelatore di fluorescenza (FD), non vengono qui considerati.

54

Alla luce del cielo che mediamente illuminano i PMT, si sovrappone la luce di fluorescenza prodotta dagli sciami secondari che, penetrando nell’atmosfera, producono delle tracce luminose viste dai PMT. Esse, si traducono in impulsi luminosi di durata più o meno variabile o se vogliamo in impulsi elettrici con forma pressoché trapezoidale, a seconda dell’inclinazione della traccia nella direzione di arrivo rispetto alla direzione di osservazione. Lo scopo che ci prefiggiamo in questo capitolo è quello studiare un sistema elettronico di polarizzazione del PMT [33] [34], in grado di mantenere costante il guadagno G, sia in presenza degli impulsi che, su un largo intervallo di variazione di corrente dovuta alla luminosità di fondo del cielo. Questo primo obiettivo, consente di aumentare il tempo complessivo di utilizzo del FD. Secondariamente, nella trattazione che segue verrà utile mostrare i risultati del lavoro svolto, per entrambi i tubi fotomoltiplicatori, in quanto la soluzione definitiva adottata avrà altri pregi, tra i quali una ridotta dissipazione di potenza. Questo ha un impatto sulla affidabilità e sul costo degli alimentatori. Per comprendere bene dove intervenire nell’elettronica, per migliorarla rispetto allo standard, si è dapprima approntato un sistema di test elettro-ottico, utile anche per le esperienze dei successivi capitoli. Successivamente, mediante l’impiego di strumentazione, in grado di dialogare con un computer via protocollo di comunicazione GPIB (IEEE488), si é sviluppato un programma per automatizzare le misure. Grazie ai risultati dei test effettuati, il progetto della rete di polarizzazione per i PMT, confermerà la scelta della polarizzazione Attiva, quale migliore soluzione.

4-2 Sistema di test elettro-ottico Per poter verificare praticamente, i limiti di funzionamento dell’elettronica di polarizzazione, e come si vedrà nei capitoli successivi anche per l’elettronica del Current Monitor ed altro ancora, è stato predisposto un banco di prova con diversi strumenti standard da laboratorio ed uno speciale sistema di accoppiamento ottico tra, generatore di impulsi luminosi e il PMT, appositamente realizzato per le esperienze.

Figura 32 Vista d’insieme della struttura di supporto, del sistema di test elettro-ottico.

55

Gli strumenti impiegati sono: un generatore d’impulsi tipo HP8131A, un oscilloscopio digitale tipo TDS820, un alimentatore per l’alta tensione della C.A.E.N. MOD.126 in grado di fornire anche diverse tensioni duali, utili per alimentare amplificatori e/o sottocircuiti, nonché diversi multimetri digitali portatili tipo HP971Ao da banco tipo HP34401A. Il sistema di accoppiamento ottico, la cui visione d’insieme è visibile in Figura 32, è costituito da due tubi in plastica, di diametro leggermente diverso, sostenuti da due supporti metallici. Il primo di diametro maggiore, operare come guida per il supporto scorrevole dei LED, mentre per il secondo, di sostegno al PMT. Entrambi di colore nero, svolgono un’azione di isolamento verso la luce ambiente. Lo scopo del supporto scorrevole dei LED a cui è fissata la barra metallica per il posizionamento, è quella di poter variare la distanza tra la sorgente luminosa e il PMT tra 10 e 50 cm. Questo facilita, sia l’attenuazione del segnale luminoso nel caso l’ampiezza minima impostata con il generatore non fosse sufficientemente bassa, sia il mantenimento della distanza dal PMT, tale da rendere uniforme l’illuminazione del fotocatodo. La funzione dei due LED è quella di riprodurre il più fedelmente possibile, la situazione luminosa a cui i singoli rivelatori di fluorescenza dovranno rispondere nella situazione reale di funzionamento. In particolare il primo LED (Pulse LED) simula gli impulsi luminosi della fluorescenza, mentre il secondo LED (Background LED), simula la luce notturna delle stelle e/o quella dovuta alla Luna. Gli impulsi luminosi variabili sia in ampiezza, durata e ritmo, sono prodotti a partire da un generatore di impulsi (HP8131A), il quale è connesso tramite il circuito Driver di Figura 34, al Pulse LED. Lo spettro di emissione di entrambi i LED è centrato sulla lunghezza d’onda λ ≅ 560 nm (Verde). Tale lunghezza d’onda è ancora nella zona di sensibilità del fotocatodo del PMT, ma trovandosi in corrispondenza del cut-off superiore di tale sensibilità, si è sfruttata l’attenuazione intriseca dovuta alle caratteristiche [35], per evitare di interporre un attenuatore ottico tra sorgente e PMT. L’attenuazione così ottenuta è pari al salto tra la massima sensibilità Sk,λ(@,λ = 400 nm) e quella relativa alla luce verde Sk,λ(@,λ = 560 nm), cioè ~14dB(*). Inoltre i LED impiegati, sono stati scelti di tipo a luce diffusa, per migliorare la uniformità d’illuminazione del fotocatodo del PMT. L’intero sistema di test elettro-ottico è visibile in Figura 33. Gli impulsi luminosi generati dal Pulse LED, inducono sul fotocatodo del PMT, la produzione di fotoelettroni. Il processo di moltiplicazione dovuto ai dinodi, fa si che all’anodo sia raccolto un impulso di corrente proporzionale all’illuminazione. L’impulso di corrente circolante nella resistenza Ra posta in serie all’anodo, consente di prelevare con un accoppiamento capacitivo, un equivalente impulso negativo di tensione, inviato all’oscilloscopio digitale per la misura della sua ampiezza.

(*) Sk,λ(@,λ=400nm)=90 mA/W, Sk,λ(@,λ=560nm)=40 mA/W 20log(90/20) ~14dB.

56

Figura 33 Visione del sistema di test elettro-ottico, esso è stato impiegato basicamente per tutte le misure atte a verificare: l’elettronica di polarizzazione, il Current Monitor e il Line Driver.

57

4-3 Il LED Driver Per consentire di pilotare con una sufficiente corrente il diodo LED (Pulse LED), responsabile dell’emissione degli impulsi luminosi, è stato realizzato un particolare circuito denominato LED Driver. Lo schema elettrico visibile in Figura 34, mostra la classica configurazione di un open-drain collegato nell’anello di contro reazione di un veloce amplificatore operazionale, l’LF356N della National Semiconductor. Il funzionamento e il seguente: l’ingresso non invertente dell’AO è collegato tramite una terminazione a 50 Ω al generatore di impulsi; in assenza di segnale attraverso il JFET non scorre alcuna corrente perché, la contro reazione mantiene l’ingresso invertente allo stesso potenziale di quello non invertente. Piccole differenze tra i due possono esserci ma sono compensate dalla regolazione dell’Offset. Quando sopraggiungono gli impulsi di tensione, questi sbilanciano gli ingressi dell’AO, vengono amplificati di un fattore Av = 1+Rgs/R1 e inviati al gate del JFET (un veloce Hitachi SNJ930L05) a canale P, provocandone l’immediata transizione dalla zona di interdizione a quella di saturazione. Essendo il Drain collegato all’alimentazione +12V, tramite la serie costituita dal cavo twisted-pair e dal LED, l’impulso di tensione produce un impulso di corrente attraverso R1 pari a Ipulse = Vpulse/ R1, la stessa corrente che passa ora attraverso il LED. Abbiamo così realizzato, un Buffer di corrente pilotato in tensione. La presenza dei diodi all’uscita dell’AO, garantisce di non eccedere involontariamente, alle specifiche di funzionamento del LED.

Figura 34 Schema elettrico del LED driver.

58

4-4 L’ambiente di programmazione LabVIEW 5.0 Questo ambiente di sviluppo software prodotto dalla National Instruments, si avvale di una tecnica di programmazione di tipo visuale ormai collaudata da alcuni decenni, e vicina alla facilità d’uso dei sistemi operativi per Personal Computer, che oggigiorno traggono vantaggio dall’interfacciamento grafico con gli utenti, mediante icone, menù a tendina e semplici operazioni con il mouse di click e trascina. Esso consente di realizzare interfacce grafiche, che si presentano sotto forma di pannelli o strumenti virtuali (virtual instrument), con pulsanti, manopole, grafici e campi di dialogo, il tutto per poter controllare in modo remoto un vero strumento di misura. Il controllo remoto si avvale di schede di interfacciamento GPIB (IEEE488) da inserire negli slot PCI o ISA del computer. Tramite un apposito cavo GPIB, è possibile connettere il computer al sistema remoto di misura. L’insieme di comandi interpretabili dagli strumenti di misura, si avvale dello standard di comunicazione denominato SCPI. All’interno dell’ambiente di sviluppo si opera con un linguaggio di programmazione denominato G(=Grafic), con strutture logiche molto simili al C, quali loop (for, while e case sensitive) e subroutine definite come SubVI. Moltissimo codice di interfaccia per la strumentazione è già pronto per essere utilizzato. Ogni programma è costituito da due parti, il virtual instrument (VI) e il Diagram, che altro non è che il diagramma logico in cui sono poste in maniera grafica, le istruzioni del software. A titolo di esempio nella Figura 35, è riportato il pannello frontale di uno strumento virtuale, adibito alla ricerca del valore resistivo standard più prossimo a quello fornito dalla misura di uno strumento. Mentre nella Figura 36 è mostrato il diagramma relativo.

Figura 35 Pannello frontale del Virtual Instrument di LabVIEW.

59

Figura 36 Diagram dello strumento virtuale di LabVIEW.

4-5 Sistema di test semi–automatico, controllato da LabVIEW

Il controllo con un PC degli strumenti di misura disposti nel banco di prova visibile in Figura 33, mediante interfaccia di collegamento GPIB, è stata fatta tramite un programma (PMTtest.vi), appositamente realizzato in collaborazione con i colleghi del gruppo di ricerca. Esso è in grado di:

• Impostare ampiezza, durata e ritmo, dell’impulso di tensione generato dal generatore di impulsi HP8131.

• Leggere le misure di ampiezza dell’impulso di tensione, sviluppato ai capi della

resistenza Ra posta in serie all’anodo del PMT, tramite l’oscilloscopio digitale TDS820 (o TDS220).

• Definire una sequenza di misure, del tipo incrementa l’ampiezza e esegui la misura,

producendo come risultato un file di dati numerici, da importare in un programma di elaborazione dati (ad esempio Excel), per una analisi quantitativa e/o grafica.

60

4-6 Simulazioni del PMT con Pspice Prima di operare con i tubi fotomoltiplicatori, si è cercato di avere una buon modello da simulare a calcolatore, insieme alla rete di polarizzazione. Per questo obiettivo, si sono usati i programmi Capture e Pspice A/D di OrCAD, per realizzare prima gli schemi elettrici e successivamente alcune simulazioni preliminari, quali l’influenza che il tipo di base ha nei confronti del PMT, sia esso a 8 o 10 dinodi. Lo schema di base utilizzato è il classico partitore resistivo, ed il PMT è stato descritto mediante una serie di generatori impulsivi di corrente (Ipulse). Alcuni parametri quali: il tempo di salita, discesa o periodo relativi alla forma dell’impulso, o ancora il fattore di moltiplicazione operato dai dinodi, sono stati raccolti in opportune legendine raccogli parametri, come mostrato nello schema di Figura 37.

Figura 37 Schema elettrico del modello di PMT a 8 dinodi, con rete passiva di polarizzazione, usato nella simulazione con PSpice.

1. La prima simulazione (DC Analisis) è stata fatta per due motivi: - determinare dopo l’istante iniziale, le condizioni stazionarie del sistema (Bias point), - stabilire la dipendenza della corrente di anodo da quella di catodo per definire il parametro

di guadagno dei singoli dinodi.

61

Dopo l’istante iniziale, la simulazione ha fornito le tensioni ai vari dinodi, in presenza della corrente di fondo Ik, nei due casi estremi cioè: con Ik = 4,3 pA e 130 pA (= 30·4,3pA). Esse sono elencate in Tabella 6, dove mostrano coerentemente con la teoria, una lieve dipendenza dalla corrente di catodo, contenuta entro 0,2% per il dinodo più vicino all’anodo.

Nodo Vnodo(@ Ibck = 4,3pA) [V] Vnodo(@ Ibck=130pA) [V]

D1 190,02 190.53 ∆V -0,17% D2 285.03 285,79 D3 380,3 381,05 D4 475,04 476,31 D5 570,05 571.57 D6 665,06 666,80 D7 760,06 761,93 D8 855,06 856,69 ∆V -0,19%

Ranodo 949.98 949,35 High voltage = 950 V G = 5·104

Io = 300 uA

Tabella 6 Due differenti Bias Point calcolati con PSpice, per la rete passiva di polarizzazione. Si può osservare nei due casi, l’entità della variazione di tensione.

Nel grafico di Figura 38, sono visibili gli andamenti della corrente di anodo Ia e del guadagno G, in funzione della corrente di catodo indicata Ibck , dopo che si è determinato il coefficiente di moltiplicazione da attribuire a tutti i dinodi. La variazione iniziale di guadagno visibile nel grafico non è realistica, ma dovuta ad errori di precisione nel calcolo dello stesso (rapporto tra grandezze infinitesime). Il successivo andamento costante è però in contrasto con la teoria vista nel paragrafo 3-3, relativo al funzionamento di un PMT. Tuttavia, il modello approssimato può essere usato per piccole correnti di anodo che siano nel rapporto massimo di solo Ia / Io ≤ 0,01. La successiva simulazione al transitorio (Transient Analisis) è stata fatta imponendo la generazione di un impulso di corrente di catodo, il più simile possibile a quello che il PMT dovrà osserva nell’FD. Per questo si e fatto tesoro dei risultati ottenuti nel capitolo descrittivo l’esperimento Auger. Per la forma dell’impulso si e usata la relazione lineare approssimata tra tempi di salita (trise), discesa (tfall), nei confronti della durata (Twidh =1µS) con le dimensione

dello spot (αspot=0,6) e dell’angolo di campo (αfov=1,5) dei PMT: withfov

spotfallrise Ttt

αα

== .

Mentre come ampiezza, si è scelto un valore dato dalla differenza tra, corrente di catodo in presenza della Luna (30·Ibck) e quella di buio (Ibck = 4,3pA). Il diagramma di Figura 39, mostra l’andamento delle correnti nei dinodi più prossimi all’anodo.

62

La simulazione condotta, ha permesso di comprendere le problematiche di polarizzazione del PMT. Un modello più complicato dovrebbe tenere conto della variazione di tensione nella rete di polarizzazione, indotta dalla corrente circolante nei dinodi, secondo un processo iterativo. In altre parole occorrerebbero dei generatori di corrente comandati dalla tensione che, essi stessi contribuiscono a modificare, nella rete di polarizzazione (situazione simile a quella di un triodo). Si preferisce quindi una progettazione meno simulata, ma più teorica e supportata da successive esperienze di laboratorio. Questa è ciò che verrà fatto nei paragrafi successivi.

Figura 38 Dipendenza della corrente di anodo e del guadagno, dalla corrente di catodo.

63

Figura 39 Risposta del modello di PMT, all’impulso di corrente di catodo.

4-7 Studio della rete di polarizzazione del PMT Nella discussione preliminare fatta nel Capitolo 3, relativo al principio di funzionamento del PMT, si è visto che una delle tecniche maggiormente utilizzate per polarizzare i tubi fotomoltiplicatori, consiste nel disporre di una rete resistiva. Essa è connessa in modo da realizzare un partitore multiplo, in grado di suddividere l’elevata tensione di alimentazione e mantenere costante il potenziale elettrico, ai capi dei dinodi. Normalmente i valori delle resistenze possono essere per semplicità tutte uguali. L’eccezione di quella posta tra primo dinodo e il fotocatodo, che generalmente ha un valore almeno doppio, consente di massimizzare l’efficienza di raccolta e la velocità di risposta [36]. L’idea di base seguita è stata quella di polarizzare positivamente il PMT, in modo da avere il fotocatodo a potenziale di massa e l’anodo ad alta tensione, per un duplice vantaggio: - consentire un ridottissimo deposito di pulviscolo sulla finestra del fotocatodo, dato il

lungo tempo di attività; - velocizzare il tempo di assestamento della corrente di buio, rendendo operativo il PMT

pochi minuti dopo l’accensione dell’alta tensione. Utilizzare poi, una rete di polarizzazione di valore progressivo, per consentire di ridurre la non linearità di guadagno, in risposta al segnale luminoso incidente sul fotocatodo, dovuta a

64

fenomeni di carica spaziale. L’azione è esercitata mediante la ridistribuzione dell’elevato guadagno G del PMT, sugli ultimi dinodi. Consideriamo lo schema di polarizzazione di base proposto da Philips [35], per il PMT XP2012 a 10 dinodi. Si assuma il PMT investito da radiazione incidente di una certa lunghezza d’onda. I fotoelettroni inizialmente prodotti in quantità modesta, originano al fotocatodo la corrente Ik. Man mano che vengono accelerati dai potenziali dei dinodi, il loro numero aumenta sempre più, a causa del fenomeno dell’emissione secondaria, dando origine sull’anodo (dove vengono raccolti), ad una corrente Ia = G·Ik , vedi eq. (28). Ogni dinodo che precede l’anodo, contribuirà proporzionalmente al proprio guadagno gi , a variare la corrente nel nodo a cui è collegato. Il risultato è che i potenziali dei nodi cambiano e così pure giocoforza il guadagno gi . La situazione di bilancio delle correnti ai vari nodi del partitore è evidenziata in Figura 40, in questa si può notare che la corrente che circola sull’ultima resistenza (R11): ao I'I − diminuisce all’aumentare della corrente di anodo, d’altra parte la corrente totale Io quando Ik = 0 può essere calcolata per sovrapposizione degli effetti, dalla equazione (32) precedentemente ricavata:

∑=

⋅+

+=N

0iioo 1N

1 I)(

I'I .

In questo modo, essendo l’alta tensione di alimentazione costante, il potenziale di tutti i dinodi aumenta all’aumentare della corrente circolante nel PMT. La dipendenza del guadagno gi dalla tensione interdinodica, provocata dall’emissione secondaria, incrementa il guadagno complessivo G.

Figura 40 Distribuzione delle correnti nel partitore Passivo di tensione per il PMT, nel caso venga fatta circolare corrente continua all’anodo.

65

In questi casi, per ridurre le variazioni di guadagno si può far passare una corrente di partitore 100-volte superiore alla massima corrente di anodo. Tipicamente quando il rapporto Ia / Io = 0,1 , l’incremento di guadagno è circa del 7% per in PMT a 10 dinodi (si veda l’equazione approssimata (39)). Altri metodi di stabilizzazione come l’utilizzo di diodi zener tra fotocatodo e dinodo o addirittura tra dinodi, hanno lo svantaggio di non consentire una modificazione del guadagno del PMT al variare dell’alta tensione. Inoltre, sono dispositivi rumorosi, è nel caso di sovraesposizioni del fotocatodo, le elevate correnti sono mal sopportate da questi dispositivi.

4-8 La base di polarizzazione Attiva Le motivazioni precedenti, hanno indotto l’uso di componenti attivi per sopperire alle variazioni di tensione tra dinodi, dovute alla circolazione di corrente nel PMT. In questo caso, l’idea di collegare tra due dinodi consecutivi un transistor bipolare in configurazione collettore comune, come visibile nello schema di Figura 41, ha il pregio mantenere costante la tensione collettore-emettitore e quindi il guadagno gi dell’i-esimo dinodo, permettendo il variare della corrente che lo attraversa. Trascurando la corrente di polarizzazione di base rispetto a Ip del partitore, si ha che il potenziale Ve è pressoché identico a quello imposto dal partitore in ingresso VP, a meno di VBE. Il diodo, svolge il ruolo di protezione della giunzione base-emettitore, nel caso di applicazioni invertita della tensione di alimentazione. Un aspetto considerato nella scelta dei transistor è la capacità di sostenere le elevate tensioni interdinodiche, dell’ordine del centinaio di Volt, rispetto alla bassa corrente IC che lo attraversa, mediamente dell’ordine del centinaio di µA. Inoltre si cerca un elevato guadagno di corrente anche alle bassi correnti di polarizzazione.

Figura 41 Configurazione Collettore-Comune, adottata per i BJT della base attiva.

66

Un buon candidato è risultato il transistor bipolare FMMT458 della Zetex, il quale ha le seguenti notevoli caratteristiche : • VCEO = 400 Volt , ICDCmax = 225 mA, ICpeak = 1 A, PD = 500 mW • guadagno statico hFE di ~ 100 @ IC ≤ 1mA, Il dispositivo è disponibile in versione SOT23 per il montaggio superficiale, caratteristica molto utile per l’integrazione del sistema su un circuito stampato. Altri transistor sono stati considerati, come ad esempio il ZTX600B, un Darlington con VCEO = 160 Volt, hFE di ~ 1000, ma poco adatto ad essere utilizzato alle basse correnti di IC. Lo schema della base di polarizzazione Attiva per il PMT XP2012, è mostrato in Figura 42, assieme alla distribuzione delle correnti nei vari nodi. Per analizzare il funzionamento, si osserva che l’elevata amplificazione di corrente del transistor, consente di trascurare la corrente di base richiesta per polarizzarlo. Quando il PMT è attivato, l’ultimo transistor vede scorrere attraverso di esso la corrente IC = I’o - Ia - 1/2·Io mentre la tensione collettore-emettitore rimane costante, perché fissata dalla rete resistiva. I potenziali dei dinodi risultano così virtualmente indisturbati da qualsiasi variazione della corrente Ia, soddisfacendo alla richiesta di mantenere I’o > Ia . In atri termini, non è più necessario mantenere il rapporto tra Io / Ia ≥ 100 come per il caso della base passiva.

Figura 42 Distribuzione delle correnti nel partitore Attivo di tensione per il PMT, nel caso venga fatta circolare corrente continua all’anodo.

67

4-9 Misure di linearità di guadagno per l’XP2012, con basi di polarizzazione Passiva e Attiva

Per conoscere, come varia il guadagno del PMT XP2012, al cambiare della rete di polarizzazione Passiva e Attiva, si sono approntati i circuiti di Figura 43 e Figura 44, in essi sono mostrati i punti utilizzati per le misure. In particolare, per conoscere la corrente media Ia che circola nell’anodo, è stato posto in serie alla resistenza Ra = 10 K, un multimetro digitale portatile (con risoluzione di 100nA). L’impiego di un più preciso multimetro da laboratorio, sarebbe stato molto pericoloso, data l’elevata tensione anodica comparabile con la rigidità elettrica dell’isolamento galvanico rispetto all’alimentazione di rete, o peggio al collegamento GPIB.

Figura 43 Rete di polarizzazione Passiva utilizzata con il PMT XP2012, per il test della variazione di linearità di guadagno al variare della corrente di fondo Ia.

Per la rilevazione dei dati si e fatto uso del sistema di test semi automatico descritto nei paragrafi 4-2 e 4-5. Fissata l’ampiezza dell’impulso luminoso, la cui durata è di 1 µs e il periodo di 90 ms, si è variata la corrente di anodo, tra 0,1-150 µA. Ad ogni variazione di Ia, si è misurata l’ampiezza dell’impulso di tensione prelevato con un accoppiamento capacitivo, dall’anodo.

68

Figura 44 Rete di polarizzazione Attiva utilizzata con il PMT XP2012, per il test della variazione di linearità di guadagno al variare della corrente di fondo Ia.

Dalle specifiche del tubo fotomoltiplicatore XP2012 [35], si è determinato il valore dell’alta tensione HV = 950 Volt, affinché il guadagno sia fissato a G ~ 5·104. La scelta di realizzare i partitori di polarizzazione del PMT, con i medesimi valori resistivi, e stata fatta per meglio comparare i risultati, tra le due diverse basi di polarizzazione, a parità di potenza dissipata. In particolare, in condizioni di completa oscurità (Ia = 0), si è misurata un corrente Io di 280 µA e 270 µA, rispettivamente per le reti di polarizzazione Passiva/Attiva, che forniscono le potenze dissipate di 266 mW e 256 mW. Il calcolo della variazione di guadagno è stata fatta per differenza tra due consecutive misure d’ampiezza, rapportandole poi all’ampiezza massima rivelata in assenza di corrente di fondo. I diagrammi di Figura 45, mostrano la deviazione di linearità ∆G/G, in funzione della corrente media di fondo, circolante all’anodo. Per la base Attiva la deviazione risulta inferiore al 7%, su un ampia gamma di variazione compresa tra 0-30 µA, mantenendosi inferiore al 10% sino a oltre 100 µA. Ben diversa appare la situazione per la rete di polarizzazione Passiva, che a soli 10 µA già presenta una variazione del 12%, incrementandosi a 20 % solo al triplicarsi della stessa Ia.

69

Figura 45 Confronto tra la variazione di linearità di guadagno ∆G/G per il PMT XP2012,

come funzione della corrente di fondo, per le reti di polarizzazione Attiva e Passiva.

∆∆∆∆G/G vs Ianode

0

5

10

15

20

25

30

35

0,1 1 10 100 1000Ianode[µµµµA] (Log scale)

Gai

n Va

riatio

n (%

)

passive280uA

active270uA

∆∆∆∆G/G vs Ianode

0

5

10

15

20

25

30

35

0 20 40 60 80 100 120 140

Ianode[µµµµA] (Linear scale)

Gai

n Va

riatio

n (%

)

passive280uA

active270uA

70

4-10 Misure di linearità di guadagno per l’XP3062, con rete di polarizzazione Passiva e Attiva di tipo progressivo

Gli studi condotti dal gruppo di Roma [37], hanno permesso di definire il tipo di PMT che verrà impiegato nei rivelatori di fluorescenza del progetto Auger, esso è l’XP3062. A tal fine lo schema classico della rete passiva di polarizzazione del PMT, deve essere rivisto per due motivi almeno: • Il primo e che sia la disposizione dei piedini di interconnessione che la funzionalità

circuitale del nuovo PMT è cambiata. Esso ha 8 dinodi ed una griglia di messa a fuoco dei fotoelettroni prodotti dal fotocatodo.

• Secondo, è conveniente l’uso di una rete di polarizzazione di tipo progressivo. Per ridurre

ulteriormente l’aumento di guadagno che si ha all’aumentare della corrente media di anodo, di un fattore 2 [29].

I risultati promettenti ottenuti nel capitolo precedente, hanno indotto la realizzazione di nuove misure di linearità di guadagno per le nuove reti di polarizzazione Attiva e Passiva, di tipo progressivo. Nelle Figura 46 e Figura 47, sono mostrate le configurazioni circuitali appositamente realizzate per le misure. In evidenza sono indicati con U i potenziali tra dinodi; in corrispondenza degli ultimi, assumono un valore progressivamente più elevato, rispetto a tutti gli altri.

Figura 46 Rete di polarizzazione Passiva progressiva utilizzata con il PMT XP3062, per il test della variazione di linearità di guadagno al variare della corrente di fondo Ia

71

Figura 47 Rete di polarizzazione Attiva progressiva utilizzata con il PMT XP3062, per il test della variazione di linearità di guadagno al variare della corrente di fondo Ia

Dalle caratteristiche del tubo fotomoltiplicatore XP3062 [35], si è determinato il valore dell’alta tensione HV = 904 Volt, affinché il guadagno sia fissato a G ~ 5·104. In questo caso la comparazione tra le due versioni Attiva e Passiva di rete progressiva di polarizzazione, è stata sottolineata ulteriormente, dalla scelta di far scorrere una minore corrente nel partitore Attivo. Differenti valori resistivi rispettivamente di R = 511 KΩ e 301 KΩ, sono stati scelti per i partitori. In questo modo in assenza di corrente di anodo, la corrente Io circolante nei partitori è di 145 µA e 272 µA, producendo una dissipazione di potenza di 131 mW e 246 mW, rispettivamente per la base Attiva e Passiva. La significativa riduzione di potenza dissipata implicherà nella successiva fase di costruzione degli alimentatori per l’alta tensione, una riduzione dei costi richiesti per singolo canale. Le migliori caratteristiche della base Attiva nonostante un minore consumo di corrente, sono evidenziate nel grafico di Figura 48. In esso, si può notare come la variazione di linearità della base Attiva è pressoché nulla sino a valori di corrente Ia ≤ 10 µA, incrementandosi quasi linearmente oltre tale valore di corrente: all’1% a 20 µA, al 4% a 50 µA, per poi aumentare rapidamente. Le stesse variazioni di Ia, producono nella base Passiva variazioni di guadagno del 0,79% a solo Ia= 2µA, 1,59% a 3 µA, mantenendo successivamente una dipendenza logaritmica al variare della corrente di anodo, che in breve raggiunge il 7,4% a 11 µΑ. In altri termini, quando nella base Passiva si verifica un incremento del guadagno in corrispondenza di una corrente Ia /Io = 0,01 (Ia = 1%(Io )), il medesimo incremento di guadagno si verifica nella base Attiva a Ia /Io = 0,14 (Ia = 14%(Io )). La stabilità termica della base Attiva è stata altresì verificata variando la temperatura del sistema elettro-ottico tra 27°C ÷ 55°C. In ogni caso nessuna variazione di guadagno

72

dipendente dalla temperatura è stata osservata, probabilmente mascherata a causa della precisione nelle misure di ampiezza effettuate all’1,06%, dall’oscilloscopio digitale TDS220.

Figura 48 Variazione del guadagno (%) in funzione della corrente media di anodo, per entrambe le versioni di basi di polarizzazione Attiva/Passiva, di tipo progressivo.

Le rispettive correnti nei partitori sono di 272 µΑµΑµΑµΑ e 145 µΑµΑµΑµΑ.

73

4-11 Misure di rumore Per effettuare le misure di rumore, per le due diversi basi di polarizzazione del PMT XP3062, si è fatto uso di un multimetro digitale in grado di eseguire misure del valore quadratico medio della tensione prelevata all’anodo, con l’accoppiamento capacitivo indicato negli schemi di Figura 46 e Figura 47. Un tale strumento è l’URE3 della Rohde&Schwarz. Come e noto, le misure di rumore hanno senso su bande di frequenza finite, per questo motivo è stato interposto tra l’uscita del PMT e lo strumento un filtro integratore (cioè un PB), con costante di tempo variabile. Il valore è stato scelto in modo tale da approssimare ciò che il convertitore Flash ADC vedrebbe, dalla parte del sistema di acquisizione (Analog Board). Tale costante d’integrazione è stata fissata al valore τ = 100 ns, ossia alla frequenza di cut-off del filtro PB pari a 1,5 Mhz. Lo schema dell’intero apparato di misura e mostrato in Figura 49. Al variare della corrente di fondo, il cui valore medio è misurato interponendo in serie alla resistenza di anodo il multimetro portatile di precisione, si rileva il valore quadratico medio della tensione di rumore presente in uscita dal PMT. Come previsto nel paragrafo 3-6, ci si aspetta di avere una dipendenza della tensione di rumore, con la radice quadrata della corrente di fondo, simulata in questo caso dal background LED.

Figura 49 Schema impiegato per la misura di rumore.

Le misure effettuate con le basi di polarizzazione Attiva/Passiva, di tipo progressivo, hanno confermato la dipendenza suddetta, mentre i dati visibili nella Tabella 7 e Tabella 8, e riportato nel grafico di Figura 50, indicano che il rumore misurato e riferito all’ingresso, è pressoché identico per le due basi entro un margine di errore del 2%.

74

Passive 272µµµµA

Ibck[µµµµA] RMS[mV] contribution SQRT Ibck Ibck

0,1 1,17 0,2 1,19 0,22 0,45 1 1,21 0,31 1,00 5 1,37 0,71 2,24

10 1,54 1,00 3,16 20 1,84 1,42 4,47

Tabella 7 Misure di rumore per la base di polarizzazione Passiva (Io = 272 µA).

Active 145µµµµA Ibck[µµµµA] RMS[mV] contribution SQRT

Ibck Ibck 0,1 1,08 0,2 1,09 0,15 0,45 1 1,11 0,26 1,00 5 1,24 0,61 2,24

10 1,4 0,89 3,16 20 1,73 1,35 4,47

Tabella 8 Misure di rumore per la base di polarizzazione Attiva (Io = 145 µA).

Figura 50 Comparazione della dipendenza del rumore dalla corrente di fondo Ia = Ibck, per le due basi di polarizzazione Attiva/Passiva. Si noti la dipendenza lineare del rumore dalla √Ibck

Noise vs Sqrt(Ibck) @ different Base

0,00

0,20

0,40

0,60

0,80

1,00

1,20

1,40

1,60

0,00 1,00 2,00 3,00 4,00 5,00sqrt(Ibck)

sqrt

(Vrm

s2 -Vrm

s02 ) Active @ 165uA

Passive @ 280uALinear-ActiveLInear-Passive

75

Capitolo 5

Monitoraggio della corrente di anodo in un tubo fotomoltiplicatore con catodo a massa

5-1 Introduzione In questo capitolo, si analizzata la possibilità di misurare direttamente, la corrente media circolante all’anodo del PMT, nonostante questo si trovi ad alta tensione. Possiamo dare una quantità notevole di argomentazioni, per giustificare questo studio. Cominciamo con il considerare le precedenti esperienze di tecniche di fluorescenza, applicate all’osservazione di sciami atmosferici di particelle, in particolare l’esperimento Fly’s Eye. La tecnica utilizza per la misura della corrente media di anodo si avvale indirettamente della misura del rumore. Infatti, come già mostrato nei capitoli precedenti, la dipendenza del rumore dalla corrente media circolante all’anodo è legata alla radice di quest’ultima. Questa tecnica è ormai conosciuta da molto tempo, è sarà altresì impiegata anche nel progetto Auger e confrontata con la misura diretta della corrente. Una non correlazione della fluttuazione con la bckgndI dovrebbe indica la presenza di rumore in eccesso, possibilmente di origine digitale. I vantaggi nella misura diretta della corrente d’anodo sono: - La possibilità di osservare contemporaneamente molte stelle (ad esempio quelle Blu)

seguendo il loro spostamento da pixel a pixel, fornirebbe una notevole quantità di dati per un perfetto allineamento in tempo reale dei telescopi, o ancora una ricostruzione delle dimensioni dello spot.

- Di controllo, sulla presenza di altre sorgenti di rumore, che potrebbero inficiare le misure

statistiche standard, come suggerito da G. Cassiday [38]. - L’immediatezza della misura diretta, al fine di soglie di allarme per malfunzionamenti

nell’elettronica di Front-End, attivando azioni protettive come lo spegnimento dell’alta tensione.

- Equivalente sistema di misura che si propone come alternativa e non di sostituzione, al

fine di misurazioni comparate di autocalibrazione, o per interagire con il sistema di trigger.

- Di memorizzazione, verso separata strada, della carica totale accumulata all’anodo,

durante l’intero ciclo quindicinale di funzionamento di tutti (o parte) i PMT. Questo consentirebbe di formare un’importante e statistica sulle reali caratteristiche dei PMT.

76

- Monitoraggio del deterioramento delle caratteristiche del tubi fotomoltiplicatori, e previsione nella loro sostituzione o semplicemente riallocazione nella griglia dello specchio, ad intervalli regolari di tempo (ogni due o più anni).

Nel paragrafo precedente, lo studio della polarizzazione più adatta al PMT, ha portato alla definizione di una base di polarizzazione Attiva con partitore di tensione di tipo progressivo. La polarità positiva, imposta ai capi del PMT, è stata scelta in modo da mantenere il fotocatodo a potenziale di massa e l`anodo al potenziale positivo. Questo per ridurre i problemi di deposito elettrostatico e il tempo necessario allo stabilizzarsi delle correnti nel PMT. Si pongono allora due problemi: - Il primo, è di natura precauzionale, dato che occorre prelevare all’anodo il segnale rivelato

dal PMT mediante un accoppiamento capacitivo ad alto isolamento, poiché questo si trova ora ad elevato potenziale positivo ( ~ 1Kvolt);

- Il secondo, costituisce il più importante ostacolo alla misurazione diretta della corrente

media nell’anodo, è che il sistema lettura deve operare anch’esso ad elevato potenziale. Per tutti questi motivi, si è pensato di misurare direttamente la corrente di anodo, sviluppando un sistema di lettura di nuova concezione, che ha due terminali d’ingresso di tipo passivo, collegati in serie all’ultimo elemento della rete di polarizzazione; a loro volta otticamente accoppiati, ma altamente isolati, ad un circuito attivo che si trova al potenziale di catodo (massa) [39]. Nel paragrafi che seguono, sono presentati dapprima una descrizione teorica di funzionamento del Current Monitor. Successivamente si discutono i risultati delle simulazioni con PSpice, che portano alla ottimizzazione del progetto. La realizzazione di un prototipo pilota, costituisce il punto di partenza per effettuare delle misure, le quali sono realizzate mediante un nuovo programma di acquisizioni dati sviluppato con LabView ed un nuovo sistema automatico di misura completamente controllato tramite interfacciamento GPIB ad un calcolatore. Le misure di linearità e stabilità termica offrono i dettagli delle ottime caratteristiche del Current Monitor in relazione alle specifiche del progetto Auger, che hanno valso l’apparizione di un articolo su Nuclear Instruments & Methods in Physics Research A [40].

77

5-2 Teoria del Current Monitor Nota la ripartizione delle correnti, nella rete di polarizzazione Attiva/Passiva, l’idea di misurare la corrente I’0 - Ia che circola nell’ultima resistenza (R9), facendone poi la differenza con la corrente I’0 che richiude la rete di polarizzazione verso massa, fornisce immediatamente la corrente Ia circolante nell’anodo. Il problema a causa dell’alta tensione, consiste proprio nella misura della corrente I’0 - Ia. Questo è stato risolto attraverso uno speciale specchio di corrente accoppiato otticamente (Optically-coupled Current Mirror, OCM). In Figura 51, è visibile il sistema di misura e il ruolo svolto dallo specchio di corrente all’interno della rete di polarizzazione passiva, per un PMT a 8 dinodi.

Figura 51 Schema di principio dello specchio di corrente, posto in serie all’ultima resistenza della rete di polarizzazione. L’AO consente di produrre una tensione

proporzionale alla corrente di anodo.

78

Lo specchio di corrente (OCM), consiste in un amplificatore di corrente retroazionato, in cui sia l’amplificatore che il circuito di retroazione, sono otticamente isolati. In particolare, come visibile nello schema di principio di Figura 52, nella prima versione dell’amplificatore di corrente e realizzato dalla coppia foto accoppiatore TLP523 e transistor 2N3904; quest’ultimo è stato posto per aumentare ulteriormente il guadagno. La rete di retroazione è svolta da uno speciale foto accoppiatore, l’IL300 (Siemens), il quale ha il pregio di specchiare la corrente IL2 circolante attraverso il LED L2, nelle due correnti IPD1 e IPD2 dei due fotodiodi PD1 e PD2, attraverso due fattori di accoppiamento K1 e K2 rispettivamente. La specialità di detto componente è dovuta in gran parte al fatto che il rapporto: K3 = K2 / K1 si mantiene costante entro l’1,5 %, al variare della temperatura su un’intervallo molto ampio (0° - 75° C), che eccede le specifiche di utilizzo nell’elettronica per il FD (25 ± 3° C).

Figura 52 Principio di funzionamento dello specchio di corrente (OCM). L’anello di reazione sensibile alla corrente è in grado di stabilire

la corrente IL2 necessaria all’approssimazione: IPD1 ~ I. Ulteriormente, tale rapporto può essere scelto prossimo all’unità, mediante la scelta di una particolare opzione nella selezione dei componenti (Bin selection). Una scelta analoga può essere fatta sul tipo di contenitore più adatto al montaggio superficiale, nonostante siano mantenute le caratteristiche di elevato isolamento elettrico (5300Vacrms per 1 s). Passiamo ora al circuito equivalente a quello di Figura 52, e visibile in Figura 53. Dalle specifiche di funzionamento del TLP523 si ha che la caratteristica del fotodiodo diviene lineare al superamento di una soglia di tensione. Questa tensione, si traduce nell’equivalente corrente ITH necessaria, attraverso il fotodiodo al superamento di tale soglia.

79

Figura 53 Schema equivalente a blocchi, dello specchio di corrente. Sia l’amplificatore ad anello aperto che il blocco di retroazione sono accoppiati otticamente. La corrente IPD2 grazie anche al fattore K3, è linearmente legata a IPD1 ~ I. Dallo schema a blocchi, definiamo i seguenti parametri: Guadagno di corrente ad anello aperto AI = IL2 / IL1 AI ≅ 104. E’ calcolato dal prodotto dei guadagni del fotoaccoppiatore TLP523 (GTLP = 200) con quello del transistor 2N3904 (hFE ≅ 50). Fattore di retroazione β = K1. Il coefficiente K1 è determinato dalle caratteristiche di accoppiamento ottico tra il LED L2 e il fotodiodo PD1 nell’IL300. Analogamente per gli accoppiamenti K2 e K3 : K1 := IPD1 / IL2 ……………… (K1 Typ ≅ 7/103), K2 := IPD2 / IL2 ……………… (K2 Typ ≅ 7/103), K3 := K2 / K1 = IPD2 / IPD1…… (K3 Typ ≅ 1). Segnale differenza IL1. Guadagno di corrente ad anello chiuso ACL = IL2 / (I - ITH )

β+=

⋅β+=

−=

I

I

I

TH

2LCL 1

11

AA

AII

IA

che si semplifica ulteriormente per AI >> 1, all’espressione:

80

(57)

rendendo valida l’approssimazione che, consente di misurare la corrente d’ingresso nonostante l’isolamento ottico: (I - ITH) ≅ IPD1. A partire da:

⇒⋅−⋅=⋅== 2THCL22Lo

2PD RK)II(AKI

VI

⇒+

⋅⋅−=⋅−⋅+

= )

KA

(KK

)II(K)II()K

A

(V

1I

1

2TH2TH

1I

o

11

11

1R

La tensione Vo in uscita dall’amplificatore operazionale, si può scrivere

(58)

dove '3K

KA

K ⋅=+

⋅⋅= R11

1RR

II

3eq

siamo così giunti alla semplice equazione lineare che lega Vo alla corrente (I - ITH) circolante all’ingresso dello spechio di corrente.

5-3 Simulazione con PSpice dello specchio di corrente Come mostrato nello schema di Figura 54, si è approssimato lo schema teorico progettato nel paragrafo precedente, a causa della mancanza di modelli specifici dei componenti optoelettronici, data la loro recente immissione sul mercato. Tuttavia, si sono operate delle sostituzioni che non inficiano il senso della simulazione. Per sopperire al diverso guadagno del foto accoppiatore 4N35 nei confronti del TLP523, si è introdotto un amplificatore di corrente, costituito dall’AO LM324 e dal BJT 2N3904. Lo speciale foto accoppiatore IL300, è stato altresì sostituito da due 4N35, in questo modo risulta che la caratteristica di accoppiamento tra i due foto diodi e tale che K3 ≅ 1.

1CL

11K

A =β

)I(I)

KA

(K)I(IV eq TH

II

3THo R11

1R −⋅≅+

⋅⋅⋅−=

81

La prima simulazione (DC Analysis), ha permesso di conoscere le condizioni stazionarie a cui l’intero circuito si porta, dopo l’istante iniziale. In Tabella 9, sono riportati alcuni valori delle correnti in uscita dai fotoaccoppiatori, per differenti condizioni iniziali della corrente d’ingresso Ik. Il valore trovato di IL1 = 15 µA, sottolinea una soglia nel funzionamento dello specchio di corrente, al dì sotto della quale, vengono perse le sue caratteristiche di linearità. Questo conferma la teoria, che prevedeva tale corrente ITH. Il calcolo dell’errore relativo nella misura di Ik, è stato fatto mediante la formula:

k

k2PD100ErrI

II(%)

−⋅= ,

Tale errore, sottolinea la necessità di disporre di un foto accoppiatore in ingresso, in grado di funzionare con una bassissima corrente IL1. In questo modo, lo specchio di corrente, potrà risponde linearmente su un’ampia gamma di variazione di corrente, anche per correnti deboli al di sotto di 15 µA. Per quanto riguarda il fattore K3 := IPD2/IPD1 , esso risulta pressoché indipendente dalle variazioni di corrente, su un’ampia gamma, attestandosi nel caso simulato al valore K3 ≅ 1,19. Ik µA IPD1(reaz) µA IL1 (in) µA IPD2 (out) µA Err (%) K3 10 0,00349 10,0 0,00387 99,9 1,108 20 5,05 15,0 6,4 74,7 1,267 30 15,0 15,0 17,9 50 1,193 40 25,0 15,0 29,8 37,5 1,192 50 35,0 15,0 41,7 30 1,191 100 84,9 15,1 101,1 15,1 1,191 200 184,7 15,3 219.8 7,6 1,190 300 284.6 15,4 338,6 5,1 1,189 400 384.5 15,5 457,3 3,8 1,189 500 484,4 15,6 576,0 3,1 1,189 600 584,3 15,7 694,7 2,6 1,189 700 684,2 15,8 813,4 2,2 1,188 800 784,1 16,0 932,1 1,9 1,188

R = 10 MΩ Gain = 105

Tabella 9 Tabella riassuntiva relativa ai Bias Point, calcolati per differenti valori iniziali di Ik..

Successivamente si è eseguita una analisi parametrica (Parametric Analysis), che al variare della corrente d’ingresso Ik da 1 – 500 µA, modifica il valore della resistenza di reazione dell’amplificatore di corrente, da 1KΩ – 10MΩ. In questo caso il grafico di Figura 55, evidenzia la dipendenza dell’errore relativo Err(%) dal guadagno ad anello chiuso AI dell’amplificatore di corrente. Il grafico di Figura 56, mostra l’andamento lineare della tensione d’uscita VOUT, in funzione di un’ampia variazione della corrente d’ingresso Ik. La non linearità nel funzionamento dello specchio di corrente, dipende molto dal guadagno. Per minimizzare la soglia di corrente ITH, occorre massimizzare il guadagno dell’amplificatore di corrente.

82

Infine è stata fatta una analisi al transitorio (Transient Analysis), simulando una perturbazione impulsiva della corrente di 215 nA, sovrapposta ad un valore medio di 100 µA. Il risultato della simulazione visibile in Figura 57, ha evidenziato la tendenza ad auto oscillare (f0 = 30 KHz) dello specchio di corrente, e l’effetto di una variazione della capacità Cf nella rete di reazione dell’AO. Per evitare questo inconveniente si è introdotto un polo dominante a bassa frequenza, introducendo in parallelo alla resistenza Rf una capacità Cf di 100 pF (ft = 160 Hz)(*). I risultati ottenuti hanno incoraggiato ad una progettazione più specifica, ed una ricerca sperimentale atta a confermare i risultati simulati, questo è ciò che verrà fatto nei paragrafi successivi.

Figura 54 Schema dello specchio di corrente, utilizzato nelle simulazioni con PSpice.

(*) f0 f=1/2π Rf Cf @ (Rf = 10MΩ , Cf =100pf) = 160 Hz.

83

Figura 55 Errore relativo Err(%) nella misura della corrente d’ingresso Ik, al variare del guadagno di corrente (AI) tra 10 e 105.

84

Figura 56 Dipendenza lineare della tensione Vout dalla corrente d’ingresso Ik, al variare del guadagno di corrente (AI) tra: 10 e 105. Si può osservare come la soglia di funzionamento

lineare, si riduca all’aumentare del guadagno.

85

Figura 57 Risposta ad un perturbazione impulsiva di corrente (215 nA), sovrapposta ad un valore medio di 100 µA, al variare delle capacità (Cf tra 0-100p-100n F).

5-4 Sistema semi-automatico di acquisizione dati, controllato da LabVIEW

Al fine di automatizzare l’acquisizione dei dati sperimentali mediante un PC, è stato sviluppato un nuovo virtual instrument (Opto_lin.vi), dedicato al controllo di tre strumenti programmabili secondo lo standard di comunicazione GPIB. La visione del pannello è in Figura 58, esso consente di : 1. Definire i limiti di tensione massimo, minimo e step di incremento, al fine di conoscere il

numero delle misure da effettuare. 2. Impostare l’alimentatore programmabile tipo HP E3631A. 3. Dopo un ritardo di tempo definibile, eseguire due misure di tensione, da due differenti

multimetri digitali tipo HP 34401A e RS URE3.

86

4. Mostrare i risultati delle acquisizioni via via accumulate, in un grafico. 5. Concatenare i dati ricevuti in una stringa, e memorizzarli in un file definibile

dall’operatore, in modo da essere successivamente importato da un qualsiasi programma di elaborazione dati (ad esempio Excel), per una analisi qualitativa e/o grafica.

6. Iterare i quattro punti (2-5) precedenti, sino al raggiungimento della massima tensione

impostata per l’alimentatore, ed avvisare al completamento del ciclo di misura. Nel sistema automatico non è stato implementato il controllo del Frigo-Forno della Vötsch, dato che esso non aveva alla data delle prove sperimentali, un’opportuna interfaccia di programmazione GPIB o RS232.

Figura 58 Visione del Virtual Instrument , utilizzato durante il test di linearità e stabilità termica dello specchio di corrente.

Nella Figura 59, è visibile il Diagram del programma di controllo, realizzato nel linguaggio G. In esso si possono osservare sulla sinistra i controlli preliminari, eseguiti sui valori da impostare nell’alimentatore. Sulla destra appare invece, il loop delle operazioni da eseguire in

87

sequenza, in particolare e visibile lo stato (6), relativo alla formattazione della stringa dei dati acquisiti, per la loro memorizzazione su file. Nella parte superiore, al di fuori del ciclo sono visibili i riferimenti ai tre strumenti GPIB utilizzati.

Figura 59 Diagram del programma Opto_lin.vi . In particolare è visibile lo stato (6°), relativo alla formattazione e memorizzazione dei dati acquisiti, su file.

5-5 Verifica sperimentale del sistema di monitoraggio della corrente di anodo

Tenuto conto dei risultati delle simulazioni, è stato progettato e realizzato il Current Mirror visibile in Figura 60, per verificare la linearità e la stabilità in temperatura. La scelta dei componenti ha seguito il seguente criterio: - Il transistor TR1 2N3904 è stato posto per poter amplificare ulteriormente il segnale in

uscita dal foto darlington contenuto nel TLP523. - La resistenza da 220 Ω posta in serie al fotodiodo dell’IL300c è di protezione, in modo da

limitare la massima corrente (50 mAmax), che potrebbe circolarvi.

88

- La resistenza da 50 KΩ, in congiunzione con l’alimentatore programmabile sino a 25V, consente di iniettare all’ingresso del Current mirror una corrente di prova al massimo di 500 µA.

- All’uscita dell’AO LF356, viene prelevata la tesione Vout. Per sfruttare tutta la dinamica possibile compatibilmente con l’alimentazione imposta di ±12 V, è necessario non superare i 10 Volt. Tale condizione è stata rispettata se, con la corrente d’ingresso di 500 µA, si sceglie Rf = 20KΩ.

- Per facilitare la misura della corrente iniettata in ingresso, si preferisce eseguire una misura della caduta di tensione ai capi della resistenza postavi in serie. Il prelievo dell’informazione avviene con una resistenza da 1KΩ, affinché con la capacità del cavo di misura, si formi un semplice filtro PB, che tagli eventuali disturbi RF.

- Per assicurare un perfetto specchio di corrente, si è polarizzato il fotodiodo PD2 dell’IL300c con una tensione inversa di circa 1 V, ricavata dalla stabilizzazione della tensione di accensione di un LED rosso, al fine di avere le medesime condizioni del fotodiodo PD1.

Figura 60 Schema del Current Mirror (OCM), impiegato per le misure della sua caratteristica d’ingresso/uscita a differenti temperature. Nell’angolo in basso a destra è visibile l’abilitazione alla tensione di Bias per il fotodiodo PD2 (pin5-6 IL300c), così come è stata posta durante le misure.

89

Le misure, sono state eseguite con il sistema di acquisizione visibile in Figura 61, in esso appare in evidenza la camera climatica VT7004 della VÖTSCH, all’interno della quale è stata valutata la dipendenza della caratteristica d’ingresso/uscita dell’OCM, su un campo di temperatura compreso tra –10° e +50° C.

Figura 61 Visione del sistema di test-termico, usato per la caratterizzazione dello specchio di corrente (OCM).

90

La sequenza con cui si è variata la temperatura, è stata la seguente: - prima salendo dalla temperatura ambiente, dove è stata fatta un prima misura sino a

+50°C, - poi ritornando alla temperatura ambiente, dove è stata rifatta la misura, scendendo sino a

-10°C, - infine ritornando alla temperatura ambiente, dove è stata fatta un’ultima misura. Le tre misure a temperatura ambiente non hanno evidenziato nessuna isteresi termica, mentre le caratteristiche dell’OCM alle varie temperature, sono riassunte nel grafico di Figura 62. In esso appare evidente la corrente di soglia ITH ≅≅≅≅ 80 µµµµA, al di sotto della quale si perdono le caratteristiche di linearità. Tuttavia, lo specchio di corrente è stato pensato per misurare la corrente I’o - Ia, interponendosi in serie all’ultima resistenza della rete Passiva di polarizzazione, sempre superiore a 80 µA. Se la tipica corrente circolante nel partitore in assenza di corrente d’anodo è Io ≅ 250 µA, allora si ha a disposizione una variazione di corrente di 170 µA, nella regione lineare di funzionamento dell’OCM. Questo valore è 20 volte superiore(*) alla massima variazione di corrente provocata dall’anodo!. Un utile parametro che caratterizza l’OCM è la sensibilità. Dall’equazione (58), nella regione lineare di funzionamento si ha che Vo = RK3·(I - ITH ) , da cui definendo la sensibilità dell’ingresso di corrente, come:

S = (∆Vo / ∆I) = '3K

KA

K ⋅=+

⋅⋅ R11

1R

II

3 ,

nel caso testato con Rf = 20 KΩ e K3 = 0,7 è di S = 14 mV/µµµµA, ma può essere ulteriormente aumentata a S = 700mV/µA (@ Rf = 1MΩ). La variazione di sensibilità, nel campo di variazione termica compreso tra –10° C e +50° C, è solo dell’1%, mentre la soglia di corrente ITH, varia del 2% tra 0° C e 35° C (12,9% ,tra –10° C e 50° C). In Figura 63, è mostrata la variazione percentuale di sensibilità dovuta alla diversa polarizzazione inversa del secondo fotodiodo PD2 dell’IL300c. L’effetto, produce un decremento della sensibilità del 3% senza alcuna variazione della linearità. Per questo motivo nelle successive prove condotte, si è eliminata tale polarizzazione ponendo a massa l’anodo dei fotodiodo PD2. La deviazione dalla linearità nella misura della corrente d’ingresso, visibile nel grafico di Figura 64, è stata verificata anch’essa nel campo di temperatura tra –10° C e +50° C, riportando una variazione contenuta entro lo 0,2%, in un grande campo di variabilità della corrente d’ingresso tra 150 µA e 400 µA.

(*) 4,3 pA x 50000 x 30 = 6,45 µA 170 µA / 6,45 µA ≥ 20

91

Figura 62 Caratteristica d’ingresso/uscita dello specchio di corrente al variare della temperatura tra –10° e +50° C. Il confronto con la retta che approssima i dati alla temperatura di 30° C, mostra un dispersione della sensibilità di circa 1,5%. La sequenza di temperature indicate nella legenda, è quella realmente impostata durante le misure, al fine di verificare eventuali isteresi termiche.

-30

0

30

60

90

120

150

180

210

240

270

0 100 200 300 400

Iin [µµµµA]

I out [

µ µµµA]

30.13°C

40.51.°C

50.39°C

10.38°C

0,0°C

-10.38°C

Fit30º

FIT Parameters @ 30º C:

Slope: 0.742 ± 0.001Intercept: -57.7± 0.3

92

Figura 63 Caratteristica d’ingresso/uscita dell’OCM alla temperatura ambiente, per due differenti polarizzazioni inverse del fotodiodo PD2 (No LED shorted ~ 1V, LED shorted = 0 V), e variazione percentuale della sensibilità (3%).

Sensitivity variation(%) vs Iin (@ 22,33°C)

-2

0

2

4

6

8

10

12

418

392

365

338

312

285

259

232

205

179

152

12699734620

Iin[µµµµA]Vo

ut[V

]

-3,5

-3,0

-2,5

-2,0

-1,5

-1,0

-0,5

0,0

Var(

%)

No LED

LED shorted

Sensitivity Variation

Vout

93

Figura 64 Deviazione della linearità (%), nella misura della corrente d’ingresso Iin, per differenti temperature: 50° C, 30°C e –10°C.

-2

0

2

4

6

8

10

12

14

90 190 290 390

Iin [µµµµA]

(I out

-I fit)

/I fit

(%) 30.13ºC

-10.38ºC

50.39ºC

94

5-6 Test del Current Monitor alle condizioni di funzionamento dell’ FD del Progetto Auger

Per verificare l’effettiva capacità dell’OCM, nella misura della corrente media d’anodo, lo si è interposto in serie alla rete di polarizzazione Attiva di tipo progressivo, per il PMT XP3062. Completando il circuito con l’AO che consente di convertire la differenza di corrente, presente nel nodo di massa virtuale, nella tensione Va, si è costruito il vero sistema di misura della corrente d’anodo ossia il Current Monitor. Il Current Monitor propriamente detto è basato sulla misura della differenza tra la corrente I’o – Ia – ITH misurata dall’OCM e quella di ritorno verso massa I’o – ITH , della catena di polarizzazione come visibile nello schema di Figura 65.

Figura 65 Schema del Current Monitor, applicato alla rete di polarizzazione Attiva (XP3062).

Utilizzando il sistema di test elettro-ottico, precedentemente descritto nel capitolo relativo alla polarizzazione del PMT, si sono effettuate alcune misure della corrente d’anodo in relazione alla tensione Vo in uscita dal Current Monitor, per verificare:

95

1. linearità, 2. azzeramento nella tensione d’uscita in condizioni di buio, 3. assenza di isteresi nell’intera catena di misura. Per questi motivi, dopo aver scelto il valore dell’alta tensione che definisce il guadagno del PMT (XP3062) a G = 5·104 cioè HV = 904 V,e il valore di Rf = 200 KΩ per avere un fondo scala di circa 50 µA (ben al dì sopra della massima corrente d’anodo prevista dal progetto dell’FD), si è determinato il valore della resistenza RTH. Essa ha il compito di annullare la tensione Vo in corrispondenza di Ia = 0. La procedura di azzeramento è semplice: in assenza di corrente d’anodo e di RTH si misura Vo. Risolvendo l’equazione lineare, che deriva dal bilancio delle correnti nel nodo di massa virtuale: RTH = Rf.·Valim/ Vo , dove Valim = -12 V Si trova il valore di RTH voluto (tipicamente per ITH = 80 µA Rf = 150 KΩ). Le misure vengono fatte inducendo una variazione della corrente d’anodo tramite una variazione della luminosità del solo Background LED (nessun impulso). La corrente è stata prima aumentata, poi decrementata ed infine incrementata nuovamente, tra 0 e 45 µA. Il grafico di Figura 66, mostra l’andamento lineare, nella caratteristica d’ingresso/uscita del Current Monitor. L’andamento della tensione misurata all’uscita dell’AO e stata resa in funzione della effettiva corrente media circolante nell’anodo del PMT, misurata interponendo in serie alla resistenza Ra dell’anodo, un multimetro digitale portatile (HP971A), con precisione di ± 100 nA. La retta che interpola linearmente i dati delle misure, mostra una sensibilità del Current Monitor di S = 214 mV/µµµµA, ed una tensione di soglia di VTH = 32 mV.

96

Figura 66 Misura della tensione d’uscita del Current Monitor in funzione della corrente d’anodo, per la base di polarizzazione Attiva (PMT XP3062). La sensibilità è di 214 [mV/µA].

0

2

4

6

8

10

12

0 10 20 30 40 50

Ianode [µµµµA]

V out

[V]

Up

Down

Up

Linear (Up)

y = 0,2137x + 0,0317

97

5-7 Scelta del migliore fotoaccoppiatore da utilizzare nell’OCM

L’insieme di risultati ottenuti dalle simulazioni e dai test di laboratorio condotti nei paragrafi precedenti, mostrano per il Current Monitor una linearità e sensibilità indipendenti dalle variazioni termiche. Pur tuttavia, rimane ancora evidente la dipendenza della corrente di sogli ITH dalla temperatura. La causa di tutto ciò è da imputarsi all’elevata dipendenza dalla corrente inversa ICBO, nel foto transistor contenuto nel TLP523. Tale corrente viene amplificata di β2 volte, nell’ipotesi che i due successivi transistor configurati come Darlington abbiano lo stesso β, in più ogni transistor contribuisce con la propria corrente ICBO. Per ovviare a tutto ciò, si adotta la seguente soluzione: Si individua il foto accoppiatore che ha le migliori caratteristiche in termini di minima corrente d’ingresso necessaria al funzionamento. Per questo vengono testati i fotoaccopiatori di Tabella 10,ed il TLP127 della Toshiba risulta il migliore oltre ad avere un contenitore adatto al montaggio superficiale (utile in fase di realizzazione dei circuiti stampati).

Tabella 10 Caratteristiche principali dei foto accoppiatori testati

Successivamente si polarizza il foto accoppiatore, con la minima tensione che ne garantisce il funzionamento. Per questo si introduce la serie di due diodi tipo 1N914 polarizzati direttamente, per generare la tensione stabilizzata di ~ 1,2 V da applicare all’emettitore del foto transistor. Infine si decide l’uso di un doppio AO con bassissima corrente di offset, elevato guadagno ad anello aperto e discreta capacità di sink/source current in uscita (≥10 mA), dato che quest’ultima dovrà pilotare il transistor e un’uscita, verso l’elettronica di acquisizione posta ad un certa distanza dalla HE. L’amplificatore Operazionale tra quelli comparati in Tabella 11, è risultato l’AD822 della Analog Device:

Tipo Case Type opto-sensor Transfer Gain% Min. Current SFH608-3-X001 Dil-6 Single Foto-Transistor 100-200% 1 mA HCPL4562 Dil-8 Single Foto-Diode 45% 0,1 mA IS4N46 Dil-6 Single Foto-Darlington 350% 0,5 mA IS203 Dil-8 Dual Foto-Transistor 225% 10 mA TLP127 Smd-4 Single Foto-Darlington 1000% (- 4000%) 1 mA (10 mA) TLP523-2 Dil-8 Dual Foto-Darlington 2000% 10 mA

98

Type Case Input Bias current Max Output current AD648JR SOIC - 8 Dual 10 pA 5 mA AD822JR (Rail-to-Rail) SOIC - 8 Dual 25 pA 15 mA Minimum TL052ACD SOIC - 8 Dual 30 pA 4 mA

Tabella 11 Comparazione delle caratteristiche di alcuni Amplificatori Operazionali, considerati nella progettazione del Current Monitor.

Realizzato un prototipo da laboratorio, con le scelte di componenti fatte e un guadagno ad anello aperto AI = 106 @ (Rf = 100 MΩ e Re = 100 Ω), l’OCM è risultato instabile dato la presenza in uscita, di un’oscillazione alla frequenza f0 = 100 KHz. Per assicurare la stabilità, si è introdotto un polo dominante, ponendo una capacità Cf in parallelo alla resistenza Rf di contro reazione dell’AO. In questo modo, sfruttando il criterio di stabilità nei sistemi reazionati, che impone di raggiungere l’amplificazione unitaria con un margine di fase di 45°, è bastato spostare l’attuale f0 in cui si raggiungono i 180° di sfasamento a un frequenza f’0 almeno due decadi più bassa. Portando alla scelta del valore capacitivo(*) di Cf = 100 pF.

(*) f’0 < 1Khz Cf > 1/(2π·Rf·f’0) =2 pF Cf = 100pF.

99

5-8 Caratterizzazione del Current Monitor per la misura di basse correnti

Per valutare l’effettivo miglioramento nelle prestazioni dell’OCM, alle basse correnti d’ingresso, si è realizzato il circuito OCM di Figura 67. In esso si possono osservare le innovazioni apportate all’amplificatore di corrente, costituito ora dall’AO AD648, e alla rete di polarizzazione del foto Darlington per ridurre la corrente inversa ICB0. La caratterizzazione del circuito è stata fatta con il sistema di test elettro-ottico, descritto nel paragrafo 4-2. Si sono eseguite delle variazioni della corrente d’ingresso tra 0 – 100 µA, con step di incremento molto piccoli (∆Iin = 1 µA) per poter avere una accurata visione delle caratteristiche.

Figura 67 Schema elettrico dell’OCM impiegato per le misure di base correnti (< 30 µA).

Il risultato visibile in Figura 68, mostra l’elevata linearità della caratteristica d’ingresso/uscita in comparazione con la retta lineare che interpola i dati delle misure di correnti tra 40-100 µA. La sensibilità è S = 100 [mV/µµµµA] mentre la corrente di soglia ITH =4,3 µµµµA si è ridotta di un fattore 18 con il nuovo AO AD648 e il foto accoppiatore della Toshiba TLP127. Le caratteristiche osservate, in congiunzione con i test termici precedentemente condotti, garantiscono il funzionamento dell’OCM, all’interno del sistema di misura della corrente d’anodo, ossia del Current Monitor. Esso è stato integrato in un unico schema elettrico, assieme al circuito dedicato alla trasmissione del segnale rivelato, la cui descrizione verrà fatta nel prossimo capitolo.

100

Figura 68 Misura della linearità nella caratteristica d’ingresso/uscita dell’OCM per basse correnti d’ingresso. La sensibilità è S = 100 mV/µA mentre la corrente di soglia ITH = 4,3 µA.

101

Capitolo 6

Trasmissione del segnale rivelato

6-1 Finalità In questo capitolo si progettano le rimanenti parti dell’elettronica di Front-End (HE)[41] necessarie al test e alla trasmissione del segnale, consentendo di completare definitivamente gli schemi elettrici dell’intera elettronica. Tale necessità è dovuta alla successiva costruzione di prototipi da testare in campo e non più in laboratorio. Nel paragrafo 6-2, si definisce l’elettronica dedicata alla trasmissione del segnale rivelato dal PMT verso il sistema di acquisizione ed elaborazione del segnale (Analog Board). Questo è stato fatto mediante una interfaccia che conserva il più possibile il segnale in tutta la sua estensione dinamica, mantenendo un’elevata reiezione al rumore di modo comune introdotto dall’alimentazione ad alta/bassa tensione, e da altre sorgenti. Successivamente, la equalizzazione del guadagno dei tubi fotomoltiplicatori risulta un aspetto da considerare per una accurata calibrazione della HE. Questo aspetto sarà descritto nel paragrafo 6-3 assieme alla riduzione del rumore che questo accorgimento avrà. Nel paragrafo 6-4, vengono discussi gli importanti di aspetti di calibrazione e/o controllo della catena di trasmissione. Infatti, il numero elevato di unità che verranno impiegate (≅16000) e la necessità di calibrazioni durante il periodo di utilizzo dei rivelatori di fluorescenza, rendono necessaria la presenza di un circuito di iniezione del segnali di test, per separare la risposta elettrica da quella ottica. Questo circuito è sufficientemente elaborato da poter simulare un impulso di fluorescenza, in modo da poter controllare :

- l’elettronica di trasmissione/ricezione in tutto il range dinamico del segnale rivelato,

- Tutta la linea di collegamento tra HE e Analog Board, costituita dal Line driver –cavo twisted pair – Receiver, consentendo una calibrazione durante il funzionamento del FD in assenza dell’alta tensione.

Infine nel paragrafo 6-5, si studia il problema di come trasmettere la tensione continua in uscita dal Current Monitor. Questa, necessiterebbe di un ulteriore linea di trasmissione verso il sistema di acquisizione, per poter essere campionata dal convertitore ADC a 16 bit di tipo Σ/∆. La soluzione adottata, consente una trasmissione sovrapposta del segnale rivelato dal PMT con quello in uscita dal CM, sfruttando appieno le potenzialità offerte dal sistema di trasmissione differenziale. In particolare, quest’ultimo studio completa la progettazione dell’elettronica di Front-End, consentendo nel paragrafo 6-6 ad una naturale suddivisione schematica dell’intera elettronica:

- per la polarizzazione del PMT,

- l’equalizzazione del guadagno del PMT,

- la trasmissione del segnale rivelato,

102

- il test della catena di elaborazione,

- la misura della corrente media d’anodo.

Tale suddivisione è stata finalizzata, affinché sia più semplice poter provvedere alla integrazione di tutta l’elettronica su due soli circuiti stampati.

6-2 Trasmissione del segnale rivelato dal PMT Richiamiamo brevemente le caratteristiche del segnale rivelato dai PMT. La forma del segnale in uscita dal PMT è pressoché trapezoidale, con i tempi dei fronti di salita e discesa che stanno nella proporzione ¼ rispetto alla durata dell’impulso, si veda l’eq. (20). La durata dell’impulso può estendersi da 100-200 ns sino a 5 µs, ed in qualche caso anche a 10 µs [42]. Variazioni più o meno evidenti sull’ampiezza del segnale durante la sua durata, possono avvenire a causa della geometria con cui evolve lo sciame nell’atmosfera. La forma del segnale in uscita dai PMT è campionata ogni 100 ns da un convertitore Flash ADC con risoluzione massima di 12 Bit, posto nella Analog Board. Un sistema di compressione permette di trattare un range dinamico di 16 Bit data l’estrema variazione del segnale rivelato (vedi paragrafo2-9), si ha infatti al fotocatodo un valore minimo di 2 ph/(100 ns) 3,2 pA ad un massimo di 131∙103 ph/(100 ns) 210 nA che fornisce appunto il range dinamico di 216 [27]. A questo segnale si aggiunge la luminosità di fondo del cielo, da un minimo di 2,7 ph/(100 ns) 4,3 pA ad un massimo di circa 81 ph/(100 ns) 129 pA, che determina il rumore del sistema L’elevato range dinamico del segnale prodotto in uscita dal PMT (G = 5·104), necessita di una particolare attenzione per la sua trasmissione. Per questo motivo, il contributo al rumore proveniente sia dall’esterno l’elettronica di Front End che dall’alimentazione (AT- BT), deve essere ridotto il più possibile. Negli schemi elettrici della base di polarizzazione Attiva/Passiva, il segnale rivelato dal PMT, viene prelevato con un accoppiamento capacitivo dall’anodo dato che questo si trova ad alta tensione. Questo tipo di collegamento fornisce un segnale di tensione di tipo differenziale, ai capi della resistenza di carico dove la capacità si richiude. L’idea di base è allora quella di: − Impiegare un Line driver ad ingresso differenziale e uscita differenziale, a basso rumore

ed alta reiezione al rumore di modo comune.

− Trasmettere il segnale su linea bilanciata (e/o schermata), realizzata con cavo twisted-pair, per ridurre gli effetti di accoppiamento induttivo con conduttori esterni.

− Convertire il segnale in tipo single ended, tramite un Line receiver che introduca il minore rumore possibile. Eventualmente eviti che il tratto di connessione a terra venga utilizzato come conduttore di ritorno, introducendo un separazione galvanica.

La scelta per il primo punto è stata fatta valutando la disponibilità commerciale di un Line driver differenziale, con caratteristiche molto spinte in termini di reiezione al rumore di modo comune. Tra quelli valutati, due hanno mostrato specifiche elettriche valide e per confronto sono indicate in Tabella 12.

103

MAXIM 4147 Elantec 2140 GBW [MHz] 300 150 Slew Rate [V/µs] 2000 800 CMRR @ 1MHz [dB] 90 60 Rumore serie [nV/√Hz] 8 36 Capacità di pilotaggi in corrente [mA] 160 60

Tabella 12 Principali caratteristiche elettriche dei Line driver : Maxim 4147 e Elantec 2140.

Il line Driver è basato sul MAX4147, un chip con ingresso e uscita differenziali, disponibile con contenitore adatto al montaggio superficiale (utile per l’integrazione su circuito stampato), e un’elevata reiezione di modo comune (90 dB @ 1 MHz) oltre che un’elevata capacità di pilotaggio in corrente. Nella Figura 69, appare il percorso del segnale prelevato dall’anodo del PMT. Esso tramite la capacità Ca viene mandato sulla resistenza di carico RL (parallelo di R1 // R2) e successivamente all’ingresso del Line driver, per la sua trasmissione sul cavo twisted pair. Come si può osservare, per ridurre il rumore di modo comune il prelievo del segnale e stato fatto in modo differenziale, creando un percorso per il segnale e un percorso fittizio identico per il rumore sull’alimentazione.

Figura 69 Architettura del Line driver e visione della rete di prelievo del segnale in modo differenziale. Per massimizzare la reiezione al rumore di modo comune, tutte le resistenze devono essere di precisione (possibilmente migliore del 1%).

104

In questo modo sia il rumore di modo comune proveniente dall’alimentazione ad alta tensione (VHV) che quello proveniente dalla Bassa tensione (V+,V-), seguono due percorsi simmetrici che, confluendo agli ingressi differenziali corrispondenti ai due nodi A e B, si eliminano vicendevolmente. Il segnale di corrente rivelato dal PMT è invece iniettato nella resistenza di carico RL = 248 Ω (*). I partitori che danno luogo alla resistenza di carico RL, determinano una tensione di modo comune di 1,9 V in entrambi i nodi A e B. Questo consente di traslare il valore in continua delle uscite differenziali, per meglio sfruttare le sue caratteristiche di range dinamico. In Figura 70, e mostrata la variazione assoluta (riferita rispetto a massa), dei segnali in uscita e ingresso del Line driver e del segnale Vdiff_out preso in modo differenziale, così come sono stati osservati durante le prove di laboratorio. Rispetto ai valori indicati c’è ancora un margine sufficiente, prima che l’uscita vada in saturazione per il massimo segnale all’ingresso (2,5 V). La risposta ad un gradino di corrente iniettato all’ingresso del Line driver (con un capacità parassita totale di 20 pF), ha prodotto al ricevitore un equivalente impulso di tensione con un tempo di salita di 11 ns. La banda passante del Line driver, permette di trasmettere impulsi estremamente veloci. Un’applicazione che trarrebbe vantaggio da questa caratteristica, sarebbe l’esecuzione di misure di frequenza con cui vengono osservati i lampi di luce Čerenkov. Inoltre, un vantaggio dato dalla larga banda è quello di migliorare la reiezione di modo comune del rumore nella banda di interesse.

Figura 70 Segnali all’ingresso e alle uscite del Line driver MAX4147. Si osservi che il segnale differenziale è amplificato x 1,5 all’uscita Vo- e x 0,5 all’uscita Vo+.

Analizziamo in regime dinamico il percorso compiuto dal segnale rivelato dal PMT, questo ci consente di stimare la banda passante del segnale. Come mostrato in Figura 71, il tubo fotomoltiplicatore è schematizzato come un perfetto generatore ideale di corrente d’anodo Ia. La presenza della capacità Ct in parallelo all’uscita tiene conto della capacità parassita all’anodo del PMT. La corrente d’anodo produce all’ingresso del Line driver una tensione Vu, data dall’equazione (59), infatti dalle relazioni seguenti:

(*) Equivalente al parallelo di R1 = 649 Ω con R2 = 402 Ω.

105

)().()(

ua

uiu ZZ

Zsvsv

+= e

uat

uatii ZZZ

ZZZsisv

+++⋅

=)(

).()(

si perviene alla funzione di trasferimento T(s) della rete:

(59)

dove si sono poste le impedenze:

)( uu

uu CsR1

RZ

+= ,

)( ta

at CsR1

RZ

+= e

aa sC

1Z = .

Figura 71 Schema semplificato del percorso del segnale rivelato dal PMT, per lo studio della risposta in frequenza.

uat

tuu

ZZZZZ

sisv

sT++

⋅==

)()(

)(

106

Esplicitando la T(s):

)()()(

tauautuauaua2

taauuuaa

aau CCRRCCRRCCRRsCRCRCRCRs1

CsRRsT

+++++++=

Semplificando il denominatore dai termini meno significativi, si perviene alla soluzione approssimata seguente :

(60)

dove A = Ra·Ca e B = Ru·(Cu + Ct), il comportamento in frequenza della rete è quello di un fitro Passa Banda.Con i valori di Ra = 330 KΩ, Ru = 250 Ω Ca = 4,7 nF ed Ct = Cu = 10 pF si trova per la eq. (60), due poli alla frequenza minima di fz1 ≅≅≅≅ 100 Hz e massima di fz2 ≅≅≅≅ 31,8 MHz. Ovviamente la banda passante del Line driver estendendosi sino a 300 MHz, non interviene a limitare la banda passante per il segnale. Diversamente può succedere per ciò che è a valle del Line driver ossia, la linea di trasmissione e il ricevitore. Se per la prima non ci sono particolari problemi, il tipo di receiver può darli. I receiver attivi da un lato hanno il pregio di preamplificare e/o equalizzare il segnale in arrivo, dall’altro introducono del rumore serie che inevitabilmente si somma con quello già presente nel segnale trasmesso. In tal senso, sono state eseguite delle prove di laboratori, nelle quali è emerso che l’utilizzo del receiver MAX4145 abbinato con il driver MAX4147 di cui si farà uso nel seguito, produce un aumento del rumore del cielo rispetto al minimo aspettato, del 33%. Per questo motivo si e deciso di utilizzare un trasformatore d’impulsi per emulare il ricevitore. In questo caso il sistema incrementa il rumore di fondo del cielo di solo il 12%. Il trasformatore d’impulsi che si impiegherà nel ricevitore ha un rapporto di trasformazione unitario e un’impedenza d’ingresso di 3 mH. Tale impedenza limita inferiormente la banda del segnale al ricevitore infatti, assieme alla resistenza d’uscita del Line driver di 10 Ω, si crea un semplice filtro Passa Alto con frequenza di cut-off di f ≅≅≅≅ 530 Hz.

6-3 Equalizzazione del guadagno del tubo fotomoltiplicatore I guadagni G dei PMT presentano delle fluttuazioni rispetto al valore nominale dato ad un fissato valore dell’alta tensione applicata. Queste sono dovute a variazioni delle caratteristiche fisiche dei materiali impiegati per la fabbricazione. In linea di principio dopo una individuale determinazione di questo importante parametro per un lotto di PMT, si potranno suddividere questi in sottogruppi ad identico guadagno (o quasi). Come discusso nel paragrafo 3-3, la dipendenza del Guadagno G dall’alta tensione applicata al PMT è della potenza N-esima del numero di dinodi, cioè:

α⋅= NHVK VG

)()()(

sB11

sA1sARsT u +

⋅+

107

Per consentire di uniformare i guadagni, si è pensato di interporre un resistenza di equalizzazione in serie all’alimentazione ad alta tensione. Per un sottogruppo di PMT con guadagni simili, questa consente di ritoccare individualmente l’alta tensione applicata alla rete di polarizzazione. Un valore nominale di R9 = 100 KΩ è già sufficiente a poter variare la tensione di alimentazione di 14 V(@ Id=144 µA), ossia 9% di variazione di guadagno a fronte di una variazione dell’alta tensione del 1,5%. Infatti questa scelta per α = 0,7 (0,65-0,75), K = 1,423·10-12 e N = 8 dinodi (PMT XP3062) fornisce : V1 = 900 V V2 = 914 V ……… ………∆V/V = (914- 900)/900·100 = 1,5% G1 = 5·104 = K·V1

5,6 G2 = 54514 = K·V25,6

∆G/G = [K· (914N·α) - 900 N·α)/(K·900 N·α)] ... ∆G/G = (54514-5·104)/5·104·100 = 9% Lo scopo è comunque duplice perché in questo modo si realizza un filtro PB in serie all’alta tensione, con una frequenza di cut-off minima(*) di fcut-off ≅≅≅≅ 723Hz, con la capacità (C15 = 2,2 nF) posta in parallelo all’intera catena di polarizzazione. Questo ultimo aspetto contribuisce a minimizzare il rumore ad alta frequenza proveniente dall’alimentatore per alta tensione.

6-4 Segnale di test E’ stato introdotto un sistema di iniezione del segnale di test, per poter distinguere tra la risposta ottica ed elettrica del sistema. Il sistema si basa su un semplice transistor bipolare in configurazione base-comune, in grado di iniettare già a questo livello il segnale di prova. I vantaggi che si hanno da questa scelta sono :

1. Verificare l’integrità del canale, cioè tutta la catena di trasmissione/ricezione del segnale sino al Flash ADC.

2. Verificare la calibrazione, potendo variare l’ampiezza del segnale di test. 3. Controllare che i canali non si disturbino a vicenda (cross talk). 4. Stabilire delle possibili deviazioni nel guadagni dei PMT. 5. Eseguire il test in presenza/assenza dell’alta tensione. 6. Diagnosticare il mancato funzionamento dei PMT come suggerito da G. Cassiday

[38]. Ad esempio se durante i test diurni l’elettronica funziona, ma durante i test notturni con impulsi Laser no, la colpa è solo del PMT!.

La rete di iniezione del segnale di test e visibile in Figura 72. Essa è basata su un solo transistor bipolare 2N3904, collegato con la base al –6 V dell’alimentazione, il collettore sulla resistenza di carico del PMT e l’emettitore con la resistenza d’emettitore R7 = 470 Ω verso l’ingresso del segnale di test.

(*) fcut-off = 1/(2Π R9C15) = 723.43 Hz.

108

Figura 72 Schema dell’iniettore di impulsi. Il generatore visibile a destra, tramite il trasformatore di impulsi, può pilotare contemporaneamente 11 iniettori di segnale.

Il suo funzionamento è il seguente: in condizioni normali non di test, deve permanere un collegamento dell’emettitore verso massa, garantendo così l’interdizione del transistor dato che la giunzione base-emettitore è polarizzata inversamente, con una tensione di ~ 6 V. In condizioni di test, si polarizza in continua il terminale d’ingresso con una tensione ancora più negativa di quella di alimentazione e cioè di –12 V. In questo modo una piccola corrente continua scorre in ogni transistor che è così polarizzato. Successivamente il generatore di impulsi produce il segnale di test con ampiezza desiderata. In particolare per la soluzione trovata, il sistema consente il simultaneo pilotaggio di 11 circuiti di iniezione in parallelo. Con la scelta fatta per le resistenze R7 = 470 Ω l’impedenza complessiva vista dal generatore è di ~ 43 Ω. L’ampiezza del segnale di test potrà essere per esempio di 5 V, che sull’impedenza data produce lo scorrimento di una corrente di poco più di 10 mA per canale.

6-5 Trasmissione contemporanea dell’impulso rivelato dal PMT e della corrente media d’anodo

La tensione Va in uscita dal circuito del Current Monitor, segue una percorso di misura autonomo rispetto a quello del segnale rivelato. Nonostante ciò, l’acquisizione di entrambe le informazioni avviene tramite lo stesso circuito dove risiede l’elettronica di ricezione e elaborazione del segnale rivelato dal PMT (Analog Board). Come è ormai chiaro dalla discussione dei capitoli precedenti, la tensione Va variando mediamente con la luminosità di fondo del cielo, non presenta variazioni repentine del

109

segnale. Conseguentemente si è voluto riuscire ad inviare questa lenta informazione, evitando di introdurre nuovi collegamenti. A tal fine si è prevista la possibilità di sommare all’ingresso differenziale del Line driver, sia detta informazione che quella rivelata dal PMT. Per rendere attuabile questa funzionalità, l’idea è stata quella di variare la tensione che normalmente serve ai partitori posti ingresso del Line driver per polarizzarli. Questo è reso possibile dall’elevata reiezione di modo comune (90dB@1MHz), del Line driver MAX4147. Nel circuito del Current Monitor si terrà conto di questo, prevedendo il montaggio di una resistenza da 0 Ω. La funzione di questa è di dirigere l’uscita del Current Monitor proprio su detti partitori. Il funzionamento è semplice, i partitori se alimentati da una tensione di 5,3 V forniscono in uscita la tensione 1,9 V che attraversando in modo comune i due AO del Line driver, si traduce all’uscita di questi in una componente continua che si sovrappone al segnale. Consideriamo ora in luogo della tensione fissa 5,3 V quella dovuta alla presenza di luminosità di fondo del cielo. Se la notte è completamente buia l’uscita Va è massima a 5 V, viceversa l’uscita Va diminuisce sino al minimo valore di circa 1 V, per massima luminosità di fondo del cielo. Alle uscite del Line driver Vo+ e Vo-, si avranno rispettivamente 1,9 V e 0,4 V. Al ricevitore, basterà leggere la tensione continua, con un convertitore a 16 Bit di tipo Σ/∆.

6-6 Definizione dell’elettronica di Front-End L’intera elettronica di Front-End è stata suddivisa in due circuiti stampati, minimizzando il numero di interconnessioni tra loro. Con l’ausilio dell’ambiente di progettazione CAE di OrCAD, che racchiude all’interno del pacchetto software programmi per il disegno schematico e progettazione dei circuiti stampati (PCB) sia a livello meccanico che elettrico, si sono generati 3 schemi elettrici:

1. Due relativi alla rete di polarizzazione Attiva e Passiva del PMT e visibili nella Figura 73 e Figura 74, realizzati con l’intento di unificare la disposizione dei componenti per entrambe le versioni, su di un unico circuito stampato.

2. Uno dedicato all’integrazione delle funzioni svolte dall’elettronica di Front-End e

visibile in Figura 75. Le funzioni lo ricordiamo, sono quelle discusse nei paragrafi precedenti e cioè:

− sistema di monitoraggio della corrente d’anodo, − trasmissione su linea differenziale del segnale rivelato dal PMT, − circuito di iniezione del segnale di test, − sistema di equalizzazione del guadagno del PMT.

Per il punto 1, si può osservare che lo schema indicato in Figura 73 è quello dell’Active Bias Network con un consumo di corrente di ~144 µµµµA (130 mW @ 900V), mentre lo schema di Figura 74 è quello del Passive Bias Network con un consumo di corrente di ~ 243 µA (220 mW @ 900V). Entrambi le reti di polarizzazione sono configurate per una distribuzione dei potenziali, come richiesto dalle specifiche del PMT XP3062 date dalla Philips Photonics [41]: 0,05 u (griglia), 3 u, u, u, u, u, u, 1,25 u, 1,75 u, 1,25 u. In particolare, nell’Active (Passive) Bias Network rispettivamente, si può osservare la scelta di collegare al posto delle resistenze R21 (R39) il valore resistivo di 1 MΩ. Questo accorgimento consente in alcune circostanze, ad esempio durante la fase di test, a provvedere

110

alla scarica della capacità di filtraggio C15 (C19) posta tra i terminali dell’alta tensione. Infatti un’accidentale sconnessione tra i due circuiti potrebbe mantenere tale capacità carica e costituire un pericolo per l’operatore. D’altra parte il valore nominale di questa resistenza è raggiunto durante la taratura del Current Monitor/Line driver, ponendo il valore di R18 che consente di raggiungere in parallelo alla resistenza da 1MΩ e a R17, il valore nominale di 25,5 KΩ (15,05 KΩ). Lo scopo delle due resistenza R39+R40 (R57+R58) che normalmente non sono montate, è quello di poter utilizzare il fotoaccoppiatore IL300 con un K3 > 1 (selezione Bin: F,G). Per il punto 2, si osserva che il circuito di lettura della corrente di anodo già descritto nel Capitolo 5, è stato ulteriormente migliorato riducendo la corrente di soglia ITH di un ulteriore fattore 5 (ITH ~ 1µµµµA) [41]. Questo è stato fatto mediante l’uso di un AO nell’anello di reazione che ha permesso un incremento del guadagno dell’amplificatore di corrente nel l’OCM sino a AI = 4·105. Il valore di R13 = 180 KΩ consente di avere una variazione di 4,5 Volt in corrispondenza di una variazione della corrente d’anodo di 25 µA. Mentre la R19 = 220 KΩ definisce il piedistallo di tensione, in corrispondenza di corrente d’anodo nulla, pari a Va = 6V· R18/R19 = 4,9 V. La tensione Va in uscita dal Current Monitor varierà tra i limiti Va = (+4,9 V , +1,0 V). La capacità C7 introduce assieme a R13 un polo dominante alla frequenza di f ~ 10Hz, in modo da ridurre il rumore. L’uscita del Current Monitor è previsto che piloti in modo comune l’ingresso del Line driver, questo per consentire al sistema ricevente di eseguire un preciso campionamento del segnale con un convertitore a 16 bit di tipo Σ/∆. La tensione che il convertitore leggerà all’uscita Vo+ del Line driver, ha un rapporto lineare con Va, secondo il rapporto di attenuazione introdotto dalle resistenze R2-R20 e R3-R21 ossia : ∆Vo+ = ∆Va ·R20 /(R2+R20) = ∆Va·0,382 ∆∆∆∆Vo+ = (+1,9V , +0,4V). Dato che l’ingresso del Line driver non sopporta tensioni di modo comuni negative, si è introdotto il diodo D9 per limitare l’escursione della tensione Va, a valori negativi di solo 0,6 V. Come indicato nello schema, hanno notevole importanza la precisione delle resistenze R2-R20 e R3-R21 tutte allo 0,5 %, perché queste influiscono sulla simmetria dei segnali di modo comune, quindi sulla reiezione del Line driver al rumore. Il valore della resistenza di carico RL = 248 Ω, consente di sviluppare a sui capi un tensione di 2,48 V quando viene fatta circolare la corrente d’anodo di 10 mA (caso estremo della dinamica del segnale da rivelare).Tale tensione si traduce nella tensione differenziale di 4,96 V. Infine i due diodi D7 e D8 costituiscono una protezione per gli ingressi del Line driver, nel caso l’alta tensione dovesse repentinamente andare a zero (ad esempio per corti circuiti nella catena di distribuzione). La resistenza R9 = 100 KΩ consente di realizzare un controllo del guadagno del PMT,ed inoltre realizza come gia indicato un filtro Passa Basso, per gli eventuali disturbi provenienti dall’alimentatore per l’alta tensione. Infine, i transistor 2N3904 utilizzati nello schema del Current Monitor/Line driver possono sopportare correnti di collettore di 100 mA, più che sufficienti sia per il segnale di test che per il foto accoppiatore IL300E.

111

In Tabella 13 sono riassunte (preliminarmente), le principali caratteristiche elettriche sino ad ora definite, per l’elettronica di Front End.

Tabella 13 Specifiche preliminari per l’elettronica di Front-End.

Specifiche Generali Preliminari Consumo di corrente a bassa tensione +6V 32 mA & -6V 9mA Consumo di corrente ad Alta Tensione 900V 150 µA Potenza totale massima dissipata 400 mW Line Driver Uscita Differenziale ∆ Vdiffout 5 Vpp @ Ipulse =10 mA Rumore massimo 10nV/√(Hz) Range dinamico >12 bits Banda Passante per il segnale 100 Hz – 30 MHz Impedenza d’uscita 10 Ω Current Monitor Sensibilità S 60 mV/µA Fondo scala 25 µA Banda passante 0-10 Hz Impedenza d’uscita 50 Ω Circuito di test segnale di test 5V 10 mA Bias Network Tipo Attiva Massima corrente Io 150 µA @ 900V

112

Figura 73 Schema elettrico dell’Active Bias Network, per la polarizzazione Attiva del PMT XP3062. Rispetto allo schema successivo si può notare la adattabilità di questo schema ad entrambe le versioni di reti di polarizzazione. La trasformazione a rete Passiva avviene semplicemente non montando i transistor e R20, e montando al posto dei diodi delle resistenze da 0 Ω.

113

Figura 74 Schema elettrico della rete di polarizzazione passiva, la presenza di due resistenze anziché una sola tra dinodi, è dovuta alla massima tensione applicabile a ciascuna ~ 100V.

114

Figura 75 Schema elettrico del Current Monitor/Line driver. Si può osservare come il percorso del segnale sia reso il più possibile simmetrico per ridurre il rumore di modo comune. Il valore di R18 è stabilito durante la fase di collaudo e test dell’elettronica.

115

Capitolo 7

Realizzazione pratica dei prototipi di PCB, relativi al Current Monitor e all’Active/Passive

Bias Network

7-1 Finalita teoriche pratiche L’aspetto finale di questa tesi coincide con la realizzazione pratica di due circuiti stampati (Printed Circuit Board PCB), le cui dimensioni (φ = 23 mm) hanno costituto una sfida tenuto conto della quantità di componentistica impiegata; il primo relativo all’Active/Passive Bias Network ed il secondo al Current Monitor e Line Driver. La loro realizzazione preliminare, nel numero di 150 pezzi per tipo, consentirà di montare parzialmente una matrice di 22x20 PMT, nella catena di elaborazione del segnale nel prototipo del rivelatore di fluorescenza. Il sistema sarà collaudato preventivamente, con un sistema di acquisizione denominato Pipeline Memory Board PMB[43][44] adattato ad uno usato nell’esperimento di calorimetria NA48. Il sistema finale è in costruzione, in collaborazione con il gruppo di Karlsruhe. Inizialmente solo 96 dei 150 canali realizzati sarà impiegato, dato che la scheda di acquisizione PMB può supportare solo detto numero. Nel paragrafo 7-2 è stato condotto uno studio preliminare che ha consentito di valutare il numero, le dimensioni e l’interconnessione dei circuiti stampati, in relazione alle dimensioni del PMT. Nel paragrafo successivo 7-3 è presentato il PCB dell’Active/Passive Bias Network e le problematiche incontrate secondo un flusso di lavorazione tipico nella progettazione dei PCB, per questo sono state tenute in considerazione le alte tensioni in gioco e il percorso del segnale prelevato dall’anodo del PMT. Infine nel paragrafo 7-4 è presentato il PCB del Current Monitor, sul quale è stato alloggiato anche il Line Driver e parte dell’elettronica finalizzata al test e al collaudo. Particolare cura è stata posta nella schermatura, dove possibile, delle parti di circuito interessate al segnale rivelato dal PMT, ed inoltre all’isolamento elettrico delle zone sottoposte ad alta tensione.

7-2 Studio preliminare Il primo problema considerato è stato il numero di PCB da realizzare, questo tenuto conto della differente funzionalità dell’elettronica: di polarizzazione del PMT e d’interfacciamento e condizionamento del segnale rivelato, ha consentito di suddividere l’elettronica in due soli PCB. Questa scelta, ha notevoli vantaggi tra i quali possiamo citare: - Netta separazione tra elettronica di polarizzazione del PMT e elettronica di

condizionamento del segnale rivelato.

116

- Facile collaudabilità dei moduli, la cui presenza contemporanea può risultare non

strettamente necessaria. - Elevata auto schermatura da eventuali fonti di rumore, provenienti ad esempio

dall’elettronica di acquisizione di tipo Analogico/Digitale (operante a frequenze di 10MHz), vedere poi il paragrafo 7-4 sulla distribuzione di un piano di massa.

- Sfruttamento più razionale dello spazio posteriore al PMT. A svantaggio, possiamo indicare il costo iniziale di una doppia attrezzatura, ben poca cosa paragonata sulla quantità totale di PCB da realizzare ≅ 16000 per ogni sito; un numero seppur ridotto di connettori di interconnessione che, in generale, possono ridurre l’affidabilità elettrica e aumentare leggermente il costo globale dell’intera elettronica. La scelta di questi, come si vedrà successivamente, è stata fatta per aumentare l’affidabilità elettromeccanica. Un’ulteriore suddivisione dell’elettronica su 3 PCB, ad esempio per separare il circuito del Current Monitor dal Line driver, avrebbe solo l’effetto estetico di una suddivisione dei PCB in relazione alla funzione svolta. Viceversa un solo PCB sarebbe stato comodo da gestire nel senso delle quantità, più affidabile in termini di nessuna interconnessione, ma assai più grande e quindi difficilmente allocabile nella parte posteriore del tubo fotomoltiplicatore. Per queste ragioni, due soli PCB sono risultati in numero ottimale.

Figura 76 Possibile disposizione contigua tra PMT, questo è il caso limite e non potrà essere raggiunto poiché i PMT sono in contatto meccanico tra loro. La direzione di puntamento tra

due PMT adiacenti è in questo caso al massimo di 4°.

Un altro aspetto da considerare è la dimensione fisica dei due PCB, realizzati in fibra di vetro con spessore di 1,6 mm. Data la disposizione alquanto ravvicinata dei PMT in una Matrice di 22x20 e la disposizione delle finestre dei fotocatodi di questi, su di una superficie concava a causa della particolare conformazione dello specchio, è risultato conveniente mantenere il diametro dei due PCB pari a 32 mm. Leggermente più piccoli del diametro massimo del tubo

117

fotomoltiplicatore che verrà usato (XP3062), questo, sia per consentire il massimo impaccamento dei PMT con o senza l’elettronica, che secondariamente, per avere spazio per una eventuale copertura tubolare, atta a schermare l’elettronica e/o magneticamente anche il PMT. Nella Figura 76 è mostrata la condizione peggiore, dovuta al fatto che i PMT sono in contatto meccanico tra loro, ipotesi ideale. Nonostante ciò i circuiti stampati mantengono tra loro una distanza minima di 10 mm. Questa situazione limite è ben oltre la realtà costruttiva del prototipo del rivelatore di fluorescenza, infatti i PMT saranno posti tra loro ad una distanza angolare di 1,5° contro la massima ammissibile di 4°, mettendoci così al riparo da problemi di semplice natura meccanica. Infine, un aspetto importante relativo all’interconnessione tra i due PCB e tra PCB e sistema di alimentazione e acquisizione hanno indotto le seguenti scelte. Dato che i connettori hanno un’affidabilità elettrica discreta, si è usato solo connettori di qualità, ossia con contatto femmina di tipo a tulipano ed con entrambi i contatti dorati. Si è cercato di minimizzare il numero delle interconnessioni tra basette, a soli tre connettori identici a due vie per standardizzare il modello impiegato. Infine si è scelto di posizionarli in modo quasi simmetrico rispetto al centro dei PCB, per conferire una maggiore stabilità meccanica all’assemblaggio dei PCB in fase di test e collaudo, rafforzata successivamente, da un ulteriore fissaggio delle stesse, mediante semplice saldatura di due spezzoni di filo posti in posizione diametralmente opposta, tra le due basette. I connettori di interconnessione tra l’elettronica di Front-End e alimentazione BT, AT e trasmissione del segnale rivelato, sono tutti dello stesso tipo ma differenti nella disposizione dei contatti (pinout), in modo da rendere minimo l’errore di inversione o scambio involontario. In particolare per il connettore dell’alta tensione, si è avuta l’accortezza di prevederlo a tre contatti anziché i due minimi richiesti; questo per consentire di polarizzare il connettore femmina con un semplice dummy-contact, rendendo impossibile l’inversione accidentale. Nella Figura 77, è mostrata una vista prospettica posteriore dell’intera elettronica di Front-End, basata su un modello tridimensionale [45], realizzato con un noto programma CAD di disegno meccanico (AutoCad 14). Essa mette in evidenza come sarà realizzata l’intera struttura di un singolo canale di rivelazione, ossia la connessione tra il tubo fotomoltiplicatore e le due schedine PCB, rispettivamente dell’Active Bias Network e del Current Monitor.

118

Figura 77 Vista prospettica del PMT con i due PCB interconnessi.

119

7-3 Realizzazione del PCB relativo all’Active/Passive Bias Network

L’idea di base è quella di mantenere una disposizione circuitale poco differente, tra le due versioni della base di polarizzazione del tubo fotomoltiplicatore, in modo da permettere di realizzare un unico PCB che all’occorrenza, può essere utilizzato indifferentemente per la base attiva o con piccoli accorgimenti per la base passiva. In quest’ultimo caso infatti, l’assenza dei transistor e dei diodi renderebbe flottanti i collegamenti agli ultimi tre dinodi, per sopperire a tale evenienza, basterà montare al posto dei diodi delle semplici resistenze da 0 Ω, ripristinando così il collegamento. La realizzazione dei circuiti stampati e stata resa possibile grazie all’impiego di un programma CAE dell’ultima generazione OrCAD 9, comprendente una suite di diversi pacchetti software integrati tra loro, tra cui quelli utilizzati: Capture, Layout Plus e SmartRoute. La procedura per realizzare il PCB dell’Active Bias Network, ha seguito il seguente iter lavorativo, riassunto nel diagramma di flusso di Figura 78: 1. A partire dallo schema elettrico generato con Capture e già descritto nel Capitolo 6, si è

dapprima attribuito ad ogni componente elettronico il relativo contenitore impiegato (package), ricercandolo nelle librerie dei componenti ed avendo cura di verificare che, i riferimenti relativi al centro dei package fossero realmente esatti, per una corretta generazione dei files di Pick & Place in fase finale di lavoro. In seguito si è generata la lista dei nodi (netlist), e altri files di supporto alla realizzazione, come ad esempio l’elenco del materiale usato (Bill Of Material BOM).

2. Il programma specifico per la progettazione del PCB é Layout Plus, esso consente di

lavorare su piani di lavoro diversi, in modo contemporaneo. Ad ogni piano di lavoro è possibile associare sia un layer cioè un lato rame dal quale ricavare le piste del PCB che, nel nostro caso si e ritenuto di limitare a soli due, che alle lavorazioni del PCB. Le lavorazioni sono ad esempio: la foratura, la serigrafia, la maschera verde di protezione alla saldatura, la posizione dei componenti, etc. All’interno di questo programma si è definita la dimensione circolare del PCB, specificando cioè l’outline e il riferimento delle quote (Datum), che è stato posto in centro nel caso specifico di PCB circolari, per una facile lavorazione in fase finale. Infine si sono predisposte alcune funzioni di default, quali il piazzamento in griglia e l’unità di misura impiegata, che di norma è in mils.

120

3. E’ a questo punto che occorre avere esperienza! Il piazzamento dei componenti dopo aver

associato la netlist creata in precedenza con il PCB, è buona norma farlo manualmente, anche se la tentazione di un piazzamento automatico è allettante, soprattutto se l’elettronica è di tipo analogico e questo è il caso. La scelta di non effettuare il piazzamento automatico, è stata dettata da almeno due motivi: presenza del segnale analogico e soprattutto dell’alta tensione di alimentazione. Quest’ultimo motivo ha anche condizionato l’uso di sole resistenze SMD a strato metallico con contenitore tipo 1206, la cui dimensione garantisce di non eccedere la loro massima potenza dissipabile. Iniziato il piazzamento, dai componenti la cui posizione non può essere modificata in corso di lavorazione, si sono disposti su un perimetro circolare le piazzole di connessione dei 12 fili provenienti dal PMT, secondo le quote calcolate in Tabella 14. Successivamente, tenendo conto anche dell’interconnessione con il PCB del Current Monitor, si sono posizionati i tre connettori femmina a due contatti, in modo equidistante sia tra loro che rispetto al centro del PCB, bloccandone la loro posizione.

4. La strategia seguita nel posizionamento dei componenti è stata la seguente:

componenti attivi, quali diodi e transistori, si è scelto il lato superiore ossia quello visibile osservando posteriormente il PMT (come visibile in Figura 77) per una migliore ispezionabilità e/o eventuale sostituzione, in caso di malfunzionamento. Componenti passivi, quali condensatori di filtraggio e resistenze, si sono posti nel limite del possibile sul lato posteriore, eccetto quelli preposti alla calibrazione della Base Attiva, posti sul lato superiore per una più agevole accessibilità.

5. Data la semplicità del circuito e il precondizionamento nella posizione dei componenti,

che si è proceduto allo sbroglio automatico del PCB con il programma SmartRoute, il quale si avvale di un algoritmo neurale per la strategia di piazzamento delle piste (comunque parametrizzabile dal progettista).Terminata la fase di sbroglio, che può non essere completa il più delle volte, si compie un’ulteriore ispezione del risultato ottenuto, mediante il programma Layout Plus, in modo da ottimizzare ulteriormente il PCB. In particolare si è distanziata il più possibile dalle piste adiacenti, la linea sottoposta ad alta tensione e quella del segnale rivelato, mentre si sono rese più spesse tutte le piste facenti capo alle piazzole di ancoraggio dei 12 fili provenienti dal PMT. Infine, due dettagli all’apparenza banali ma importanti: per aumentare l’affidabilità del PCB si sono ridotti al minimo indispensabile i fori metallizzati, mentre per migliorare la tenuta meccanica e ridurre la dispersione elettrostatica, si è evitato di realizzare piste con pieghe a 90°, imponendo che fossero tutte a 45°.

121

Figura 78 Esempio di flusso lavorativo per la progettazione di un circuito stampato (PCB).

Flusso di lavorazione per la progettazione di un PCB

OrCad Smartrouter

Automatic Route Proces

Elettrical Scheme

? Footprint Library Update

NO

SI

OrCad Capture

All components updated

Data to Layout Plus

Netlist, Bill of MaterialEDIF, et.

Printed Circuit Board

Fix Board Dimens.

Manual Comp. Placing

OrCad Layout Plus

?NO

SI

Manual Route ?

All components placed

All Net routed

Manual or Automatic nets route

ManualAuto

?NO

Batch Programs x Documents

End Proces

SI

Extended Gerber Files,Pick & Place files, et.

,max files.

122

Tabella 14 Calcolo delle posizioni cartesiane e angolari delle piazzole di connessione dei 12 fili provenienti dal PMT, sul PCB dell’Active/Passive Bias Network.

6. Come ultimo processo di lavorazione, si abilitano per tutti i layers utilizzati, l’opzione di

generazione dei files in formato ExtendedGerber. Questo consente di generare mediante l’attivazione di un semplice programma Batch, tutti i files necessari poi alle ditte costruttrici, per realizzare in concreto il PCB, si veda a tal fine la Tabella 15. Per quanto riguarda il montaggio automatico dei componenti, si possono scegliere due strade. La prima prevede di utilizzare gli stessi files Gerber per ricavare le informazioni di Pick & Place dei componenti, queste informazioni servono alle macchine automatiche, per montare i componenti smd nel PCB. La seconda prevede la generazione di un report costituito da tre files, il primo dei quali fornisce la descrizione secondo coordinate cartesiane e rotazioni relative rispetto al centro dei componenti, della posizione assoluta di questi ultimi rispetto al riferimento delle quote (Datum), gli altri due forniscono le coordinate cartesiane dei centri dei componenti per ogni faccia del PCB. In entrambi i casi è indispensabile un elenco materiale dettagliato, sia nel valore dei componenti che nel loro diverso package impiegato.

Diam.(mm) 32 NumSudd. 14 ∆ang.(rad) 0,449Rag.(mm) 14 NumPin 12

ang.(rad) Ysin(mm) Xcos(mm) Y(mils) X(mils)

Pin1 7,85 14,000 0,000 551,18 0,00Pin2 7,41 12,614 6,074 496,60 239,15Pin3 6,96 8,729 10,946 343,66 430,93Pin4 6,51 3,115 13,649 122,65 537,36Pin5 6,06 -3,115 13,649 -122,65 537,36Pin6 5,61 -8,729 10,946 -343,66 430,93Pin7 5,16 -12,614 6,074 -496,60 239,15Pin8 4,71 -14,000 0,000 -551,18 0,00Pin9 4,26 -12,614 -6,074 -496,60 -239,15Pin10 3,81 -8,729 -10,946 -343,66 -430,93Pin11 3,37 -3,115 -13,649 -122,65 -537,36Pin12 2,92 3,115 -13,649 122,65 -537,36Pin13 2,47 8,729 -10,946 343,66 -430,93Pin14 2,02 12,614 -6,074 496,60 -239,15Pin15=Pin1 1,57 14,000 0,000 551,18 0,00

Calcolo Angoli

-800,00

0,00

800,00

-800,00 0,00 800,00

Pin1(0,00;582,68)

Pin9(-252,81;-524,97)

Pin2(252,81;524,97)

Pin3(455,56;363,29)

Pin4(568,07;129,66)

Pin8(0,00;-582,68)

Pin5(568,07;-129,66)

Pin6(455,56;-363,29)

Pin7(252,81;-524,97)

Pin10(-455,56;-63,29)

Pin12(-568,07;129,66)

Pin11(-568,07;-129,66)

Valori in (mils)

123

Files Extended GERBER

,TOP = Layer TOP ,DRD = Drill Drawing ,BOT = Layer BOTTOM ,TAP = Drill Tape ,AST = Assembly TOP ,MAX = MAX Board ,ASB = Assembly BOTTOM ,GTD = Extended GERBER ,SST = Silk Screen TOP ,SMT = Solder Mask TOP ,SSB = Silk Screen BOTTOM ,SMB = Solder Mask BOTTOM ,GND = Layer GROUND ,SPT = Solder Paste TOP ,PWR = Layer POWER ,SPB = Solder Paste BOTTOM

Files for Pick & Place Xref.txt = Cross references Netlist report Smtop.txt = Surface Mount TOP report Smbot.txt = Surface Monut BOTTOM report

Tabella 15 Legenda relativa alla estensione dei files ExtendedGerber e di Pick & Place, generati dal programma batch in Layout Plus.

Al termine di questo iter lavorativo, si è giunti al progetto finale del PCB dell’Active/Passive Bias Network visibile in scala 4:1 nelle Figura 79 e Figura 80, rispettivamente vista combinata componenti più piste in rame, nel lato superiore e inferiore.

124

Figura 79 Disposizione dei componenti e visione del lato superiore (Top), del circuito stampato dell’Active/Passive Bias Network.

Figura 80 Disposizione dei componenti e visione del lato inferiore (Bottom), del circuito stampato dell’Active/Passive Bias Network.

125

7-4 Realizzazione del PCB relativo al Current Monitor Per la realizzazione del PCB del Current Monitor, si è seguita la stessa procedura di progettazione indicata nel diagramma di flusso di Figura 78, in particolare: • Dallo schema elettrico generato con Capture e già descritto nel Capitolo 6, si è proceduto

come nei punti 1 e 2 del paragrafo 7-3. Successivamente è stato fatto il piazzamento manuale dei componenti, in modo da minimizzare le connessioni e impostare il percorso delle piste di collegamento secondo una linea ideale. Anche in questo caso si è scelto di non effettuare il piazzamento automatico per almeno quattro motivi: presenza di segnali analogici con necessità di piste di guardia e simmetrie; posizionamento obbligato di componenti utili in fase di taratura; presenza dell’alta tensione di alimentazione ed infine schermatura con piani continui di massa. Data l’alta densità di componenti impiegata in questo PCB, si sono usate sole resistenze SMD a strato metallico con contenitore tipo 0805. Più piccolo di quello usato per il precedente PCB, dato che i potenziali a cui sono sottoposte non è elevato (<<100V) e non ci sono problemi di dissipazione di potenza.

• Per primo si è iniziato il piazzamento dai connettori di interconnessione con la basetta dell’Active/Passive Bias Network, la cui posizione non può essere modificata in corso di lavorazione. Successivamente si sono disposti i connettori preposti all’alimentazione/segnale e al test, nel perimetro del PCB, affinché lontani tra loro si evitassero fenomeni di crosstalk, in modo da lasciare maggiori gradi di libertà al posizionamento degli altri componenti. La strategia seguita nel posizionamento è stata la seguente: - Collocazione del Line Driver il più vicino possibile al connettore d’uscita, per

simmetrizzare la lunghezza delle linee d’uscita. - Posizionamento dei componenti di taratura e revisione nel lato superiore (layer TOP),

affinché siano facilmente accessibili. - Posizionamento dei fotoaccoppiatori nella parte inferiore (layer BOTTOM), in quanto

questi sono sottoposti all’alta tensione e in particolare il TLP127 è sensibile alla luce ambiente; posizionandoli nella parte BOTTOM tra le basette, rimangono maggiormente schermati.

- Disposizione dei condensatori elettrolitici di filtraggio delle alimentazioni, a ridosso

del connettore d’ingresso, per disaccoppiare l’arrivo delle alimentazioni dalla distribuzione interna.

• Date le dimensioni del circuito e la pre impostazione nella posizione dei componenti, si è

eseguitolo lo sbroglio automatico del PCB, come descritto nel punto 5 del precedente paragrafo 7-3. Questo non ha dato ottimi risultati, come ci si poteva aspettare, per via dell’alta densità di componenti impiegati; per concludere si è ottimizzato il PCB come segue:

126

- Distribuzione della massa a riempimento, per duplice scopo: 1) schermare la base Attiva dal resto del mondo, 2) schermare l’elettronica del Current Monitor e del Line Driver, evitando anelli di massa che tendono ad aumentare il rumore.

- Guardie elettrostatiche attorno all’AD822AR, soprattutto per ridurre le correnti di perdita che all’invecchiare del PCB, possono indurre notevoli variazioni nella tensione d’uscita del Current Monitor.

- Isolamento delle zone alimentate dall’alta tensione, mediante la rimozione della massa a riempimento, e del connettore medesimo con gap in aria.

- Piste MOLTO spesse per l’alimentazione del Line Driver, in modo da sopperire all’inevitabile induttanza serie, durante i picchi di assorbimento di corrente.

- Scelta oculata, delle dimensioni delle piazzole connesse al piano di massa (termal relief), in modo da assicurare un buon collegamento elettrico ma un mediocre collegamento termico, al fine di poter agevolmente saldare/dissaldare i componenti.

- Infine, per aumentare l’affidabilità del PCB, si sono ridotti al minimo indispensabile i fori metallizzati, mentre per migliorare la tenuta meccanica e ridurre la dispersione elettrostatica, si è evitato di realizzare piste con pieghe a 90°, imponendo anche in questo caso che fossero tutte a 45°.

• Come ultimo processo di lavorazione, (vedere punto 6 paragrafo precedente ), si sono

generati i files in formato ExtendedGerber e quelli per il Pick & Place dei componenti. Al termine di questo iter lavorativo, si è giunti al progetto finale del PCB del Current Monitor, visibile in scala 4:1 nelle Figura 81 e Figura 82, rispettivamente vista combinata dello schema di montaggio per i componenti, più piste in rame, per entrambi i lati superiore e inferiore. Le fotografie di Figura 83 - Figura 86, mostrano la realizzazione fisica dei PCB nell’ordine: Active/Passive Bias Network, Current Monitor, reale dimensione e vista interconnessione, sia tra PCB che con il PMT.

127

Figura 81 Disposizione dei componenti e visione del lato superiore (Top), del circuito stampato del Current Monitor/Line driver.

Figura 82 Disposizione dei componenti e visione del lato inferiore (Bottom), del circuito stampato del Current Monitor/Line driver.

128

Figura 83 PCB dell’Active/Passive Bias Network. Il lato inferiore (Dx) è quello che si connette al PMT mediante 12 fili. Nel lato superiore (Sx), sono visibili i connettori ad alta tenuta meccanica/elettrica necessari alla connessione con il PCB del Current Monitor. Per mantenere uniti tra loro i due PCB, sul diametro di entrambi sono stati posti due piccoli fori, sui quali saldare due fili tra PCB.

Figura 84 PCB del Current Monitor/Line driver.Il lato inferiore (Dx) è quello che si connette al PCB della rete di polarizzazione del PMT. Il fotoaccopiatore IL300E è il chip più grande. Nel lato superiore (Sx) e visibile il Line driver MAX4147 e i connettori d’interfacciamento. L’area sottoposta ad alta tensione è situata nella parte superiore dove è stato massimizzato l’isolamento.

129

Figura 85 Particolare dell’interconnessione tra i due PCB, e loro reali dimensioni. Il connettore che appare sulla sinistra è l’uscita verso la linea twisted pair.

Figura 86 Particolare dell’interconnessione tra l’intera elettronica di Front-End con il PMT.

130

131

Capitolo 8

Risultati dei test di accettazione

8-1 Introduzione ai test La progettazione dell’elettronica di Front-End è stata definita completamente nei capitoli precedenti. In particolare nel Capitolo 7 relativo alla realizzazione dei PCB si è provveduto alla loro integrazione su due circuiti stampati. Il naturale sviluppo è ora quello della realizzazione pratica della stessa, al fine di consentire il montaggio parziale di una matrice di 22x20 PMT, nel prototipo del rivelatore di fluorescenza. Per questo motivo si sono realizzate 150 unità (HE), costituite ognuna dalla coppia di circuiti denominati Active Bias Network e Current Monitor/Line driver, demandando l’aspetto pratico della loro realizzazione ad una ditta esterna all’I.N.F.N. [46], specializzata in costruzioni di circuiti stampati e montaggio di componenti smd. Per test di accettazione si vuole intendere l’insieme di accurati controlli, sia visivi che elettro-funzionali, a cui hanno dovuto sottostare i singoli PCB per essere dichiarati conformi alle specifiche richieste dal rivelatore di fluorescenza (FD). In realtà queste specifiche sono solo temporanee e non definitive dato il carattere sperimentale del progetto. Tuttavia per avere un termine di comparazione sono stati usati come caratteristiche di riferimento, le specifiche descritte nel Capitolo 6. Per migliorare la qualità dei prototipi si è deciso il reperimento della componentistica più critica, come ad esempio alcuni condensatori a montaggio superficiale per alta tensione o i dispositivi attivi. La restante componentistica standard (resistenze all’1%, condensatori e connettori), è stata procurata dalla ditta specializzata. Un primo test elettrico è stato effettuato dalla ditta costruttrice in fase realizzativa dei PCB, mentre il test funzionale [47] è stato interamente eseguito in laboratorio, con uno speciale sistema di collaudo semi automatico appositamente realizzato. La definizione delle procedure da eseguire nel test funzionale è stata preceduta dal montaggio in laboratorio di 11 coppie dei circuiti stampati realizzati dalla ditta produttrice. Esso è stato seguito da un controllo della loro funzionalità, al fine di verificare che tutti i valori dei componenti impiegati determinassero le caratteristiche elettriche di riferimento summenzionate. Nel paragrafo 8-2, viene descritto il sistema semi automatico di collaudo per mezzo del quale nel paragrafo 8-3 si è realizzato il vero test funzionale di accettazione. Infine nel paragrafo 8-4, si perviene ad una sintesi delle caratteristiche dell’elettronica di Front-End, basata sull’analisi dei risultati ottenuti.

132

8-2 Sitema di collaudo semi automatico Data la quantità di circuiti da collaudare, anche in questo caso si è provveduto assieme ai colleghi del gruppo di ricerca, allo sviluppo di un programma di test (DC2_TestGPIB.vi) scritto nel linguaggio G, mediante l’ambiente di sviluppo software per strumentazione GPIB di LabVIEW (National Instruments). Il programma realizza un Virtual Instrument, in grado di dialogare tramite connessione GPIB ed una scheda di interfaccia per PC con i seguenti strumenti: - Due alimentatori programmabili tipo HP E3631A, - Tre multimetri digitali tipo 2 x HP 34401A e 1 x R&S URE3. Il pannello del Virtual Instrument visibile in Figura 87, consente di eseguire un ciclo di acquisizione specificatamente per il test del Current Monitor/Line driver, procedendo come segue: 1. Impostare due nomi di file, sui quali andare a memorizzare le misure più significative

fatte con e senza R18(*). 2. Impostare le due tensioni di alimentazione di ± 6V e rilevare l’assorbimento di corrente

nell’unità sottoposta al test, mediante il primo alimentatore programmabile HP E3631A. 3. Eseguire due misure di tensione con i multimetri HP34401A, per verificare la tensione

all’uscita del Current monitor e quella differenziale all’uscita del Line driver, in assenza sia della corrente di anodo che della resistenza di calibrazione (R18).

4. Attivare tramite il secondo alimentatore programmabile, l’alimentazione di un generatore

di corrente costante (I0 = 144 µA) che simula la corrente nominale della rete di polarizzazione del PMT. Misurare nuovamente l’assorbimento di corrente nelle linee di alimentazione e successivamente con il multimetro URE3, la tensione ai capi di R18 (assente) e la tensione in uscita dal Current Monitor.

5. Risolvere una semplice equazione lineare con i valori delle misure fatte, al fine di

determinare il miglior valore resistivo di R18 che azzera il Current Monitor. L’azzeramento è nel senso di predisporre la tensione di “piedistallo“in uscita dal Line driver al valore di 1,995 V (riferimento di tensione per corrente d’anodo nulla). Visualizzare il risultato a video,ed eseguire altri calcoli quali la sensibilità S o il fattore di accoppiamento K’3.

6. Ricercare il valore resistivo più prossimo a quello disponibile da una tabella di 14 valori

standard all’1% (tra 150 KΩ e 560 KΩ). Attendere un conferma della sua presenza nel circuito, per effettuare nuovamente la misura dei punti 2-3, nonché il “piedistallo“ di tensione all’uscita del Line driver.

(*) per l’ubicazione di R18 e altri componenti menzionati nel seguito, si vedano gli schemi definitivi del Capitolo 6.

133

7. Concatenare le misure più significative in due stringhe di dati, con e senza R18. Successivamente memorizzarle nei due file definiti nel punto 1, per l’analisi statistica successiva.

8. Attendere un consenso da parte dell’operatore, al fine di riprendere un nuova sequenza di

misura definita nei punti (2-7) o terminare il programma dopo aver disattivato entrambi gli alimentatori.

Figura 87 Pannello del Virtual Instrument impiegato nel sistema semi automatico di collaudo. In esso si possono notare sotto il nome di ogni strumento GPIB, le due differenti misure fatte

prima (Sx) e dopo (Dx) l’inserzione della resistenza di calibrazione R18.

8-3 Test di accettazione Per eseguire i test ci si è avvalsi di due differenti sistemi di misura specificatamente realizzati per il collaudo del Current Monitor/Line driver e per l’Active/Passive Bias Network. Il primo circuito testato e stato quello del Current Monitor/Line driver. Ogni circuito ha subito un’accurata ispezione visiva e successivamente l’assegnazione di un numero progressivo apposto con una etichetta che ne indica inoltre il numero di lotto. La numerazione

134

e partita da #12 sino a #161, perché i primi 11 PCB sono stati montati manualmente in laboratorio per confermare alla ditta che li ha poi realizzati, la loro rispondenza alle nostre caratteristiche. • L’ispezione visiva:

Essa è servita per verificare il corretto valore dei componenti e il loro posizionamento. Questo è stato fatto in particolare per i primi pezzi in modo da verificare che l’assemblaggio automatico pick & place, non avesse generato dei mal posizionamento nei componenti. Il risultato è stato confortante, dato che nessun PCB ha evidenzia errori salvo alcuni casi in cui i connettori saldati manualmente, sono stati posizionati leggermente inclinati rispetto alla normale del circuito. A questo è stato posto rimedio a campione.

Figura 88 Schema del sistema di collaudo semi automatico, impiegato per il test di accettazione del Current Monitor / Line driver.

135

• Difetti nel processo di saldatura:

Particolare attenzione è stata posta nell’osservazione di difetti di saldatura e residui lasciati dal processo di lavorazione dato che questi, soprattutto nella zona sottoposta ad alta tensione, possono facilmente generare dispersioni e/o scintille. Come risultato, si è trovato in pochi casi la presenza di piccole gocce di stagno (∅ ≤ 0,3 mm) che sono state rimosse con un piccolo pennello. Solo in un caso la presenza di un foro metallizzato vicino alla piazzola di saldatura, ha comportato un risucchio di stagno tale da rendere inefficace il collegamento con il terminale del componente (Base del transistor Q1 = FMMT3904). Per questo motivo a fine precauzionale, tutti i PCB sono stati preventivamente risaldati nel punto in cui è avvenuto tale difetto.

• Misure in continua:

Mediante il sistema di collaudo visibile in Figura 88, ogni PCB del Current Monitor è stato posto all’interno di una scatola metallica visibile in Figura 89, attrezzata internamente con un circuito stampato in grado di interconnettersi come farebbe il PCB dell’Active Bias Network. In questo modo si è predisposto il riporto su connettori fissati alla scatola, di alcuni potenziali elettrici presente nel PCB sottoposto al test, per un’agevole misura e/o pilotaggio con segnali provenienti dalla strumentazione GPIB. Essi sono:

V(HV_HI), V(KV_HI), V(V+), V(GND), V(V-), V(Va), V(+oV ), Vdiff_out.

Utilizzando il programma di collaudo descritto nel paragrafo 8-2 si è iterato il suo funzionamento per 150 volte, procedendo per ogni PCB alla misura automatica delle tensioni e correnti, nel seguente modo: SW = Aperto Id = 0 µµµµA, R18 = Assente misura della corrente assorbita +I , −I @ ± 6V misura della tensione +

oV e Vdiff_out misura della tensione Va SW = Chiuso Id = 144 µµµµA, R18 = Assente misura della corrente assorbita +I , −I @ ± 6 V misura della corrente Id = V(KV_HI) / (R17 = 30 KΩ) misura della tensione Va’ risoluzione di alcune semplici equazioni lineari che forniscono:

17RVV

VR18R

aa

HIKVf −−

⋅=

)'(_ , dove Rf =180 KΩ e R17 = 30 KΩ.

il guadagno ottico dell’OCM: )_(

3)'(

K'HIKV

f

aa

V17R

RVV

1⋅

−−=

Il valore previsto di Rgrid = R18 // R17, o ancora la sensibilità S = Rf K’3 ·( +oV /Va)

136

SW = Chiuso Id = 144 µµµµA, R18 = Presente (*) misura della corrente I”d = V”(KV_HI) / (R17//R18) misura della tensione Va” ……… ci si aspetta che sia uguale a quello di Va

misura della tensione +oV" = Vpedestal e V”diff_out

Figura 89 Disposizione dei connettori nella scatola predisposta per il test dei PCB del Current Monitor e Line driver. Sul lato destro sono duplicate le uscite del Line driver per semplificare le misure in continua (sotto), con quelle dell’impulso di test su linea differenziale (sopra). Sul lato sinistro in basso è indicato l’interruttore SW utilizzato per abilitare (up) o disabilitare (dw) l’impulso di test • Risposta all’impulso:

Per verificare il funzionamento del Line driver e del circuito di iniezione del segnale di prova, si è inserito un cavo twisted pair della lunghezza di circa ½ metro e terminato con un trasformatore d’impulsi, dello stesso tipo che verrà impiegato nel sistema di acquisizione (Analog Board). Il test procede generando un impulso di tensione (mediante generatore programmabile di impulsi HP8181A) la cui ampiezza tramite il circuito di iniezione, produce all’ingresso del Line driver un equivalente impulso di corrente (10 mApp). La forma d’onda del

(*) resistenza precisa all’1%, che meglio approssima il valore calcolato.

137

segnale in uscita dal trasformatore (5 Vpp) è stata memorizzata dall’oscilloscopio digitale TDS724A (vedere Figura 90). Per ogni PCB testato si è confrontata tale forma d’onda con le precedenti già acquisite. In questa fase del test tutti i circuiti controllati sono risultati con identiche caratteristiche.

Figura 90 Tipica risposta all’impulso di 10 mA, applicato al circuito di iniezione del segnale di test. La durata dell’impulso e di 500 ns e l’ampiezza in uscita dal trasformatore è di 5 V

(CH1). Per confronto è visibile il segnale di test inviato al circuito di iniezione (CH2).

• Montaggio della resistenza di calibrazione

L’ultima operazione eseguita, ha previsto la definitiva saldatura della resistenza di calibrazione R18, con la quale si può essere certi della calibrazione del PCB del Current Monitor. I valori di sensibilità e tensione V’o+ di piedistallo (@ Id = 144 µA), sono conosciuti all’1%.

Sino a questo punto, il collaudo e la calibrazione di tutti i 150 circuiti ha richiesto mediamente per due operatori, un tempo di 3 ÷ 4 (minuti / circuito). La suddivisione dei compiti nelle operazioni di test è stata la seguente: mentre un operatore pone nella scatola il circuito da testare, attiva il software di test e pone temporaneamente il valore standard di R18, l’altro operatore salda la resistenza di calibrazione, ispeziona visivamente il circuito e esegue piccoli ritocchi nelle saldature se necessario.

138

I risultati dei test di accettazione appaiono nel formato di grafici, per differenti valori dei parametri misurati in funzione del numero di circuito testato (Figura 91– Figura 99). Si è potuto così stabilire che tutti i 150 PCB del Current Monitor funzionano, eccetto tre: #37, #55 e #81. Questi ultimi sono stati scartati perché hanno presentato alcuni parametri al di fuori della distribuzione del lotto. Tuttavia per un migliore statistica, in futuro si prevedrà il medesimo test ma durante un periodo di invecchiamento (burn-in) all’interno di una camera climatica. Un statistica di tutti i risultati dei test è mostrata in Tabella 16, dove sono riportate alcune utili informazioni ricavate per ogni tipo di misura eseguita quali: il valore medio, la deviazione standard, l’errore relativo percentuale il campo di variabilità definito tra gli estremi massimi e minimi. A titolo di esempio la sensibilità S = 60,6 mV/µµµµA si discosta dal valore medio del lotto di +5,5% -5,9% (std. dev.% = 3 %), mentre la tensione V(Vo+) che definisce il piedistallo in corrispondenza di Ia = 0 µA , è centrata sul valore V(Vo+) = 1,89 ±±±± 0,03 V.

No R18 insert Va(@Id=0) V'a(@Id) Id I+ I- K'3 R18 Cal Vdif_out Vo+(@Id=0)

Mean 4,91061 2,29402 142,459 38,5231 11,0331 0,88092 286,369 -0,2359 1,91200

Standard Error 0,00224 0,05593 0,03386 0,09724 0,03606 0,00215 7,2264 0,04515 0,00108

Median 4,90791 2,2636 142,466 38,4047 10,9526 0,88103 260,103 -0,1913 1,91190

Standard Deviation 0,0275 0,68506 0,41481 1,19094 0,44167 0,02635 88,5050 0,55307 0,01331

rms Error % 0,56063 29,8628 0,29117 3,09151 4,00314 2,99146 30,9059 -234,38 0,69630

Sample Variance 0,00075 0,46931 0,1720 1,41836 0,19507 0,00069 7833,15 0,30589 0,00017

Range 0,13692 2,49474 1,93333 9,42596 2,305 0,10096 343,244 7,49525 0,08552

Minimum 4,85480 0,9745 141,6 35,471 10,0883 0,83140 163,694 -4,9295 1,87624

Maximum 4,99172 3,46929 143,533 44,8970 12,3935 0,93237 506,939 2,56568 1,96177

Count 150 150 150 150 150 150 150 150 150

R18 insert Va" R18//R17 Vo+ Vo+/Va Vdif_out Sensitivity R18 Insert Mean 4,87343 26,9878 1,89695 0,38924 -0,1075 60,6517 282,066 Standard Error 0,0067 0,06481 0,00263 0,00011 0,05892 0,14814 7,08989 Median 4,87457 27,0695 1,89968 0,38925 -0,1121 60,6595 270 Standard Deviation 0,08316 0,79386 0,03224 0,00142 0,7216 1,81437 86,8331 rms Error % 1,70646 2,94156 1,69966 0,36568 -670,80 2,99146 30,7846 Sample Variance 0,00691 0,63022 0,0010 2,03E-06 0,52076 3,29195 7539,99 Range 0,40429 3,02743 0,16892 0,01078 8,57962 6,95171 350 Minimum 4,65517 25,3598 1,80625 0,38528 -3,6558 57,242 160 Maximum 5,05947 28,3872 1,97517 0,39606 4,92378 64,1940 510 Count 150 150 150 150 150 150 150

Tabella 16 Statistiche dei parametri elettrici misurati durante il test di accettazione dei 150 PCB del Current Monitor, dal sistema di collaudo semi automatico.

139

Figura 91 Dispersione nella misura della corrente assorbita dai PCB del Current Monitor. L’alimentazione negativa è quasi uniforme entro il 4%, mentre quella positiva si disperde maggiormente a causa delle variazioni nella corrente assorbita dal foto accoppiatore IL300E. Ciò nonostante, le variazioni sono compatibili con le specifiche del componente. Il circuito #37 si discosta notevolmente dal resto del lotto e per questo è stato scartato.

Supply current dispersion37

0

5

10

15

20

25

30

35

40

45

50

0 50 100 150

PCB Number

Supp

ly c

urre

nt (m

A)

I supply + I supply -

I+=38.5±1.2

I-=11.0±0.44

140

Figura 92 Misura delle tensioni di offset all’uscita dei Line driver MAX4147. I due circuiti #55 e #81, benché siano ampiamente entro le specifiche del componente, non sono risultati idonei. L’eccessiva tensione di offset induce la circolazione di una corrente non nulla nel nucleo del trasformatore d’impulsi in ricezione, provocandone la saturazione !.

Driver's output offset voltage

81

55

-5

-4

-3

-2

-1

0

1

2

3

4

5

6

0 50 100 150 200

PCB Number

Vdiff

out (

mV)

141

Figura 93 Misura del coefficiente di accoppiamento K1 del fotoaccoppiatore IL300E, il cui valore nominale è di K1 = 7/1000. Rispetto ai valori misurati ottenuti dal rapporto tra IPD1 / IL2, il coefficiente mostra un dispersione di ~ 6 %, notevolmente inferiore ai limiti specificati dalla Siemens (5/1000 ÷ 11/1000). I PCB #37e #148 risultano agli estremi della fluttuazione dell’intero lotto. Ad un fissata corrente Id, l’effetto di un basso coefficiente K1 comporta un maggiore assorbimento di corrente da parte del led L2 di accoppiamento ottico, viceversa per un alto valore di K1.

K1148

37

0,005

0,0055

0,006

0,0065

0,007

0,0075

0,008

0,0085

0,009

0,0095

0,01

0 50 100 150 200

PCB Number

K1

K1 = 0.00797 ± 0.00048

142

Figura 94 Il coefficiente di accoppiamento tra i due fotodiodi PD1e PD2 nel fotoaccoppiatore IL300E è K3. La Siemens garantisce la sua variabilità entro i limiti indicati nel grafico (Bin selection = E). L’attuale grafico mostra i valori di guadagno in corrente K’3 misurati dal software. Essi sono moderatamente inferiori a K3 per via del guadagno non infinito dell’amplificatore di corrente nell’OCM.

K'3

0,8

0,82

0,84

0,86

0,88

0,9

0,92

0,94

0,96

0 50 100 150 200

PCB number

K'3

K'3 = 0.881± 0.026

0,955

0,851

143

Figura 95 La corrente di soglia Ith è stata calcolata dal valore assunto dall’intersezione della retta passante per due consecutive misure di corrente in uscita dal CM (per Id 150 µA e 130 µA), con l’asse delle ascisse di Id. Come si può notare il valore medio di Ith = 1,19 µµµµA si avvicina al valore sperimentale di ~ 1 µA [41], precedentemente trovato nel Capitolo 6.

I th

0,4

0,6

0,8

1

1,2

1,4

1,6

1,8

2

0 50 100 150 200

PCB number

I th

( µ µµµA)

I th = 1.19 ± 0.23

144

Figura 96 La dispersione nel coefficiente di accoppiamento K3 del foto accoppiatore IL300E, obbliga a determinare il valore di R18 che azzera l’uscita del Current Monitor. Il grafico mette in evidenza i differenti valori di R18 calcolati dal software, con quelli discreti effettivamente usati. Solo 14 valori standard all’1% sono stati impiegati (150 KΩ ÷ 560 KΩ).

Determining R18 as a function of K'3

0

100

200

300

400

500

600

0,84 0,86 0,88 0,9 0,92 0,94 0,96

K'3

R18

[KΩ ΩΩΩ

]

R18 Calc

R18 Insert

145

Figura 97 In questo grafico si può valutare l’accuratezza con cui è stato fatto l’azzeramento del Current Monitor. I valori indicati in ordinata indicano il rapporto tra la tensione Vo+ (@ Id = 144 µA) dopo l’inserzione di R18, rispetto alla stessa ma per Id = 0 µA.

Pedestal of the CM referred to its desired value after inserting zeroing resistor R18

0,940

0,950

0,960

0,970

0,980

0,990

1,000

1,010

1,020

1,030

0 50 100 150 200PCB Number

146

Figura 98 Dispersione della sensibilità del Current Monitor, dovuta unicamente al coefficiente di accoppiamento K3 del foto accoppiatore IL300E, Tuttavia è da sottolineare che per variazione di temperatura tra 0° e 75° C esso è estremamente stabile (< 1%). La sensibilità di ogni canale è conosciuta con un’accuratezza dell’1%. Rispetto alla media di S = 60,6 [mV/µµµµA], ogni canale può discostarsi da tale valore di +5,5% - 5,9%.

Current Monitor Sensitivity

56

57

58

59

60

61

62

63

64

65

0 50 100 150

PCB Number

Sens

itivi

ty (m

V/A)

S = 60,65 ± 1.81

147

Figura 99 La corrente di anodo è determinata dalla misura della tensione Vo+ in uscita dal Line driver secondo la relazione indicata nel grafico. Questa può variare tra un minimo di 0,4 V ad un massimo di Vped (con Ia ≅ 24 µA). I limiti di variabilità sono tali che il convertitore Σ/∆ a 16 bit potrà misurare la corrente di anodo con un risoluzione di ≅ 0,5 [nA/bit].

Current Monitor Pedestals

0,4

0,6

0,8

1

1,2

1,4

1,6

1,8

2

2,2

0 50 100 150 200

PCB Number

Vped

[V]

Vped = 1.896 ± .032

Ia = (Vped - Vo) / S

148

• Prove di rigidità elettrica

Dei 150 PCB del Current Monitor collaudati ne sono stati scelti casualmente 20. Dopo aver provveduto alla connessione elettrica con il generatore d’alta tensione della C.A.E.N. mod.126 e alla loro interconnessione con i PCB dell’Active Bias Network, si e variato il potenziale V(HV) da 900 V sino a 1500 V ad incrementi di 100 V. Nessuna dispersione/scarica è avvenuta, mentre la corrente Id circolante nella rete di polarizzazione è linearmente aumentata da 144 µA a 243 µA, discostandosi rispetto a questi valori di circa l’1% tra i 20 circuiti testati.

• Misure in alternata Sono state incentrate alla caratterizzazione del Current Monitor, in termini del rumore introdotto dal Line diriver e dall’elettronica di polarizzazione. Le misure sono state fatte solo su alcuni pezzi presi in modo casuale nel lotto di 150. Mediante un analizzatore di spettro HP4194A, si è misurato lo spettro di potenza del rumore (tra 1000 Hz e 30 MHz) riferito all’ingresso del Line driver. Questo e stato ottenuto dalla misura contemporanea della densità spettrale di potenza e della funzione di trasferimento dell’intera catena analogica, costituita da: preamplificatore & driver del segnale inviato su linea differenziale, linea differenziale e terminazione sul trasformatore d’impulsi. Lo spettro di potenza del rumore è stato misurato ponendo l’intero sistema di misura entro un gabbia di Faraday, eccetto il modulo di alimentazione per l’alta tensione. Per differenziare i diversi contributi al rumore indotti dalle differenti condizioni di misura, si sono prodotto anche in questo caso dei risultati sotto formati grafici visibili da Figura 100 a Figura 104. In essi si può osservare l’effetto della: • presenza/assenza del filtro dell’alta tensione, • presenza/assenza della configurazione circuitale che minimizza il rumore di

modo comune, proveniente dall’alimentazione HV, • presenza/assenza della corrente d’anodo, ottenuta alimentando il Background

LED per simulare il rumore di fondo indotto dalla luminosità del cielo. In Particolare in quest’ultimo caso anche con la gabbia di Faraday aperta/chiusa.

149

Dalle misure si può concludere che il rumore dell’elettronica di Front End (HE) ha una densità di 9 HznV / , ed incrementa solo dell’11% il rumore di fondo prodotto dalla luminosità del cielo, quest’ultimo compreso tra 18 ÷ 20 HznV / (*). Le componenti ad alta frequenza prodotte dall’alimentatore per alta tensione, vengono considerevolmente ridotte dal semplice filtro RC, costituito dalla resistenza di equalizzazione del guadagno del PMT (R9 = 100 KΩ) e dal condensatore posto sul PCB dell’Active Bias Network (C15 = 2,2 nF). Diversamente, le componenti a bassa frequenza vengono ridotte dalla reiezione di modo comune che ha il Line driver. Alcuni picchi di particolare rilevanza in termini di potenza spettrale, sono stati notevolmente ridotti alla chiusura della gabbia di Faraday. Tale circostanza è da imputarsi alla naturale proprietà del sistema PMT/Elettronica di Front End a captare disturbi RF. In futuro, la realizzazione del FD dovrà tener conto di questa peculiarità, minimizzando con schermature l’elettronica.

(*) Assunto il guadagno del tubo fotomoltiplicatore G = 5·104 ed un fattore 1,2 di eccesso di rumore shot, il valore quadratico medio della tensione di rumore è: ebckgnd = 1,2 √(2·1,6·10-19·4,32 pA)·G·250Ω = 17,7 nV/ √Hz.

150

Figura 100 Il filtro per l’alta tensione e il circuito di riduzione del CMRR non sono presenti. Questo risulta evidente nello spettro di rumore sia a bassa che ad alta frequenza, nonostante la gabbia di Faraday si chiusa.

151

Figura 101 La presenza del fitro RC in serie alla linea di alimentazione dell’alta tensione, riduce drasticamente le componenti di rumore ad alta frequenza,ma molto meno a bassa frequenza. Questo nonostante sia aperta la gabbia di Faraday e non sia attivo il circuito per CMRR. Si possono osservare due picchi alla frequenze di 15,7 e 31,6 kHz (dovuti al monitor del PC).

152

Figura 102 Il circuito di riduzione del CMRR è presente come pure il filtro per l’alta tensione. Il rumore a bassa frequenza e ora notevolmente ridotto. Quello che rimane ancora presente all’ingresso del Line driver e solo rumore di tipo 1/f.

153

Figura 103 Situazione ottimale. Tutti gli accorgimenti atti a ridurre il rumore sono ora operativi , compreso la chiusura della gabbia di Faraday. I picchi di rumore a 15,7 e 31,6 kHz prodotto dal monitor del PC sono anch’essi spariti. La densità di rumore bianco a frequenza superiore a 3 kHz è di circa 9 nV/√(Hz).

154

Figura 104 Rispetto alle condizioni ottimali della precedente Figura 103, si è illuminato il PMT con il Background LED, affinché circolasse un corrente d’anodo di 216 nA (corrispondente alla luce del cielo di notte e per un guadagno del PMT di G = 5·104). Il nuovo livello di densità di rumore è circa 20 nV/√(Hz). Si deduce così che il contributo al rumore dovuto all’elettronica di Front-End (HE), e solo dell’11%.

155

Il secondo circuito collaudata è stato quello dell’Active /Passive Bias Network. Per il collaudo di questi PCB e stato realizzato uno speciale supporto meccanico visibile in Figura 105, in grado di guidare il posizionamento del circuito da testare su un letto ad aghi. Questi sono stati disposti circolarmente, secondo la piedinatura dello zoccolo del PMT. Agli aghi, sono stati collegati i terminali di un multimetro a scansione (HP34970A). La procedura è stata la seguente:

• Controllo visivo: Uguale a quello già menzionato precedentemente per il Current Monitor, per il quale si sono riscontrati in pochi casi solo gli inconvenienti provocati dalla presenza di piccole gocce di stagno (∅ ≤ 0,3 mm) dovuta alla lavorazione di saldatura. Anche in questo caso sono state rimosse con un piccolo pennello.

• Misure in continua: Effettuato il posizionamento del base di polarizzazione sullo speciale supporto per il letto ad aghi, si è data l’alta tensione di alimentazione (V(HV) = 900 V) mentre contemporaneamente si è controllato che la corrente assorbita fosse di Io = 144 µA. Successivamente il multimetro a scansione ha misurato in sequenza automatica i potenziali elettrici tra ogni coppia di dinodi. Il risultato ottenuto è che per tutti i PCB il valore di corrente assorbita e tensioni interdinodiche è risultata mediamente contenuta entro l’1%. In conseguenza nessun PCB dell’Active Bias Network è stato scartato.

Figura 105 Supporto meccanico realizzato per posizionare sul letto ad aghi i PCB dell’Active Bias Network. La testa posizionata a sinistra viene mossa sopra il PCB e successivamente

abbassata, assicurando così un ottimo contatto elettrico tra le piazzole e gli aghi.

156

8-4 Specifiche ricavate dai dati di collaudo Analizzando i dati risultanti dal collaudo delle 150 unità di HE, l’uniformità nelle caratteristiche ha consentito di sintetizzare nella Tabella 17, le seguenti specifiche elettriche: Specifiche generali: Alimentazione a bassa tensione +6 V 39 mA -6 V 11 mA Alimentazione ad Alta Tensione 915 V 144 µA Dissipazione totale di potenza 427 mW Line Driver: Uscita Differenziale Resistenza totale d’uscita 10 Ω Tensione di modo comune 1,9 V @ Ia = 0 µA Sensibilità 500 mV / µA Massimo segnale di corrente 10 mA Banda passante 1 kHz – 12 MHz Densità spettrale del rumore bianco 9 nV / √(Hz) @ f > 3 kHz Rapporto di reiezione di modo comune 28 dB tra 1 – 100 kHz Current Monitor: Sensibilità 60,6 mV / µA Variazione nella misura di corrente 0 – 25 µA Variazione nella misura di tensione 1,91 V @ Ia = 0 µA 0,4 V @ Ia = 25 µA Banda passante 10 Hz Rumore riferito alla correte continua d’anodo 80 pA Risoluzione del convertitore a 16 bit Σ-∆ 500 pA Test pulse: Ampiezza massima 10 mA (@5 Vpp impulso negativo esterno) PMT Bias Network: Tipo di partitore Attivo Corrente assorbita dalla rete 144 µA @ 900 V Variazione di Guadagno Non misurabile per Ia ≤ 10 µA 2 % @ Ia = 20 µA 5 % @ Ia = 30 µA Massima Tensione di alimentazione e 1500 V (testato !)

Tabella 17 Specifiche generali dell’elettronica di Front-End (HE), per il primo lotto di 150 unità.

157

8-5 Conclusioni I risultati ottenuti nell’arco di questa tesi hanno mostrato la validità nella scelta della rete di polarizzazione Attiva quale migliore sistema di polarizzazione del fototubo. Questo, sia in termini di maggiore stabilità del guadagno del PMT: in presenza degli impulsi e su un largo intervallo di variazione della luminosità di fondo del cielo (@ Ia=20 µA, ∆∆∆∆G = 1% contro 13,5%), che di una minore potenza dissipata (131 mW contro 246 mW). La progettazione del Current Monitor, per una lettura diretta della corrente media circolante all’anodo del PMT (tra 0 ÷ 25 µA) nonostante questo si trovi ad alta tensione, ha costituito un sistema di misura di nuova concezione. La sua completa caratterizzazione in termini di linearità nella misura che di stabilità termica su un ampio campo di variazione della temperatura (paragonabile allo standard commerciale per i componenti elettronici), consentirà all’elettronica di elaborazione del segnale, di disporre di una sistema complementare di misura, usabile per diversi scopi quali :

• possibilità di allineamento dei telescopi, • indagine sulla presenza di sorgenti di rumore coerente, • monitoraggio della attenuazione luminosa nella atmosfera.

Le prestazioni ottenute dalla trasmissione simultanea su linea differenziale della misura della corrente media d’anodo e del segnale rivelato, hanno costituito una sfida per l’elevato range dinamico di quest’ultimo segnale. La scelta oculata del Line driver e una disposizione della componentistica ausiliaria al suo funzionamento, hanno permesso di minimizzare il contributo al rumore dell’elettronica di Front-End (incremento solo dell’11% rispetto a quello dovuto alla luminosità di fondo del cielo) e massimizzare la banda passante per i segnali. Infatti, per il segnale rivelato dal PMT si ha a disposizione un banda tra 1 kHz ÷ 12 MHz, mantenendosi nettamente separata da quella del segnale della misura della corrente media d’anodo tra 0 ÷ 10 Hz, rispondendo ampiamente alle specifiche elettriche richieste per il rivelatore di Fluorescenza. L’introduzione del sistema d’iniezione del segnale di test a livello del Line driver, consente di separare la risposta ottica da quella elettrica del sistema. I vantaggi che se ne traggono permettono di :

• verificare l’integrità del canale e la sua calibrazione, • eseguire test in presenza/assenza dell’alta tensione, • diagnosticare il mancato funzionamento dei PMT o una deviazione del loro guadagno.

La definizione del sistema di generazione del segnale di test, è ancora in fase di ottimizzazione. La estrema compattezza dell’elettronica di Front-End contenuta in due soli PCB del diametro di 32 mm, conferiscono una miniaturizzazione spinta della HE, in relazione alla caratteristiche elettriche ottenute.

158

Infine i test di accettazione su un primo lotto di 150 unità, hanno mostrato dei risultati molto buoni in termini di uniformità nelle caratteristiche elettriche. Questo garantisce una ottima ripetibilità delle caratteristiche elettriche nelle prossime produzioni (~ 16000 unità). Il sistema semi automatico impiegato durante i test di accettazione, ha permesso di individuare univocamente i circuiti che non rispondevano nella media dei valori del lotto, pur tuttavia un ulteriore raffinamento nella tecnica di collaudo si rende necessaria per ridurre ulteriormente i tempi di test.

159

Bibliografia

160

[1] P. Auger et al., Comptes Rendus 206, p. 1721 (1938), P. Auger, Rev. Mod. Phys. 11, p. 288 (1939). [2] J. Linsley, Phys. Rev. Lett. 10, p. 146 (1963). [3] D. J. Bird et al., Astrophys. J. 441, p. 144 (1995). [4] N. Hayashida et al., Phys. Rev. Lett. 73, p. 3491 (1994). [5] E. Fermi, Phys. Rev. 75, p. 1169 (1949). [6] Un’eccellente presentazione su: ‘accelerazione e propagazione dei raggi cosmici’ di R. J. Protheroe, pre-stampa astro-ph/9612212, apparso al “10° course of Int’l School of Cosmic Ray Astrophysics”, Erice, Sicilia (World Scientific, 1997). [7] L. O’C. Drury, Rep. Prog. Phys. 46, p. 973 (1983); L. O’C. Drury, Contemp. Phys. 35, p. 231 (1994); vedere anche l’eccellente discussione di T. K. Gaisser in “Cosmic Rays and Particle Physics”, (Cambridge: Cambridge Univ. Press, 1990), Cap. 11, e riferimenti interni. [8] P. O. Lagage e C. J. Cesarsky, Astron. Astrophys. 118, p. 223 (1983). [9] K. Greisen, “GZK“ Phys. Rev. Lett. 16, p. 748 (1966), G. T. Zatsepin, e V. A. Kuz’min: JETP Letters 4, p. 78 (1966). [10] J. W. Cronin, Nucl. Phys. B (Proc. Suppl.) 28B, p. 213 (1992). [11] M. S. Longair, “High Energy Astrophysics”, 2a edizione, Cambridge University Press, (1992). [12] P. L. Biermann, “Topical Review in J. Phys”, G23, p. 1 (1997). [13] A. A. Watson, “Nucl. Phys. B”, (Proc. Suppl.) 22B, p. 116. [14] M. Boratav, J. W. Cronin, A. A. Watson, “Nucl. Phys. B”, (Proc. Suppl.) 28B. [15] C. K. Guérard, “The auger project and the detection of UHECRs”, rev. presentata al laboratorio interdisciplinare su “Frontiere degli oggetti in astrofisica e fisica delle particelle” tenutasi a Vulcano, Sicilia (24-30 Maggio 1998). Auger Tecnical Note GAP-98-042. [16] Pierre Auger, “Project Design Report”, 2a Ed. Rev. (14-March-1997). [17] K. Greisen, “Ann. Revs. Nucl. Scie.”, 10, p. 63 (1960). [18] P. Sokoslsky, “Introduction to Ultrahigh Energy Cosmic Ray Physics”, Addison-Wesley Publishing Company (1988).

161

[19] R. M. Baltrusaitas, et al.: ‘Nucl. Instr. And Meth.’ A240, p. 410 (1985). [20] F. Kakimoto, et al. “A measurement of the air fluorescence detectors”, Nucl. Instr. And Meth. A372, p. 527-533 (1995). [21] B.R. Dawson et al., “ Proposed Fluorescence Detectors for Auger Observatory”, Auger Tecnical Note GAP-97-043. [22] T. K. Gaisser e A. M. Hillas, Proc. 15th International Cosmic Ray Conference, Plovdiv 8, p. 353 (1977). [23] L. D. Landau e I. J. Pomeranchuk, Dokl. Akad. Nauk. SSSR 92, p. 535 (1953), e Dokl. Akad. Nauk SSSR 92, p.735 (1953) (minute in Inglese: L. D. Landau, Collected Papers, Pergamon Press(1965); A. B. Migdal, Phys. Rev. 103, p.1811 (1956). [24] J. V. Jelley, “Čerenkov radiation and its applications”, Pergamon (1958). [25] B. R. Dawson et al., “ Fluorescence Detectors for Auger Observatory”, Auger Tecnical Note GAP-96-017. [26] D.V. Camin e P. Sommers, “Minutes of CERN Meeting on FD Electronics”, inviata al ‘Gruppo della Fluorescenza’, 6-Agosto-1997. [27] B. R. Dawson et al., “ Amplitude Dynamic Range in Auger Fluorescence Electronics”, Auger Tecnical Note GAP-97-064. [28] Philips, ‘Photomultiplier Tubes principles & applications’, p.4-22 (1994). [29] Hamamatsu e Philips Photomultiplier Tubes Manuals. [30] Italian-German Fluorescence Group Meeting. CERN, 25÷26-Ottobre-1998. Vedere e-mail di B. Dawson, inviata al ‘Gruppo della Fluorescenza’ il 2-Dicembre-1998. [31] B. R. Dawson e A. Smith, “Hybrid Operation Under Moolight”, Auger Tecnical Note GAP-96-034. [32] Vedere e-mail di D. Kieda, inviata al ‘Gruppo della Fluorescenza’, il 30-luglio-1998. [33] S. Argirò, D. V. Camin, M. Destro, C. K. Guérard, “Passive and Active PMT Biasing Networks”, Auger Tecnical Note GAP-98-063. [34] S. Argirò, D. V. Camin, M. Destro, C. K. Guérard, “Passive and Active PMT Biasing Networks II”, Auger Tecnical Note GAP-99-015. [35] Philips Photomultiplier Tubes Data Book, XP2012 (10-stage) pag.65, XP3062 (8-stage) pag.351, 1989. [36] M. Bertolaccini, S. Cova, “Lezioni su Fotorivelatori”, all’Istituto Nazionale di Ottica (Fi) e al Politecnico di Milano.

162

[37] G. Matthiae e P. Privitera. “Study of Philips Hexagonal PMT XP3062 for the FD camera”, (20-10-1998), Auger Tecnical Note GAP-99-001. [38] Comunicazione privata da George Cassiday, (24-Giugno-1999). [39] S. Argirò, D. V. Camin, M. Destro, C. K. Guérard, “Monitoring DC anode current of a grounded-cathode photomultiplier tube”, Auger Tecnical Note GAP-98-062 (25-January-1999). [40] S. Argirò, D. V. Camin, M. Destro, C. K. Guérard, “Monitoring DC anode current of a grounded-cathode photomultiplier tube”, Nuclear Instruments & Methods in Physics Research A, 435,p. 476-481 (1999). [41] D, V, Camin, V, Re, “Analog Signal Processing”, Auger project Note GAP-99-019. [42] B, Dawson, C, K, Guérard, “FD Montecarlo”, Auger project Note GAP-98-034. [43] A. Lai e L. Musa. “PMChip: an ASIC dedicated to Pipelined Read Out and Trigger Systems”, IEEE transactions on nuclear science, Vol 42 No 4, p. 812 (Agosto 1995) [44] A. Lai, L. Musa. “Pipeline Memory Boards Specifications”, NA48-note-95-5 [45] M. Destro, “Modello tridimensionale del PMT e dell’elettronica di Front-End”, (formati:dwg, dxf, 3ds). e-mail: [email protected] [46] R. Spaiardi, ‘Studio SMD S.r.l.’ Milano. e-mail: [email protected] [47] D. V. Camin, M. Cuautle, M. Destro, R. Gariboldi, “Fabrication of the First 150 Head Electronics units. Results of the acceptance tests”, Auger Tecnical Note GAP–99-0xx (August-1999).

163

Siti Internet: 1. Descrizione del progetto AUGER

Sito Ufficiale http://www.auger.org Articoli http://www.ses-ng.si/public/pao/bibl/bibl.html Gap & Note http://www.auger.org/admin-cgi-bin/woda/gap_notes.pl Collaborazioni http://www-lpnhep.in2p3.fr/auger/org.html Database http://www.auger.org/admin

2. Specifiche dei componenti impiegati

FMMT458 FMMT3904 http://www.zetex.com AD648JR,AD822AR http://www.analog.com TLP127E http://www.toshiba.com IL300E-X007 http://www.siemens.de/semiconductor/index.htm