directory 2017/18 - nmi · bitwise cambridgeiq dialog semiconductor ems equipic global technologies...

150
DIRECTORY 2017/18 MANUFACTURING SUPPLIER ANALYTICAL TOOLS AND SERVICES CLEANROOM CONSUMABLES, FACILITIES & SERVICES CONSULTANCY SERVICES ELECTRONICS COMPONENT MANUFACTURING EQUIPMENT SALES, SUPPLIES, REFURBISHMENT SUPPORT & CONSUMABLES MARKETING SERVICES MATERIALS & CHEMICALS OUTSOURCED CLEANROOM SERVICES PACKAGING PASSIVE COMPONENTS PHOTO MASKS R&D SERVICES RECRUITMENT SERVICES RELIABILITY TEST RF RELATED SERVICES SOFTWARE & AUTOMATION TESTING TRAINING VACUUM RELATED EQUIPMENT & SERVICES

Upload: others

Post on 17-Apr-2020

2 views

Category:

Documents


0 download

TRANSCRIPT

Page 1: DIRECTORY 2017/18 - NMI · Bitwise CambridgeIQ Dialog Semiconductor EMS EquipIC Global Technologies Ichor Systems Lauterbach Development Tools MDL Technologies Microlease Presto Engineering

DIRECTORY

2017/18

MANUFACTURING

SUPPLIER

ANALYTICAL TOOLS AND SERVICES CLEANROOM CONSUMABLES, FACILITIES & SERVICES

CONSULTANCY SERVICES ELECTRONICS COMPONENT MANUFACTURING EQUIPMENT

SALES, SUPPLIES, REFURBISHMENT SUPPORT & CONSUMABLES MARKETING SERVICES

MATERIALS & CHEMICALS OUTSOURCED CLEANROOM SERVICES PACKAGING PASSIVE

COMPONENTS PHOTO MASKS R&D SERVICES RECRUITMENT SERVICES RELIABILITY TEST RF RELATED SERVICES SOFTWARE & AUTOMATION TESTING TRAINING VACUUM

RELATED EQUIPMENT & SERVICES

Page 2: DIRECTORY 2017/18 - NMI · Bitwise CambridgeIQ Dialog Semiconductor EMS EquipIC Global Technologies Ichor Systems Lauterbach Development Tools MDL Technologies Microlease Presto Engineering

SEE OUR PROFILE ON PAGE 105

Page 3: DIRECTORY 2017/18 - NMI · Bitwise CambridgeIQ Dialog Semiconductor EMS EquipIC Global Technologies Ichor Systems Lauterbach Development Tools MDL Technologies Microlease Presto Engineering

NMI : Manufacturing Supplier Directory 3

Welcome to the latest edition of the NMI Manufacturer Suppliers Directory, which promotes our members who are suppliers to high tech manufacturing. NMI believe that maintaining a healthy and competitive infrastructure is critical to the success of the whole sector. For this reason, we are committed to produc-ing and distributing this directory to provide effective linkages between custom-ers and suppliers.

About the NMI :

The NMI is the premier trade association representing Electronic Systems, Mi-croelectronics and Semiconductor Communities. We are primarily focussed in the UK and Ireland but with many other international links. Its objective is to help build and support a strong electronics community by acting as a catalyst and facilitator for both commercial and technological development.

Our members find that the more they participate the more they benefit. A not-for-profit organisation funded by its members, the NMI has a membership that spans the supply chain and includes electronic systems, fabless semiconductor manufacturers, semiconductor manufacturers, suppliers, foundries, design services, IP providers, business associates, research and academic institutions.

Want to find out more? :

If you are interested in finding out more about NMI and its activities, please visit our web site www.nmi.org.uk

NMI promotes its members across many stakeholder groups and encourages a professional business code of conduct.

NMI MANUFACTURING CONTACT

Chris Bennett

Director of Manufacturing Services

[email protected]

Page 4: DIRECTORY 2017/18 - NMI · Bitwise CambridgeIQ Dialog Semiconductor EMS EquipIC Global Technologies Ichor Systems Lauterbach Development Tools MDL Technologies Microlease Presto Engineering

www.iotsecurityfoundation.org

Page 5: DIRECTORY 2017/18 - NMI · Bitwise CambridgeIQ Dialog Semiconductor EMS EquipIC Global Technologies Ichor Systems Lauterbach Development Tools MDL Technologies Microlease Presto Engineering

NMI : Manufacturing Supplier Directory 5

MANUFACTURING SUPPLIER OF THE

YEAR 2016

John Harries from KMG (left) collects his

award from Steve Reilly of Oclaro (Sponsor)

at the 2016 NMI Gala Awards.

WINNER

NMI wish to congratulate these firms, who were voted by the manufacturing sites to be the top ten suppliers in 2016 within our sector. KMG Ultra Pure Chemicals came out on top for the 2nd year running and were awarded the Manufacturing Supplier of the Year. Maintaining a high performing infrastructure is essential to support a healthy electronics sector within the UK. The top ten suppliers were identified by asking our manufacturing sites to rate all NMI Supplier members in the following areas:

Customer Responsiveness Quality of Products Criticality of Product to Business Continuous Improvement

Activities to Improve Products or Services

ALSO IN THE TOP 10

Page 6: DIRECTORY 2017/18 - NMI · Bitwise CambridgeIQ Dialog Semiconductor EMS EquipIC Global Technologies Ichor Systems Lauterbach Development Tools MDL Technologies Microlease Presto Engineering

6 NMI : Manufacturing Supplier Directory

3M …………………………………………………… 12

AB Open…………………………………………… 13

Advanced EPI …………………………………… 14

Advanced Layout Solutions……………… 15 Almond Engineering…………………………. 16

Altran……………………………………………… 17

AMS …………………………………………………. 18

API Capacitors……………………………………19

Applus IDIADA …………………………………. 20

ASE (Europe) Inc……………………………….. 21

AVL…………………………………………………… 22

Bitwise …………………………………………… 22 Brunel University……………………………… 23

Busch ………………………………………………. 24

CambridgeIQ……………………………………..25

CEMCO……………………………………………… 26

CENSIS……………………………………………… 27

Charntec Electronics Ltd………………….. 28

Compound Semiconductors …………… 29

Technology Compugraphics ……………………………….. 30

Converter Technology……………………… 31

CPS ………………………………………………….. 32

Delta Microelectronics ……………………. 33

Deposition Technology …………………… 34

DFT Solutions …………………………………… 35

Dialog Semiconductor………………………. 36

Doulos………………………………………………. 37 E2V…………………………………………………… 38

Ebara Precision Machinery Europe…… 39

EDEX Systems ………………………………… 40

Electronics Link Asia………………………… 40

Embecosm……………………………………… 41

EMS Particle Solutions….………………….. 42

Epivalence………………………………………… 43

EquipIC……………………………………………… 45 EurSemi……………………………………………. 46

eVince technology ……………………………. 47

Exception EMS …………………………………. 48

Exception PCB…………………………………… 49

Feabhas …………………………………………… 50

FEI …………….…………………………………….. 51

First EDA…………………………………………… 52

Fourteen Technology………………………… 53 Global Technologies…………………………. 54

IC Resources ……………………………………. 55

ICAM………………………………………………. 56

Ichor Systems ………………………………….. 57

ICSENSE …………………………………………… 58

IMEC IC Link……………………………………… 59 INEX Microtechnology …………………… 60

Ingenious Quality …………………………… 61

Inside Secure …………………………………… 62

Intel………………………………………………….. 63

Ion Beam Services……………………………. 64

IQE ………………………………………………..... 65

IXYS UK Westcode ……………………………. 66

KMG Ultra Pure Chemicals ………………. 67 Lauterbach……………………………………….. 68

Logos Logistics ……………………………… 70

Lorit Consultancy……………………………… 71

LSA ………………………………………………….. 72

Lynbond 2000 …………………………………. 73

Magna Power ……………………………………74

Marcus Webb …………………………………...75

Maser Engineering…………………………… 76 MCS…………………………………………………. 77

MDL Technologies……………………………. 78

Megatech…………………………………………. 79

Memsstar ………………………………………… 80

Mentor Graphics………………………………. 81

MFG Vision ……………………………………… 82

Microlease………………………………………… 83

Micross Components……………………… 84 Moortec …………………………………………… 85

Nanoscope Services………………………… 86

Nexor ………………………………………………. 87

Nor-Cal Products…………………………….. 89

NTEK litho ………………………………………. 90

Oclaro………………………………………………. 91

Patina Lighting ………………………………… 92 PF Consulting …………………………………… 93

Phaedrus Systems ………………………….. 95

Phasix ESD………………………………………. 95

Photronics……………………………………….. 98

PPM ………………………………………………… 99

Presto Engineering…………………………… 101

PSE Strategic Consultancy………………… 102

PTSL - Probe Test Solutions Ltd……….. 102

Quartztec Europe ……………………………. 103

RELTECH ………………………………………….. 104

Renishaw………………………………………….. 105

INDEX

Page 7: DIRECTORY 2017/18 - NMI · Bitwise CambridgeIQ Dialog Semiconductor EMS EquipIC Global Technologies Ichor Systems Lauterbach Development Tools MDL Technologies Microlease Presto Engineering

NMI : Manufacturing Supplier Directory 7

Retronix……………………………………………. 106

RF Global Solutions…………………………… 107

RFMOD ……………………………………………..108

RF Support……………………………………….. 109 Rochester Electronics……………………….. 110

Roke ………………………………………………… 111

RoodMicrotec ………………………………… 112

Sarsen Technology…………………………… 113

Savantech………………………………………… 114

Scotech…………………………………………….. 115

Sel-Tek ……………………………………………. 116

Semefab…………………………………………… 117 Science & Technology Facility ……………118

Council

Semiconductor Technology Ltd ……….. 119

Semtech …………………………………………… 120

Simulity…………………………………………….. 121

Sistem Technology …………………………… 122

Smartest GMBH………………………………… 123

Softinsys…………………………………………… 124 Solutions Driven……………………………….. 125

SPS……………………………………………………. 127

SPTS ………………………………………………….128

STS (Simple Technical Solutions)………. 129

Supply Design …………………………………..130

Synergie CAD …………………………………… 131

Teknis ……………………………………………… 132

TEL (Tokyo Electron)…………………………. 135 Teradyne………………………………………… 136

Thermco Systems …………...………………. 138

TKS Partnerships ……………………………… 140

Trymax ……………………………………………. 143

TVS (Test & Verification Solutions) …….144

U4 Global Solutions …………………………. 145

Vector ……………………………………………….146

Wafer Technology…………………………….. 147 West European Semiconductor ……….. 148

Technology

Xcerra ………………………………………………. 149

directories.nmi.org.uk

Search for

businesses by

keyword or category

VISIT THE

DIRECTORIES

WEBSITE

Register online

and update your

company listing

whenever you like

Page 8: DIRECTORY 2017/18 - NMI · Bitwise CambridgeIQ Dialog Semiconductor EMS EquipIC Global Technologies Ichor Systems Lauterbach Development Tools MDL Technologies Microlease Presto Engineering

8 NMI : Manufacturing Supplier Directory

SERVICES INDEX

RFMOD

RoodMicrotec

Savantech

Sel-Tek

Silera

Smartest GmbH

Softinsys

Solutions Driven

Supply Design

SYNERGIE CAD

Teknis

TKS

TVS

Vector

ELECTRONICS COMPONENT

MANUFACTURING

E2V

Evince Technology

Exception EMS

Ichor Systems

Intel

IXYS UK Westcode

Semefab

Semtech

EQUIPMENT SALES

& REFURBISHMENT

CEMCO

Charntec Electronics

Compound Semiconductor

Technologies

CPS Europe

Deposition Technology

Ebara

Edex Systems

EMS

Eursemi

FEI

Global Technologies

ICAM Engineering

Ichor Systems

Ion Beam Services

Magna-Power

MDL Technologies

Megatech

Memsstar

Microlease

NanoScope Services

NTEK litho

PPM

PTSL – Probe Test Solutions Ltd

CONSULTANCY SERVICES

AB Open

Advanced Layout Solutions

Altran

Applus Idiada

AVL

Bitwise

Brunel University London

CambridgeIQ

Compugraphics

DELTA

DFT Solutions

Ebara

Edex Systems

Electronics Link Asia

Embecosm

EMS

EquipIC

Feabhas

Global Technologies

IC Resources

ICAM Engineering

Icsense

ichor Systems

IMEC IC-Link

INEX Microtechnology

Ingenious Quality

Inside Secure

Ion Beam Services

KMG Ultra Pure Chemicals

Lorit Consultancy

LSA

Marcus Webb

Maser Engineering

MCS

MDL Technologies

Megatech

Mentor Graphics

Microlease

Micross Components

NanoScope Services

Nexor

PF Consulting

Phaedrus Systems

Phasix ESD

Presto Engineering Europe

PSE Strategic Consultancy

Reliability Consultancy

Retronix Semiconductor

RF Global Solutions

RF Support

ANALYTICAL TOOLS AND

SERVICES

Altran

AVL

Bitwise

Brunel University London

Charntec Electronics

Compound Semiconductor

Technologies

EMS

EquipIC

FEI UK

Icsense

IMEC IC-Link

INEX Microtechnology

KMG Ultra Pure Chemicals

LSA

Maser Engineering

MCS

Mentor Graphics

MFG Vision

Microlease

NanoScope Services

Presto Engineering Europe

Renishaw

RFMOD

RoodMicrotec

Seltek

Science & Technology Facilities

Council

Supply Design

Teknis

CLEANROOM CONSUMABLES

EMS

Lynbond 2000

Teknis

CLEANROOM SERVICES &

FACILITIES

EMS

INEX Microtechnology

Micross Components

Retronix Semiconductor

SiSTEM Technology

SPS

STS

Page 9: DIRECTORY 2017/18 - NMI · Bitwise CambridgeIQ Dialog Semiconductor EMS EquipIC Global Technologies Ichor Systems Lauterbach Development Tools MDL Technologies Microlease Presto Engineering

NMI : Manufacturing Supplier Directory 9

Quartztec Europe

Renishaw

Retronix Semiconductor

RF Global Solutions

RF Support

Scotech

Sel-Tek

Semiconductor Technology Ltd

Simulity

STS

SiSTEM Technology

SPS

SPTS Technologies

STS

SYNERGIE-CAD

Teradyne

Thermco Systems

TEL

Trymax

U4Global Solutions

West European Semiconductor

Technology

Xcerra

EQUIPMENT SPARES,

INSTRUMENTATION &

CONSUMABLES

3M

CPS Europe

Deposition Technology

eDEX Systems

EMS

Eursemi

FEI

Fourteen Technology

Global Technologies

ICAM Engineering

Ichor Systems

Ion Beam Services

MDL Technologies

Magna-Power

Megatech

memsstar

Microlease

Nor-Cal Products

NTEK litho

PPM

PTSL – Probe Test Solutions Ltd

Quartztec Europe

RF Global Solutions

RF Support

Scotech

Sel-Tek

Semiconductor Technology Ltd

SiSTEM Technology

SPS

SPTS Technologies

STS

Thermco Systems

TEL

Trymax

U4Global Solutions

West European Semiconductor

Technology

Xcerra

EQUIPMENT SUPPORT

SERVICES

Almond Engineering

Charntec Electronics

Compound Semiconductor

Technologies

CPS Europe

Deposition Technology

Ebara

eDEX Systems

EMS

Eursemi

EquipIC

Global Technologies

Ichor Systems

Ion Beam Services

Logos Logistics

Magna-Power

Megatech

memsstar

Microlease

NTEK litho

Phasix ESD

Presto Engineering Europe

PPM

PTSL – Probe Test Solutions Ltd

Retronix Semiconductor

RF Global Solutions

RF Support

Scotech

Sel-Tek

Semiconductor Technology Ltd

Simulity

SiSTEM Technology

Sel-Tek

Semiconductor Technology Ltd

Simulity

SiSTEM Technology

Smartest GmbH

SPTS Technologies

STS

Teradyne

Thermco Systems

Tokyo Electron Europe

Trymax

U4Global Solutions

West European Semiconductor

Technology

Xcerra

MARKETING SERVICES

TKS

MATERIALS/CHEMICALS

3M

Advanced EPI

Epivalence

ICAM Engineering

Ichor Systems

IQE

KMG Ultra Pure Chemicals

Quartztec Europe

Scotech

Sel-Tek

SPS

Teknis

Wafer Technology

West European Semiconductor

Technology

OUTSOURCED

CLEANROOM

SERVICES (STAFF,

PROCESSES)

Chips in Training

Eltek Semiconductors

Ichor Systems

IMEC IC-Link

INEX Microtechnology

IQE

Photronics

Retronix Semiconductor

RF Global Solutions

RF Support

Sel-Tek

PACKAGING

3M

AMS

ASE Group

EquipIC

Exception PCB

ICAM Engineering

ICsense

IMEC IC-Link

Logos Logistics

Page 10: DIRECTORY 2017/18 - NMI · Bitwise CambridgeIQ Dialog Semiconductor EMS EquipIC Global Technologies Ichor Systems Lauterbach Development Tools MDL Technologies Microlease Presto Engineering

10 NMI : Manufacturing Supplier Directory

Maser Engineering

Micross components

RFMOD

Rochester Electronics

Teknis

PASSIVE COMPONENTS

3M

API Capacitors

Coilcraft

Sarsen Technology

PHOTO MASKS

Compugraphics International

EMS

EquipIC

IMEC IC-Link

Photronics

R&D SERVICES

Advanced EPI

Advanced Layout Solutions

Altran

AMS

Applus Idiada

AVL

Bitwise

Brunel University London

CambridgeIQ

CENSIS

EMS

Epivalence

EquipIC

Exception EMS

Global Technologies

ICAM Engineering

INEX Microtechnology

Ion Beam Services

IQE

KMG Ultra Pure Chemicals

Maser Engineering

MCS

Memsstar

Mentor Graphics

Microlease

micross components

NanoScope Services

Oclaro

Quartztec Europe

RF Global Solutions

RFMOD

Rochester Electronics

Roke

Sel-Tek

Sistem Technology

Smartest GmbH

Supply Design

Teknis

TVS RECRUITMENT SERVICES

IC Resources

RELIABILITY TEST

3M

AMS

Bitwise

Charntec Electronics

EMS

EquipIC

Exception EMS

Ichor Systems

Icsense

IMEC IC-Link

Lauterbach Development Tools

Maser Engineering

MCS

MDL Technologies

Microlease

Micross components

NanoScope Services

Phasix ESD

Presto Engineering Europe

PTSL – Probe Test Solutions Ltd

RELTECH

Rochester Electronics

RoodMicrotec

Sel-Tek

SYNERGIE-CAD

Xcerra

RF RELATED SERVICES

Bitwise

CambridgeIQ

Dialog Semiconductor

EMS

EquipIC

Global Technologies

Ichor Systems

Lauterbach Development Tools

MDL Technologies

Microlease

Presto Engineering Europe

PPM

PTSL – Probe Test Solutions Ltd

Retronix Semiconductor

RFMOD

RF Global Solutions

RF Support

Roke

Sel-Tek

Smartest GmbH

Synergie CAD

Xcerra

SOFTWARE AND AUTOMATION

Altran

Applus Idiada

AVL

Bitwise

Charntec Electronics

Deposition Technology

eDEX Systems

Embecosm

EMS

Global Technologies

Ichor Systems

Inside Secure

Lauterbach Development Tools

MDL Technologies

Mentor Graphics

PPM

Renishaw

RFMOD

Roke

Sarsen Technology

Savantech

Sel-Tek

SiSTEM Technology

Smartest GmbH

Sofintsys

Synergie CAD

Teknis

TVS

Vector

TESTING

AMS

Altran

Applus Idiada

ASE (Europe) Inc.

Bitwise

Charntec Electronics

DELTA

Deposition Technology

Embecosm

EMS

EquipIC

ICAM Engineering

Icsense

Ichor Systems

IMEC IC-Link

INEX Microtechnology

Lauterbach Development Tools

LSA

Maser Engineering

MCS

MDL Technologies

Microlease

Micross components

Page 11: DIRECTORY 2017/18 - NMI · Bitwise CambridgeIQ Dialog Semiconductor EMS EquipIC Global Technologies Ichor Systems Lauterbach Development Tools MDL Technologies Microlease Presto Engineering

NMI : Manufacturing Supplier Directory 11

Advertisers Presto Engineering Europe

PPM

PTSL – Probe Test Solutions Ltd

Renishaw

Rochester Electronics

RoodMicrotec

Roke

Sel-Tek

Smartest GmbH

Softinsys

Supply Design

SYNERGIE CAD

Teknis

Teradyne

TVS

Vector

Xcerra

TRAINING

ALTRAN

AVL

Deposition Technology

DfT Solutions

Doulos

Ebara

eDEX Systems

EMS

Feabhas

FirstEDA

Global Technologies

Ichor Systems

KMG Ultra Pure Chemicals

Lorit Consultancy

Maser Engineering

Memsstar

Mentor Graphics

NanoScope Services

Phaedrus Systems

Phasix ESD

PTSL – Probe Test Solutions Ltd

Retronix Semiconductor

Sel-Tek

Semiconductor Technology Ltd

Smartest GmbH

Softinsys

Teknis

Trymax

TVS

Xcerra

VACUUM RELATED

EQUIPMENT & SERVICES

Busch Semicon

Deposition Technology

Ebara

Global Technologies

ICAM Engineering

Ichor Systems

Megatech

memsstar

NanoScope Services

Retronix Semiconductor

RF Global Solutions

RF Support

Scotech

Sel-Tek

SiSTEM Technology

Thermco Systems

Trymax

West European

Semiconductor Technology

AESIN 133

EquipIC 44

Logos Logistics 69

IoT Security 133

Foundation

Manufacturing 137

Excellence

Conference

Marcus Webb 150

NMI Awards 141

NMI Supplier 88

Group

Phaedrus 94

Systems

Presto 100

Engineering

Quartztec 2

Europe

Retronix 4

SPS 126 Technology 97

Scotland

TEL 134

Thermco 139

Systems

Trymax 143

11

Page 12: DIRECTORY 2017/18 - NMI · Bitwise CambridgeIQ Dialog Semiconductor EMS EquipIC Global Technologies Ichor Systems Lauterbach Development Tools MDL Technologies Microlease Presto Engineering

12 NMI : Manufacturing Supplier Directory

3M Electronics are a materials

technology components &

packaging manufacturer.

3M provides a comprehensive

range of solutions for the

electronics market in the UK and

Ireland, including components for

manufacture,electronic assembly,

design, packaging and

maintenance.

Some of the application areas addressed by the product portfolio include OEM,

battery performance, PCB and semiconductor fabrication, plus vertical markets

such as medical device technology, industrial automation, test and measurement,

consumer electronics, automotive and military/aerospace.

Example product platforms include cleaning, cooling and coating fluids,

interconnect products, cable assemblies, adhesives, specialist tapes, packaging

and thermal management solutions.

EQUIPMENT SPARES, INSTRUMENTATION & CONSUMABLES - MATERIALS & CHEMICALS -

PACKAGING - PASSIVE COMPONENTS - RELIABILITY TEST

Telephone 01344 858 332 Email [email protected] Website www.3m.co.uk

Page 13: DIRECTORY 2017/18 - NMI · Bitwise CambridgeIQ Dialog Semiconductor EMS EquipIC Global Technologies Ichor Systems Lauterbach Development Tools MDL Technologies Microlease Presto Engineering

NMI : Manufacturing Supplier Directory 13

AB Open is an open source and technical

communities consultancy that specialises in

embedded and wireless systems.

We work with semiconductor companies,

component distributors and equipment

vendors, to help build mutually beneficial

relationships with technical communities.

We have many years experience with both open

source software and hardware, ranging from

project development and ongoing governance,

through to IP due diligence and defining

comprehensive open source strategies.

We are able to assist with the establishment,

evolution and day-to-day management of

technical communities, along with delivering

and supporting best-of-breed online community

platforms which integrate tools such as blogs,

wikis, version control and forums.

In combining this specialist expertise with

content and event production, hands-on technical knowledge and workshop

facilities, we are uniquely positioned to offer a joined-up service that would

otherwise require engaging with numerous service providers. As a result of our

integrated approach we are able to deliver better results — faster, at a lower cost

and with reduced risk.

CONSULTANCY SERVICES

Telephone 07976 278735 Email [email protected] Website http://abopen.com

Page 14: DIRECTORY 2017/18 - NMI · Bitwise CambridgeIQ Dialog Semiconductor EMS EquipIC Global Technologies Ichor Systems Lauterbach Development Tools MDL Technologies Microlease Presto Engineering

14 NMI : Manufacturing Supplier Directory

Advanced Epi is a spin-out company from the University of Warwick in the Midlands, which works on cutting edge technologies and innovations. Our key technology is in the novel low-temperature growth of cubic silicon carbide (3C-SiC) on silicon substrates. We achieve this using standard industrial type, silicon based, cold-wall Chemical Vapour Deposition (CVD) systems, allowing the process to be integrated seamlessly into the well-established silicon industry.

Advanced Epi is able to supply 3C-SiC grown to precise customer specifications on silicon wafers for evaluation purposes and works closely with customers and collaborators to tailor the material to individual requirements. Through the epitaxial process, we are able to control 3C-SiC thickness and doping profiles (intrinsic, p-type and n-type) and can offer 3C-SiC grown on the (001) orientation for device applications, as well as (111) for virtual substrates for GaN and graphene.

The industrial process Advanced Epi uses is standard for Si and other group IV semiconductor growth and as such, we can offer multiple high quality semiconductor materials and alloys grown on silicon wafers including: Si, Si1-xGex, Ge, Ge1-xSnx, Si1-xBx, Si1-yCy and 3C-SiC. These materials can be combined as multiple epilayers within a single growth process allowing for the full integration of narrow and wide bandgap semiconductors on a single wafer.

In addition to material growth, Advanced Epi is developing unique 3C-SiC based sensor devices capable of operating in harsh environments such as those found within the automotive, industrial and biomedical sectors, to name but a few.

Advanced Epi is a flexible company and we’re happy to offer small pieces / single wafers for trials or larger batches of material for mass device fabrication. We can offer standard material or work towards your exact specifications with our novel 3C-SiC heteroepitaxy or any combination of group IV semiconductors and alloys.

Telephone Contact via the website Email [email protected] Website www.advancedepi.com

MATERIALS /CHEMICALS - R&D SERVICES

Page 15: DIRECTORY 2017/18 - NMI · Bitwise CambridgeIQ Dialog Semiconductor EMS EquipIC Global Technologies Ichor Systems Lauterbach Development Tools MDL Technologies Microlease Presto Engineering

NMI : Manufacturing Supplier Directory 15

Experts in Advanced PCB

Layout and Signal Integrity

Analysis

Since 1995 the name ‘ALS’ has

become synonymous with high-

quality printed circuit board design.

As one of the largest PCB design

bureaus in the UK, Advanced

Layout Solutions (ALS) is able to

take on the most challenging

projects whilst still meeting tight

deadlines. We provide both PCB

design and signal integrity (SI)

analysis to some of the biggest names in the

electronics industry.

For clients who depend on their high-speed digital

designs working on the first iteration, our SI design-

flow is vital to ensure success. Our broad customer

base that spans consumer electronics, broadcast,

military/aerospace and motorsport is testament to

our experience in a diverse range of technologies.

Printed Circuit Board Design

Signal and Power Integrity Analysis

Schematic Capture

PCB Library Management (Part Factory) At ALS we use "best-in-class" PCB design tools

from Cadence and Altium, designing to IPC –

610d Class 3 standards.

We own and maintain class-leading signal and power integrity analysis tools from

Cadence, Keysight Technologies and Synopsys. We have years of experience

analysing and advising on best practice for high frequency and high bit-rate designs

such as DDR memory interfaces and Gigabit SERDES links. Simulations are carried

out on our industry-leading SI platforms to give you the confidence that your end

product will perform as expected.

We pride ourselves on the consistent quality of our designs, and this is reflected in our accreditation to BS EN ISO 9001:2000. The high level of support and service throughout each and every project is just one reason why our clients return again and again.

CONSULTANCY SERVICES - R&D SERVICES

Telephone +44 (0)118 9711930 Email [email protected] Website www.alspcb.com

Page 16: DIRECTORY 2017/18 - NMI · Bitwise CambridgeIQ Dialog Semiconductor EMS EquipIC Global Technologies Ichor Systems Lauterbach Development Tools MDL Technologies Microlease Presto Engineering

16 NMI : Manufacturing Supplier Directory

Almond Engineering Limited is a well

established precision engineering supplier

to a comprehensive range of industries.

They include pharmaceutical, medical, BME,

semiconductor, electronics, aerospace, food

and packaging industries.

Working in partnership with our customers,

a dedicated team of engineers will manage

your project from the initial concept

through design, to final manufacture of a

customised product.

Established customers have come to rely upon our quality, flexibility,

professional service and reputation for all their manufacturing needs.

Using the latest Pro/Engineer Wildfire 3 computer aided design and off-line

prismatic and surface machining, our experienced design team will produce

innovative solutions for your individual manufacturing needs.

Recent investments in new CNC milling and turning centres, and off-line CNC

programming has increased our capacity to service new and existing

customers.

SERVICES

EQUIPMENT SUPPORT SERVICES

Telephone 01506 410880 Email [email protected] Website www.almond.co.uk

3D CAD Design

Replacement Machine Parts

Reverse Engineering

Welding and Fabrication

Project Management

Resolving Health and Safety

Issues

CNC Milling and Turning

Machine Building

Spares and Repairs

Toolmaking

Storage and Handling Systems

Rotational Mould Clamps and

Accessories

Page 17: DIRECTORY 2017/18 - NMI · Bitwise CambridgeIQ Dialog Semiconductor EMS EquipIC Global Technologies Ichor Systems Lauterbach Development Tools MDL Technologies Microlease Presto Engineering

NMI : Manufacturing Supplier Directory 17

As global leader in innovation

and high-tech engineering

consulting, Altran offers its

clients a new way to innovate.

The Group develops with or for its clients

the products and services of tomorrow.

Altran works along with its clients on every

link in the value chain of their project, from

conception to industrialisation.

The Group has been providing its expertise

for over thirty years to key players in the

Aerospace, Automotive, Defence, Energy,

Finance, Life Sciences, Railway, and

Telecoms sectors, among others. In 2015,

the Altran group generated revenues of

€1.945bn. With a headcount of over 27,000

employees, Altran is present in more than

20 countries.

Telephone +44 (0)1225 466991 Email [email protected] Website www.altran.com

Automotive, Infastructure & Transportation

ANALYTICAL TOOLS & SERVICES

CONSULTANCY SERVICES - R&D SERVICES

SOFTWARE & AUTOMATION - TESTING -

TRAINING

Financial Services

Railway

Telecoms

Life Sciences

Intelligent Systems

Innovative Product Development

Government

Energy & Industry

Aerospace & Defence

Page 18: DIRECTORY 2017/18 - NMI · Bitwise CambridgeIQ Dialog Semiconductor EMS EquipIC Global Technologies Ichor Systems Lauterbach Development Tools MDL Technologies Microlease Presto Engineering

18 NMI : Manufacturing Supplier Directory

ams develops and manufactures high performance analog semiconductors and sensors that solve its customers’ most challenging problems with innovative solutions.

Our mission is “shaping the world with sensor solutions” ams sensor solutions take sensing to the next level by providing a seamless interface between humans and technology.

We enable our customers to create highly differentiated products that are smarter, safer, easier to use and more eco-friendly.

We develop high-performance solutions for the most challenging applications in sensors, sensor interfaces, power management and wireless.

The process technology portfolio of the ams Full Service Foundry division includes 0.18µm and 0.35µm specialty technologies based on ams analog, mixed-signal, high-voltage and RF processes.

With headquarters in Austria, ams employs over 2,100 people globally in 20 countries and serves more than 8,000 customers worldwide.

More information about ams can be found at www.ams.com

PACKAGING - R&D SERVICES - RELIABILITY TEST - TESTING

Telephone +44 (0)161 439 2215 Email [email protected] Website www.ams.com

Page 19: DIRECTORY 2017/18 - NMI · Bitwise CambridgeIQ Dialog Semiconductor EMS EquipIC Global Technologies Ichor Systems Lauterbach Development Tools MDL Technologies Microlease Presto Engineering

NMI : Manufacturing Supplier Directory 19

Telephone 01493 652752 Email Laurence.mitchell@api- capacitors.com Website www.api-capacitors.com

API Capacitors are

the leading UK

designer and

manufacturer of

high performance

power capacitors.

Our success is

based on technical

innovation, expert

knowledge and

focussed research

and development.

We work closely with our customers, to Fully understand their current and future

requirements. API Capacitors specialise in the design, manufacture and supply of

film-based power capacitors. We operate an ISO9001 quality management system

and have an established reputation for technical excellence, quality and reliability.

API Capacitors is not a high volume standard product supplier. Our typical batch size

is between 10 and 1000 pieces. We strive to achieve consistent high quality and

reliability. Our capacitors have a failure rate which is significantly lower than the

industry standard.

Traction: Train, metro, mass-transit,

subway, light rail etc.

Static Drives: Power inverters for speed

control of drives in the oil and gas, mining,

energy, aerospace and marine sectors -

Power Transmission/ Distribution: FACTS

(Flexible AS Transmission Systems), STATCOM, SVC, HVDC Systems

Pulse Power: Lasers, aerospace, medical

Research: Accelerators, superconductors,

lasers

Automotive: Electric vehicles, hybrid

vehicles, motor sport

Other: Welding, metal industries

DC Capacitors: Low inductance IGBT

filter capacitors, snubber capacitors for GTO and IGCT choppers circuits, rectifier smoothing, surge protection, spike suppression and DC Link.

AC Capacitors: Single and three phase

filter capacitors for specialised power factor correction and harmonic filtering, AC/AC converter (Cycloconverters) and inverter output filters.

Energy Storage Capacitors: Low

inductance, high peak current designs for low, medium or high voltage applications.

Track Circuit Capacitors: Switched variable capacitance polypropylene units and polycarbonate equivalents, all hermetically sealed.

Custom Designed Capacitors:

Manufactured to satisfy specific application requirements.

Primary Products & Services Markets Served

PASSIVE COMPONENTS

Page 20: DIRECTORY 2017/18 - NMI · Bitwise CambridgeIQ Dialog Semiconductor EMS EquipIC Global Technologies Ichor Systems Lauterbach Development Tools MDL Technologies Microlease Presto Engineering

20 NMI : Manufacturing Supplier Directory

Engineering services focused on electronics and

control systems, covering both development and

validation.

IDIADA UK provides full turnkey engineering

solutions or smaller sub-projects: Systems,

ISO26262, Electronics, Controls, Software,

Electronics Packaging Design, DV & PV, DFx.

Covering a number of domain areas including

Powertrain (ICE and H/EV), ADAS, Vehicle

automation, Infotainment and HIL development.

The company is part of the Applus IDIADA Group,

a global partner to the automotive industry,

supporting its clients in product development

activities by providing design, engineering, testing

and homologation services. The company has

more than 2.200 professionals drawn from over

47 nationalities, as well as an international

network of subsidiaries and branch offices in 25

countries which ensures that its clients get

customized, added-value solutions.

The headquarters, consisting of a 360-hectare

main technical centre which includes its own

proving ground and a comprehensive set of

laboratories, is located near Barcelona, Spain.

CONSULTANCY SERVICES - R&D SERVICES – SOFTWARE AND AUTOMATION - TESTING

Telephone +44 1223 441434

Email [email protected]

Website www.idiada.com

Page 21: DIRECTORY 2017/18 - NMI · Bitwise CambridgeIQ Dialog Semiconductor EMS EquipIC Global Technologies Ichor Systems Lauterbach Development Tools MDL Technologies Microlease Presto Engineering

NMI : Manufacturing Supplier Directory 21

Telephone +32 2 647 8461 Email [email protected] Website www.aseglobal.com/

PACKAGING - TESTING

MEMBERS OF ASE GROUP INCLUDE

Advanced Semiconductor

Engineering Inc.

(www.aseglobal.com) (TAIEX: 2311,

NYSE: ASX) -A leading supplier of

semiconductor assembly services.

ASE Electronics A leading supplier of substrates and packaging materials. Universal Scientific Industrial Inc. (www.usi.com.tw)

A leading electronic manufacturing service provider.

ASE Europe Inc. is part of ASE Group. ASE Group develops and offers a wide portfolio of technology and solutions including IC test program design, front-end engineering test, wafer probe, wafer bump, substrate design and supply, wafer level package, flip chip, system-in-package, final test and design manufacturing services. ASE Group incorporates multinational production/test facilities in Taiwan, Korea, Malaysia, Singapore, China, US and Europe and sales and service offices world-wide.

ISE Labs

(www.iselabs.com) - A

leading front-end engineering

test company based in Silicon

Valley, California USA.

Page 22: DIRECTORY 2017/18 - NMI · Bitwise CambridgeIQ Dialog Semiconductor EMS EquipIC Global Technologies Ichor Systems Lauterbach Development Tools MDL Technologies Microlease Presto Engineering

22 NMI : Manufacturing Supplier Directory

Telephone 01268 495406 Email [email protected] Website www.avl.com

AVL Engineering is an expert partner to the global automotive and mobility industry for the development of innovative systems.

With advanced electronics and control software, we have been working in partnership with companies all over the world for more than 60 years.

Unique synergies with AVL Instrumentation and Test Systems and AVL Advanced Simulation Technologies enable the development of highly creative, mature and application-specific solutions for our customers in order that they meet their future market challenges.

ANALYTICAL TOOLS & SERVICES - CONSULTANCY SERVICES - R&D SERVICES -

RELIABILITY TESTING - RF RELATED SERVICES - SOFTWARE & AUTOMATION - TESTING

Telephone +44 1383 625151 Email [email protected] Website www.bitwisegroup.com

ANALYTICAL TOOLS AND SERVICES - CONSULTANCY SERVICES - R&D SERVICES -

SOFTWARE AND AUTOMATION - TRAINING

Bitwise develops software for specialist sectors that are typically characterized by a need for high quality and extreme reliability. Fully accredited to ISO9001, ISO27001 and ISO13485 (medical devices), we provide software consulting, design, development, testing and system integration services. Our clients use our services to solve challenges that impact their businesses such as:

Accelerating product software development for shorter time to market

Developing strategies for new technology or architectures

Increasing R&D flexibility to handle development peaks with confidence

Increasing the performance and maintainability of struggling systems

Providing fully independent software validation and verification

Improving software test coverage and throughput via automation Auto test (ATE), simulation & tools

Building tools and instrumentation from the start of a project ensures repeatable testing through R&D into production and throughout maintenance.

Page 23: DIRECTORY 2017/18 - NMI · Bitwise CambridgeIQ Dialog Semiconductor EMS EquipIC Global Technologies Ichor Systems Lauterbach Development Tools MDL Technologies Microlease Presto Engineering

NMI : Manufacturing Supplier Directory 23

Brunel University

London is a world-

class university based

in Uxbridge, West

London, and was

established in 1966.

Our mission has always been to combine academic rigour with

the practical, entrepreneurial and imaginative approach

pioneered by our namesake Isambard Kingdom Brunel.

The Institute of Energy Futures (IEF) brings together researchers from a range of

disciplines to investigate and develop innovative energy efficient technologies and

processes to address societal needs and greenhouse gas emissions targets whilst

taking into consideration the influence of human behaviour. The research

concentrates on end-use energy demand reduction, energy generation from

renewable energy sources and efficient electrical power distribution and

utilisation.

Telephone 01895 267954 Email [email protected] Website www.brunel.ac.uk

ANALYTICAL TOOLS AND SERVICES - CONSULTANCY SERVICES - R&D SERVICES

Page 24: DIRECTORY 2017/18 - NMI · Bitwise CambridgeIQ Dialog Semiconductor EMS EquipIC Global Technologies Ichor Systems Lauterbach Development Tools MDL Technologies Microlease Presto Engineering

24 NMI : Manufacturing Supplier Directory

With dedicated facilities in Telford and East Kilbride Busch provide fine vacuum specialist support such as full decontamination, remanufacture and testing facilities.

The Busch dry pump product range of reliable and robust COBRA dry screw pumps have been increased with the addition of our new DS series of COBRA dry screw vacuum pumps, with operating ranges from 70 – 7200 m3/h.

The DS range is ideal for use in medium to harsh duty ranges and for all fine vacuum applications such as semiconductor, photovoltaics, R&D, thin film coating and MEMs.

Our new COBRA BC 101 F dry screw vacuum pump is ideal for load lock applications due to its high performance and air cooled design, further improved due to low cost installation with minimal footprint!

No contamination risk from oil and the removal of the bearings from the process flow has resulted in a vacuum pump with extremely low maintenance costs.

Busch (UK) Ltd have a first class team with over 50 years of experience and the latest vacuum technology, call us today for a free vacuum consultation.

VACUUM RELATED EQUIPMENT AND SERVICES

Telephone 01355 570201 Email [email protected] Website www.buschvacuum.com/uk

Busch (UK) Ltd are market

leaders in the medium and

high vacuum technology

market, offering a wide

range of vacuum pumps

and after sales support -

our industry experts are

able to provide you with the

right vacuum solution to

your specific requirements.

Page 25: DIRECTORY 2017/18 - NMI · Bitwise CambridgeIQ Dialog Semiconductor EMS EquipIC Global Technologies Ichor Systems Lauterbach Development Tools MDL Technologies Microlease Presto Engineering

NMI : Manufacturing Supplier Directory 25

CambridgeIQ provides flexible and accessible consultancy services for clients, including:

Technology and business innovation

Understanding how the Internet-of-Things and connectivity can change a business

Developing business and product strategies around new technologies, especially connectivity

Product and process development through the lifecycle of electronic products, from defining un-met needs to manufacture

In-life support of products, including cost reduction, manufacturing optimisation, redesign and fault-finding

R&D process design and optimisation

IP commercialisation

People development – coaching, role-definition and operating methods, especially with start-ups and SMEs

Agile project management. Business, technology and people are inherently integrated in successful companies. We believe that our knowledge of all aspects allows us to deliver effective results for our clients.

CambridgeIQ also has a wide network of associates offering both technical and sector expertise allowing a broad range of knowledge to be applied to areas of business, engineering, innovation, people development and technology

commercialisation.

Our Client base includes multi-nationals as well as SMEs and start-ups.

CONSULTANCY SERVICES - R&D SERVICES - RF RELATED SERVICES

Telephone 07714 697151 Email [email protected] Website www.cambridgeiq.com

Page 26: DIRECTORY 2017/18 - NMI · Bitwise CambridgeIQ Dialog Semiconductor EMS EquipIC Global Technologies Ichor Systems Lauterbach Development Tools MDL Technologies Microlease Presto Engineering

26 NMI : Manufacturing Supplier Directory

Cemco has more than 40 years’ experience manufacturing leading products for the PCB market worldwide. During this time we have been designing, developing and building bespoke equipment for diverse industries and more recently for the field of Printed Electronics.

Cemco has built a reputation for providing unique solutions to meet market requirements. For example, the use of sensitive and delicate materials used in a variety of Printed Electronic and battery applications can easily result in defects during the manufacturing process.

With this in mind Cemco has developed a ‘No Touch’ technology allowing web materials as thin as 2 microns and fine line circuitry down to 2 microns to be transported R2R through multiple processes without contacting the product surface.

While Vertical and Horizontal ‘No Touch’ systems are available, the Vertical method has the added advantage of significantly reducing equipment footprint. Both systems provide process isolation and low tension operation.

EQUIPMENT SALES & REFURBISHMENT

Telephone 02392 262120 Email [email protected] Website www.cemco.com

Page 27: DIRECTORY 2017/18 - NMI · Bitwise CambridgeIQ Dialog Semiconductor EMS EquipIC Global Technologies Ichor Systems Lauterbach Development Tools MDL Technologies Microlease Presto Engineering

NMI : Manufacturing Supplier Directory 27

CENSIS is the industry-led

centre of excellence for

Sensor and Imaging Systems

(SIS) and is a key part of the

developing innovation

landscape in Scotland.

It is one of eight Innovation Centres funded by the Scottish Funding Council and its

overarching goal is to create sustainable economic value in the Scottish economy in

the important and highly diverse domain of SIS and their applications.

This will be achieved by changing and simplifying the way industry and academia

work together, increasing industry-academic research coupling and ultimately R&D

intensity.

CENSIS deploys a toolkit comprising strategic outreach and relationship building,

collaborative projects, shaping the research agenda and strategic R&D programmes

in response to industry challenges, and supporting an industry driven enterprise skills

and training programme.

Telephone 0141 330 3628 Email [email protected] Website http://censis.org.uk

R&D SERVICES

Page 28: DIRECTORY 2017/18 - NMI · Bitwise CambridgeIQ Dialog Semiconductor EMS EquipIC Global Technologies Ichor Systems Lauterbach Development Tools MDL Technologies Microlease Presto Engineering

28 NMI : Manufacturing Supplier Directory

Charntec Electronics, founded in 1979 to provide sales and service support to manufacturers in the semiconductor and allied industries based in the UK, Ireland and Europe and is proud to be celebrating its 37th year in 2016. Our extensive product range including wafer handling and thin film measurement,

mechanical device handling, precision motion and environmental equipment supplies the semiconductor, optoelectronics, display, MEMS, biotechnology, photovoltaic and optical coating industries, as well as providing analysis and design to the research and development community Charntec represents the following principals:

Ellexar: Is a company focused on heater element technology for all OEM furnaces and utilize innovative technologies that are a result of more than thirty years of experience in diffusion furnace design, manufacturing, process technology and direct engagement to supply unique solutions for every fab, as applicable to their process and use of the furnace. Ellexar’s advanced custom solutions to fabs worldwide, provide the most advanced heater elements in design, workmanship and performance with proven designs and concepts which outperform the original designs by OEMs as well as focusing on uptime, heater life, faster ramp cycle time and overall lower cost of ownership and supplying furnace elements that can be repaired and refurbished. Exatron ATE: Device Handling, Laser, MEMs, Pick & Place, Tape & Reel, Test and Programming Systems. Faith Enterprises: An industry leader in wafer handling automation including bulk wafer handling and wafer ID readers. Four Dimensions: 4D manufactures advanced semiconductor probing systems for Four Point Probe and CVmap measurements. Nanotronics Automation: Designs and manufactures precision equipment from simple ball bearing stages to precision systems and robotic products for wafer handling and loading. Froilabo: The “DRAGON” Thermal Conditioner controls air for applications such as fast and precise temperature control and characterisation on components, printed circuit boards and electronic device. Scientific Computing International: SCI is a world leader in providing high resolution thin-film metrology systems and analysis software products. Their FilmTek™ 4000 Series “raises the bar” by delivering 100 times the resolution of the best non-contact method for thin film measurement, and 10 times the resolution of the best prism coupler contact systems. FilmTek™ uses multiple angle reflectometry to provide peak performance from very-thin to very-thick films.

All equipment is fully supported from the UK by our team of sales and service support personnel from first contact with customers through the sale, installation, warranty and after sales support. Charntec will continue to fully support all Thermonics Precision Temperature Forcing Systems, offering a comprehensive repair, servicing and calibration service. Charntec represented Thermonics for over 25 years and during that time built up a wealth of knowledge and large inventory of spare parts.

ANALYTICAL TOOLS & SERVICES - EQUIPMENT SALES AND REFURBISHMENT -

EQUIPMENT SUPPORT SERVICES - RELIABILITY TEST - SOFTWARE & AUTOMATION -

TESTING

Telephone +44 (0) 2380 642 571 Email [email protected] Website www.charntecelectronics.com

Page 29: DIRECTORY 2017/18 - NMI · Bitwise CambridgeIQ Dialog Semiconductor EMS EquipIC Global Technologies Ichor Systems Lauterbach Development Tools MDL Technologies Microlease Presto Engineering

NMI : Manufacturing Supplier Directory 29

CST is a provider of world class Custom and Foundry services for III-V opto devices in WAFER(2;3 and 4”); BAR and Device format.

Operating for over 10 years it has a UNIQUE track record of providing solutions in volume across a wide spectrum of materials (GaAs; Inp; InSb; InGaAsP) over a wavelength from 4XXnm to

>3.6um servicing a global market.

CST operates from its base in Hamilton a state of the ART 4inch compatible 20,000 square foot class 10 cleanroom environment with full ISO compliance.

CST works with leading companies around the world in the Defence; Industrial; Consumer and telecommunications markets.

ANALYTICAL TOOLS & SERVICES - EQUIPMENT SALES AND REFURBISHMENT -

EQUIPMENT SUPPORT SERVICES - RELIABILITY TEST - SOFTWARE & AUTOMATION

TESTING

Telephone 01698 722021 Email [email protected] Website www.compoundsemi.co.uk

Page 30: DIRECTORY 2017/18 - NMI · Bitwise CambridgeIQ Dialog Semiconductor EMS EquipIC Global Technologies Ichor Systems Lauterbach Development Tools MDL Technologies Microlease Presto Engineering

30 NMI : Manufacturing Supplier Directory

Compugraphics is the award winning Photomask manufacturer you can trust to fulfil all your Photomask needs. The company, which has 3 manufacturing

facilities in Scotland, Germany and the USA, manufacture photomasks to all markets, from mature and niche up to leading edge.

Compugraphics provides more masks to Universities throughout the world than any other manufacturer and is the No1 supplier of large area masks in Europe From production of advanced reticles to 1X Masters, Compugraphics offer the most comprehensive 'mix-n-match' flexibility with 2 facilities in Europe and full transatlantic manufacturing capability.

Strategic and continuous investment has enabled Compugraphics to meet customers’ advanced needs and contribute to their competitive edge through provision of: binary lithography - phase-shift mask technology - reticle repair and recertification - advanced electron beam pattern generation - fast laser pattern generation - near wavelength inspection strategies - 1 x photomasks

from 3"" to 32” - 4X & 5X reticles - chrome and iron oxide copies - photomask cleaning.

Markets: Semiconductor, microelectronics, MEMS, optoelectronics, R&D Institutes and Universities worldwide

CONSULTANCY SERVICES - PHOTO MASKS

Telephone 07776 225917 Email [email protected] Website www.compugraphics.com

Page 31: DIRECTORY 2017/18 - NMI · Bitwise CambridgeIQ Dialog Semiconductor EMS EquipIC Global Technologies Ichor Systems Lauterbach Development Tools MDL Technologies Microlease Presto Engineering

NMI : Manufacturing Supplier Directory 31

Converter Technology provides a wide range of design support services to customers

wanting to exploit the performance capabilities of the latest high performance switched-mode power converter technology.

We engage in a number of different ways with our client base:-

Customers with their own power designers use us as adaptable resource to manage the work load of their in-house capability. We can act as an independent third party to review and test your own design before release to production

Some customers prefer to outsource the complete power design process since this allows them to focus their engineers on the core development of their new product. We are happy to act as a remote power design team for your company which gives you a unique combination of the best technical advice and maximum flexibility

Some customers prefer to keep all design activities in house and in these cases we can provide expert training courses in all areas of power conversion. This keeps your staff up to date with latest design techniques and technologies.

Telephone +44 (0)118 3219312 Email [email protected] Website www.convertertechnology.co.uk

SEE THE WEBSITE FOR MORE INFORMATION

CUSTOM PSU DEVELOPMENT

DESIGN TEST & REVIEW

EMERGENCY TECHNICAL SUPPORT

TECHNICAL TRAINING

Page 32: DIRECTORY 2017/18 - NMI · Bitwise CambridgeIQ Dialog Semiconductor EMS EquipIC Global Technologies Ichor Systems Lauterbach Development Tools MDL Technologies Microlease Presto Engineering

32 NMI : Manufacturing Supplier Directory

CPS Europe Ltd is the European entity of Concept Part

Solutions Inc (CPS) based in Zanker Road, San Jose CA. CPS

has the Global license as sole provider of genuine OEM

spare parts and field service support in addition to new &

remanufactured wafer manufacturing equipment from Lam

Research, covering Novellus C1, Gasonics and Speedfam/

Ipec tools.

CPS Europe is a, ISO 9001 certified UK company focused on

providing genuine OEM spare parts and expert engineering

resources across Europe for the existing equipment base.

CPS is an engineering company dedicated to high quality

products and engineering excellence to enhance the

operational lifetime of our portfolio of legacy tools through

enhancement programs and upgrades to counteract

obsolescence. CPS also offers US class based training on all

our product offerings.

EQUIPMENT SALES & REFURBISHMENT - EQUIPMENT SPARES, INSTRUMENTATION &

CONSUMABLES - EQUIPMENT SUPPORT SERVICES

Telephone +44 (0)141 411 0090 Email [email protected] Website www.conceptpartsolutions.com

Page 33: DIRECTORY 2017/18 - NMI · Bitwise CambridgeIQ Dialog Semiconductor EMS EquipIC Global Technologies Ichor Systems Lauterbach Development Tools MDL Technologies Microlease Presto Engineering

NMI : Manufacturing Supplier Directory 33

CONSULTANCY SERVICES - TESTING

Telephone +44 (0)1443 866322 Email [email protected] Website www.madebydelta.com

Getting technology profitable

DELTA is a company with a uniquely practical

determination to help you get advanced

technologies working exactly as intended, and

bring them to market quickly and efficiently. We're the people you contact to get

complex technological challenges dealt with effectively - and within a manageable

budget. Our success comes from our know-how helping others be successful.

Total transparency

Technology only earns money when it works. That's why we help you develop new

solutions, test prototypes, fine-tune existing technologies and tackle thorny,

unforeseen problems. All transparently and helpfully and involving you and your

staff just as much as you want.

Killer know-how

DELTA consists of separate business units that specialise in electronics,

microelectronics, light, optics, acoustics, vibration and sensors. We combine

unsurpassed expertise in each field with top-flight interdisciplinary teams - as and

when required.

In each of these fields, we're one of the most reputable development and testing

centres you can find. This means we can help you pave the way to certified

compliance with crucial national and international requirements, with full follow-

up as and when required.

Exceptional attitude

DELTA is also one of the world's more unusual development and testing centres.

The whole DELTA complex is bubbling with enthusiasm for pushing the technology

envelope and tackling any challenges that arise.

Page 34: DIRECTORY 2017/18 - NMI · Bitwise CambridgeIQ Dialog Semiconductor EMS EquipIC Global Technologies Ichor Systems Lauterbach Development Tools MDL Technologies Microlease Presto Engineering

34 NMI : Manufacturing Supplier Directory

Deposition Technology Ltd

supplies and supports

Varian and Novellus PVD and

PECVD equipment. We will

supply complete systems

configured to match your

specification as well as

support your existing

installed base. Our support

includes spare parts,

upgrades, PLC control

systems, training, service

support, process support

and service contracts. Our

customer base is truly global

and we can support your

systems regardless of location. In January 2013, Deposition Technology were

pleased to announce the acquisition of the world wide license to manufacture

and support the :

Telephone 01548 856000 Email [email protected] Website www.dep-tech.co.uk

EQUIPMENT SALES & REFURBISHMENT - EQUIPMENT SPARES, INSTRUMENTATION &

CONSUMABLES - EQUIPMENT SUPPORT SERVICES - SOFTWARE AND AUTOMATION

- TESTING - TRAINING - VACUUM RELATED EQUIPMENT & SERVICES

KESA ECS-3000 Control System

As used in the Varian 3000 Series PVD Systems, Kesa Corporation, founded by

the late Steve Gehlback, developed the control system in conjunction with

Varian Thin Film Systems.

With immediate effect, Deposition Technology are supporting the existing

installed base and will invest in the ECS-3000 to develop it further.

This strategic acquisition allows Deposition Technology to evolve the control

system as well as implement some software driven improvement that will

appeal to both existing and new users.

Any enquiries regarding this product should be directed to ECS@Deposition-

technology.com.

Page 35: DIRECTORY 2017/18 - NMI · Bitwise CambridgeIQ Dialog Semiconductor EMS EquipIC Global Technologies Ichor Systems Lauterbach Development Tools MDL Technologies Microlease Presto Engineering

NMI : Manufacturing Supplier Directory 35

DfT Solutions Limited

provides Design-for-Test

(DfT) Training and

Consultancy across IC,

Board and System test to

the Electronics Design

communities world wide.

Eric has over 30 years of

experience in the field of

design-for-test. He has

implemented a wide range

of DfT solutions in IC,

board, Soc and MCM level

test. Eric started his career

working with military

applications for the UK MoD, implementing customised board level design-for-test

solutions.

He moved on from there to establish a test services company, Testech, in the USA

for his UK based company providing a wide range of services from DfT consultancy

in customer designs to full turn-key packages, delivering tester hardware and test

program suites in ICT and Functional Test for a variety of ATE platforms.

Eric has implemented custom scan and BIST based solutions at IC level, boundary

scan at IC, SoC and board level, and has developed customised test and diagnostic

solutions for MCM applications in satellite systems. Eric has over 12 years

experience in the semiconductor industry working for Hitachi and Philips/NXP.

Consultancy - DfT Solutions Limited offer a range of test technology and test

strategy development consultancy services tailored to solve your Design-For-Test

(DFT) and production test challenges.

Contact our experts for an evaluation of your needs and honest view of how DfT

Solutions Limited can assist. Experts will work within your organisation to identify

and resolve root cause issues preventing you achieving your engineering, quality

or test cost goals.

DFT reviews and analysis - Board Design for Testability - Test strategy

development - Board Use of IEEE 1149.1

Design proving - Board Test Development - Production test -

SJTAG and its use in today's environment.

Telephone +44 (0) 7515 288155 Email [email protected] Website www.dft-solutions.co.uk

CONSULTANCY SERVICES - TRAINING

Page 36: DIRECTORY 2017/18 - NMI · Bitwise CambridgeIQ Dialog Semiconductor EMS EquipIC Global Technologies Ichor Systems Lauterbach Development Tools MDL Technologies Microlease Presto Engineering

36 NMI : Manufacturing Supplier Directory

Dialog

Semiconductor

provides highly

integrated standard

(ASSP) and custom

(ASIC) mixed-signal

integrated circuits

(ICs), optimized for

smartphone,

computing, IoT, LED

Solid State Lighting

(SSL) and smart

home applications.

Dialog brings decades of

experience to the rapid

development of ICs while

providing flexible and

dynamic support, world-

class innovation and the

assurance of dealing with

an established business

partner. With world-class

manufacturing partners,

Dialog operates a fabless business model and is a socially responsible employer

pursuing many programs to benefit the employees, community, other

stakeholders and the environment we operate in.

Dialog’s power saving technologies including DC-DC configurable system power

management deliver high efficiency and enhance the consumer’s user experience

by extending battery lifetime and enabling faster charging of their portable

devices. Its technology portfolio also includes audio, Bluetooth® Smart, Rapid

Charge™ AC/DC power conversion and multi-touch.

Dialog Semiconductor plc is headquartered in London with a global sales, R&D

and marketing organization. In 2015, it had approximately $1.35 billion in

revenue and was one of the fastest growing European public semiconductor

companies. It currently has approximately 1,650 employees worldwide. The

company is listed on the Frankfurt (FWB: DLG) stock exchange (Regulated Market,

Prime Standard, ISIN GB0059822006) and is a member of the German TecDax

index.

Telephone +44 (0)1793 757700 Email [email protected] Website dialog-semiconductor.com

RF RELATED SERVICES

Page 37: DIRECTORY 2017/18 - NMI · Bitwise CambridgeIQ Dialog Semiconductor EMS EquipIC Global Technologies Ichor Systems Lauterbach Development Tools MDL Technologies Microlease Presto Engineering

NMI : Manufacturing Supplier Directory 37

TRAINING

For over 25 years Doulos has set the industry standard for high quality

training and KnowHow for system, hardware, and embedded software

designers, covering design and verification languages and methodologies.

The essential choice for 3500+ companies across 60+ countries, Doulos provides

scheduled classes across North America and Europe and delivers on-site and live

online training worldwide.

Telephone 01425 471223 Email [email protected] Website www.doulos.com

Doulos Independence

High technical credibility

Independent industry

perspective

No sales pitch

KnowHow development across

the broadest spectrum

Unbiased tuition

Partnerships with leading

vendors

Access to the widest range of EDA tools of any methodology training company

Up-to-date tutors & materials

Early access to new tools

First to market with training in new methods

Page 38: DIRECTORY 2017/18 - NMI · Bitwise CambridgeIQ Dialog Semiconductor EMS EquipIC Global Technologies Ichor Systems Lauterbach Development Tools MDL Technologies Microlease Presto Engineering

38 NMI : Manufacturing Supplier Directory

Aerospace sector

e2v provides CMOS, CCD and EMCCD

image sensors and detection

subsystems for space and ground

applications. e2v has been trusted to

design and deliver solutions for over

150 space missions and numerous

ground observatories by the world’s

largest space agencies, including ESA,

NASA, ESO, NAOC, JAXA, CSA, CNES,

and ISRO, helping to solve the

mysteries of the Universe and

understand change on Earth.

e2v has been trusted to design and

deliver CCD and CMOS imaging

sensors and sub-systems for over 150

space missions by the world’s largest

space agencies, including NASA, ESA,

JAXA, CSA and most recently for the

Russian-led World Space Observatory.

We offer space imaging solutions,

including space qualified imaging

sensors, arrays and sub-systems for

space science, ground astronomy and

Earth observation; helping solve the

mysteries of the Universe and

understand climate change on Earth.

Our state-of-the-art hi-rel

microprocessors and high-speed data

converters are enabling the

manufacture of smaller

communications satellites, handling

ever larger volumes of data at lower

power requirements.

ELECTRONIC COMPONENT MANUFACTURING

Telephone 01245 493493 Email [email protected] Website www.e2v.com

Page 39: DIRECTORY 2017/18 - NMI · Bitwise CambridgeIQ Dialog Semiconductor EMS EquipIC Global Technologies Ichor Systems Lauterbach Development Tools MDL Technologies Microlease Presto Engineering

NMI : Manufacturing Supplier Directory 39

EBARA Precision Machinery Europe offers

state of the art products and services for

manufacturing processes of the

semiconductor, photovoltaic industry and

related industries like FPD, LED and MEMS.

Headquarters: Hanau (near Frankfurt), Germany

Offices and facilities: • Germany: Dresden •

Ireland: Dublin, Lisburn Northern Ireland • France: Evry, Meyreuil, Grenoble •

Austria: Graz • Israel: Migdal Haemeq, Kiryat Gat • UK: Livingston, Lincoln.

Livingston UK is the European Overhaul Centre equipped with full decontamination

facility to accommodate the semiconductor and general vacuum market in the service

and repair of all makes and models of the following pump types - Dry Pumps, -

Booster Pumps, - Rotary Vane Pumps, - Piston Pumps, - Diaphragm Pumps - Scroll

Pumps - Turbo Molecular Pumps (TMP)

EBARA Precision Machinery Europe's reputation is based on proximity to customers,

fast responsiveness, complete service coverage and its full commitment to quality and

customer satisfaction.

Telephone 07768025470 / 01506 460232 Email [email protected] Website www.ebara-pm.eu

CONSULTANCY SERVICES - EQUIPMENT SALES & REFURBISHMENT - EQUIPMENT

SUPPORT SERVICES - TRAINING - VACUUM RELATED EQUIPMENT & SERVICES

EBARA Precision Machinery Europe manufactures and sells Energy Efficient Vacuum products to the vacuum industry such as: Dry vacuum pumps • Turbo molecular pumps • Exhaust gas treatment • Ozone and Ozonized water generators and Vacuum accessories

EBARA Precision Machinery Europe manufactures and sells Vacuum systems to the vacuum industry such as: Wafer Processing Systems • Chemical Mechanical Polishing (CMP) • Electrochemical plating • Ultra fine plating (bump plating)

Page 40: DIRECTORY 2017/18 - NMI · Bitwise CambridgeIQ Dialog Semiconductor EMS EquipIC Global Technologies Ichor Systems Lauterbach Development Tools MDL Technologies Microlease Presto Engineering

40 NMI : Manufacturing Supplier Directory

Telephone 07768 764176 Email [email protected] Website www.electronicslinkasia.com

eDEX are specialist equipment designers for the medical and electronic

industry. With 15 years experience working in these industries, for major

blue chip clients, eDEX can provide you with a custom solution to your

process problems, anywhere in the world..

MARKETS - Our 'Standard' products include: Manual wet chemical process

stations manufactured in plastic or stainless steel,Semi automatic wet chemical

stations, Fully automatic robotic controlled.

SYSTEMS -Our systems include: Etching - Plating - Polishing - Cleaning -

Coating - Drying

Systems can include full PC control with FDS compliant recording of information.

Telephone 01782 597518 Email [email protected] Website www.edexsystems.com

CONSULTANCY SERVICES - EQUIPMENT SALES & REFURBISHMENT - EQUIPMENT

SPARES, INSTRUMENTATION & CONSUMABLES - EQUIPMENT SUPPORT SERVICES

SOFTWARE AND AUTOMATION - TRAINING

This business started in 1996 as a consultancy with offices in Japan to assist companies into the Japanese market, and has had notable successes in doing so, as mentioned on the web site.

Our office in Japan has closed but we have advised companies who wish to enter Japan and supported NMI. In addition to such advice, we have partners in Japan who can support sales activities “on the ground”.

CONSULTANCY SERVICES

Page 41: DIRECTORY 2017/18 - NMI · Bitwise CambridgeIQ Dialog Semiconductor EMS EquipIC Global Technologies Ichor Systems Lauterbach Development Tools MDL Technologies Microlease Presto Engineering

NMI : Manufacturing Supplier Directory 41

Embecosm provides

open source compiler

tool chain and silicon

chip modelling

services. Amongst

other tools, we

support GCC, LLVM,

Verilator and SystemC.

Our services including

porting tools to new

targets and maintaining &

supporting existing tools.

Embecosm is also one of

the world's leading

organizations for research

into compiler technology.

Our machine learning

compiler technology sets

new standards of

optimization for code compactness and code speed. Our open source compilers

which optimize generated code for energy efficiency are unique.

Contact us for more details.

CONSULTANCY SERVICES - SOFTWARE AND AUTOMATION - TESTING

Telephone +44 1590 610184 Email [email protected] Website www.embecosm.com

Page 42: DIRECTORY 2017/18 - NMI · Bitwise CambridgeIQ Dialog Semiconductor EMS EquipIC Global Technologies Ichor Systems Lauterbach Development Tools MDL Technologies Microlease Presto Engineering

42 NMI : Manufacturing Supplier Directory

EMS offers the latest innovative range of products in

addition to end-to-end services including

onsite calibration across a range of

industries including:

Aerospace & Defence

Food & Beverage

Industrial Manufacturing

Life Sciences

Microelectronics

Research & Development

EMS Particle Solutions is the exclusive

distributor in the UK and Ireland of PMS, the

global technology leader in the

environmental monitoring industry. At EMS,

our engineers provide our clients with the

highest level of service and the latest

industry knowledge guaranteeing the best

possible cleanroom/particle monitoring solutions are achieved. The EMS

calibration laboratories are regularly audited by NSAI and Particle Measuring

Systems Inc ensuring best practice and quality standards are met.

Telephone 07825 884292 Email [email protected] Website www.emsparticlesolutions.co.uk

ANALYTICAL TOOLS & SERVICES - CLEANROOM CONSUMABLES - CLEANROOM

SERVICES & FACILITIES - CONSULTANCY SERVICES - EQUIPMENT SALES &

REFURBISHMENT - EQUIPMENT SPARES, INSTRUMENTATION & CONSUMABLES

EQUIPMENT SUPPORT SERVICES - PHOTO MASKS - R&D SERVICES - RELIABILITY TEST -

RF RELATED SERVICES - SOFTWARE & AUTOMATION - TESTING - TRAINING

EMS Particle Solutions (EMS) is an

industry leader in Cleanroom and

Particle Monitoring Solutions.

Page 43: DIRECTORY 2017/18 - NMI · Bitwise CambridgeIQ Dialog Semiconductor EMS EquipIC Global Technologies Ichor Systems Lauterbach Development Tools MDL Technologies Microlease Presto Engineering

NMI : Manufacturing Supplier Directory 43

EpiValence is a specialty chemical manufacturer focussing on producing and supplying ultra-high purity precursors to the electronics industry.

With full manufacturing capability in our laboratories in the UK EpiValence offers

over 200 compounds of 43 elements from the periodic table as standard products

and our experienced technical team have expert knowledge of new molecule

design and synthesis to extend the range of chemicals and formulations available

to meet customer needs now and into the future.

EpiValence core technology relates to the synthesis of sensitive organometallic

compounds and understanding their properties and co-ordination chemistry to

create functional precursors specifically tailored to our partner’s target

applications. Collaborative research is a key element to EpiValence’s strategic goals

to service emerging areas such as the ALD/MOCVD and printed electronics markets

through a new product pipeline covering identification, testing and introduction for

next generation applications.

All products are available for supply in a range of packaging solutions, including

high integrity vessels compatible with customer tools as part of an integrated

precursor delivery

system. Ampoule return,

treatment and refill

services are also available

to maximise bubbler

utilisation and minimise

customer chemical

handling and risk.

As an ISO9001 and

ISO14001 certified

company our quality and

environmental policies

are guaranteed.

Telephone 01642 924904 Email [email protected] Website www.epivalence.com

MATERIAL & CHEMICALS - R&D SERVICES

Page 44: DIRECTORY 2017/18 - NMI · Bitwise CambridgeIQ Dialog Semiconductor EMS EquipIC Global Technologies Ichor Systems Lauterbach Development Tools MDL Technologies Microlease Presto Engineering

NMI : Manufacturing Supplier Directory 44

Page 45: DIRECTORY 2017/18 - NMI · Bitwise CambridgeIQ Dialog Semiconductor EMS EquipIC Global Technologies Ichor Systems Lauterbach Development Tools MDL Technologies Microlease Presto Engineering

NMI : Manufacturing Supplier Directory 45

EquipIC supply chain

provides turnkey ASIC

services for fabless

semiconductor companies

and ‘system houses’.

Headquartered in The

Netherlands and operating

internationally, we have been

active in the IC market since

2002. Our services include

manufacturing, test and

assembly from IC prototype,

through to full volume

production. If required, we can

also provide design services.

We have extensive experience in all aspects of IC sourcing including process,

design, production and testing. Our services ensure our customers are able to

focus on their own product development and marketing.

We also promote a flexible business model which supports customer vendor

selection: our independence from wafer foundry, test and assembly houses

allows us to produce the most effective solution for our customers.

Telephone +31 23 750 5144 Email [email protected] Website www.equipic.com

ANALYTICAL TOOLS & SERVICES - CONSULTANCY SERVICES - EQUIPMENT SUPPORT

SERVICES - PACKAGING - PHOTO MASKS - R&D SERVICES - RELIABILITY TEST - RF

RELATED SERVICES - TESTING

IC Design IC Production Assembly & Test

Page 46: DIRECTORY 2017/18 - NMI · Bitwise CambridgeIQ Dialog Semiconductor EMS EquipIC Global Technologies Ichor Systems Lauterbach Development Tools MDL Technologies Microlease Presto Engineering

46 NMI : Manufacturing Supplier Directory

EurSemi Ltd. is a Sales and Account Management Company for the

Semiconductor and Related industries with 25+ years of sales, customer service

and account management experience. We work with established companies who

do not have their own sales resource in the European region and are bringing EO

Technical Solutions to the European Semiconductor market.

EO Technical Solutions is the semiconductor industry’s No.1 for ASML parts and

ASML parts rebuild services.

Founded in 2009, our 6000 SF facility contains an in-house electronics repair shop

capable of repairing and/or rebuilding most ASML parts, and a 1500 SF Class 1000

clean room dedicated to maintaining an ASML 5500/500 scanner and an ASML

5500/60 stepper for parts qualification testing.

Inventory of 2000+ parts.

Continually sourcing new & replacement parts.

Able to provide 90%+ of market requirements.

Repairs & Exchange parts available for tool down situations.

Unique repair, rebuild and testing gives us a < 3% DOA record.

TCU rebuild to like-new condition and ASML standards.

1000W & 2500W TCU inventory for emergency shipments.

Reliable source for purchasing and selling of used ASML equipment.

Register for an account on our website www.eotechnical.com where you will

have access to all inventory and make an enquiry.

EQUIPMENT SALES & REFURBISHMENT - EQUIPMENT SPARES, INSTRUMENTATION &

CONSUMABLES - EQUIPMENT SUPPORT SERVICES

Telephone +44 7801 965211 Email [email protected] [email protected] Website www.eursemi.com

Page 47: DIRECTORY 2017/18 - NMI · Bitwise CambridgeIQ Dialog Semiconductor EMS EquipIC Global Technologies Ichor Systems Lauterbach Development Tools MDL Technologies Microlease Presto Engineering

NMI : Manufacturing Supplier Directory 47

Breakthrough electronics using synthetic-diamond Evince Technology is

developing a new

generation of

electronic devices

based on synthetic

diamond –

considered by many

to be the ultimate

semiconductor

material.

Evince’s unique

approach overcomes

the challenges

associated with doping diamond. To date we have demonstrated proof of

concept devices, based on our Embedded Electron Emission technology, and are

now developing prototypes of solid-state devices with multiple potential

applications.

Our primary focus areas are in high voltage and high frequency devices, plus our

technology can also yield high current density cold cathode electron sources.

These all stem from the fundamental nature of our approach.

Our business model is to collaboratively work with device developers and

manufacturing partners under licence to open up broad range of industries

that would benefit from the vastly superior thermal and electronic properties

that only diamond and our approach can deliver. We are open for discussion!

Telephone 01740 625525 Email [email protected] Website www.evincetechnology.com

ELECTRONIC COMPONENT MANUFACTURING

Page 48: DIRECTORY 2017/18 - NMI · Bitwise CambridgeIQ Dialog Semiconductor EMS EquipIC Global Technologies Ichor Systems Lauterbach Development Tools MDL Technologies Microlease Presto Engineering

48 NMI : Manufacturing Supplier Directory

Exception EMS a Fabrinet Company

…Trusted Manufacturing Partner of The Worlds Most Demanding OEM’s

Based in the UK, with overseas manufacturing strategically placed in the USA and Thailand, Exception EMS is centrally placed within Europe to provide professional and accessible electronic design and manufacturing services to a wide range of clients from start-up through to global multi-site blue chip OEMs, with particular in depth knowledge in niche market sectors that require focus around, ruggedisation and harsh environments whilst enhancing on the expertise from emerging technologies.

From PCB’s to complete systems, our expertise in the specification, design, NPI, assembly and test of complex printed circuit boards and careful attention to design for manufacture and risk adverse supply chain solutions maximises the life cycle of your product and minimises early obsolescence.

Exception’s core competencies • Technical Reporting; providing pre and post design support to aid design,

reduced costs and time to market

• Professional Services supporting key consultancy in PCB and mechanical

design, supply chain, test development and project management

• Dedicated New Product Introduction (NPI, On-Demand) PCB Assembly in the

UK

• Low-Medium Volume contract electronic manufacturing in the UK/Europe

• High Volume Offshore PCB Assembly & Advanced Packaging Solutions,

managed by our UK management team

• System Integration and full Box Build Tested solutions

• Aftermarket services and Repair

Exception EMS’s 220 employees work across a single UK location supported by a global supply chain in Thailand.

Telephone 01249 814081 Email [email protected] [email protected] Website www.exceptiongroup.com

ELECTRONICS COMPONENT MANUFACTURING - R&D SERVICES - RELIABILITY TEST

Page 49: DIRECTORY 2017/18 - NMI · Bitwise CambridgeIQ Dialog Semiconductor EMS EquipIC Global Technologies Ichor Systems Lauterbach Development Tools MDL Technologies Microlease Presto Engineering

NMI : Manufacturing Supplier Directory 49

PACKAGING

Telephone +44(0)1684 292448 Email [email protected] Website www.exceptionpcbsolutions.com

European

leader in time

critical and

technology

driven printed

circuit board

solutions.

Specialists in quick-

turn, high density

interconnection (HDI)

solutions for a wide

range of industries

including aerospace,

medical

telecommunications,

defence and

automotive.

A highly experienced

team of design

engineers work closely

with OEM customers

and designers to

develop HDI circuit

boards with micro-via

Page 50: DIRECTORY 2017/18 - NMI · Bitwise CambridgeIQ Dialog Semiconductor EMS EquipIC Global Technologies Ichor Systems Lauterbach Development Tools MDL Technologies Microlease Presto Engineering

50 NMI : Manufacturing Supplier Directory

Feabhas already improves the embedded software development skills within the world’s leading electronic companies, such as Samsung, ARM, HP and Intel. They rely on Feabhas competency assessments, face-to-face training, and consultancy, to help their embedded software developers and engineers to:

increase product quality and speed to market

reduce product failures caused by bugs

stay at the forefront of best practice for embedded systems

development and testing. As a result, these organisations are better placed to:

recruit talented software developers and identify skills gaps

provide practical ongoing learning and development from industry experts

measure the impact of the training received.

Choosing Feabhas as your partner for embedded software development improvement gives you access to:

Onsite team training, around the globe

Public training for individual engineers in the UK

Pre and post course assessments

Graduate training programs

Re-skilling from other software development and engineering disciplines

Consultancy & mentoring

Telephone 01793 792909 Email [email protected] Website www.feabhas.com

CONSULTANCY SERVICES - TRAINING

Feabhas is an ARM

approved training centre

that provides world-class

training and consultancy to

improve embedded

software development skills.

Page 51: DIRECTORY 2017/18 - NMI · Bitwise CambridgeIQ Dialog Semiconductor EMS EquipIC Global Technologies Ichor Systems Lauterbach Development Tools MDL Technologies Microlease Presto Engineering

NMI : Manufacturing Supplier Directory 51

FEI is a leading diversified scientific

instruments company. It is a premier

provider of electron and ion-beam

microscopes and tools for nanoscale

applications across many industries:

industrial and academic materials

research, life

sciences,

semiconductors,

data storage,

natural resources

and more.

With a 60-year

history of

technological innovation and leadership, FEI has set the performance standard in

transmission electron microscopes (TEM), scanning electron microscopes (SEM)

and DualBeams™, which combine a SEM with a focused ion beam (FIB). FEI's

imaging systems provide 3D characterization, analysis and modification/

prototyping with resolutions down to the sub-Angstrom (one-tenth of a

nanometer) level.

FEI's NanoPorts in North America, Europe and Asia provide centers of technical

excellence where its world-class community of customers and specialists

collaborate. FEI has approximately 1800 employees and sales and service

operations

in more

than 50

countries

around the

world.

ANALYTICAL TOOLS AND SERVICES - EQUIPMENT SALES & REFURBISHMENT

EQUIPMENT SPARES, INSTRUMENTATION & CONSUMABLES

Telephone 07776 162108 Email [email protected] Website www.fei.com

Technology for

Exploration,

Discovery, and

Invention

Page 52: DIRECTORY 2017/18 - NMI · Bitwise CambridgeIQ Dialog Semiconductor EMS EquipIC Global Technologies Ichor Systems Lauterbach Development Tools MDL Technologies Microlease Presto Engineering

52 NMI : Manufacturing Supplier Directory

TRAINING

Telephone +44 1295 201250 Email [email protected] Website www.firsteda.com

Established in 2002, FirstEDA is a highly responsive value-added distributor of EDA tools and training in Northern Europe.

Specialising in software and hardware solutions for electronics design and verification, we are committed to helping engineers be more productive through the use of the most appropriate EDA tools and methodologies, and through keeping their skills current.

Whether you’re targeting a small gate-count PLD or working on a System-on-Chip ASIC, we can equip you with cost-effective EDA tools, help keep your engineering skills up-to-date and provide technical support when you need it.

The company comprises a team of highly skilled engineers and is the trusted partner-of-choice for a number of EDA companies; each of which has a range of innovative and versatile products. As experienced engineers, FirstEDA ensures the solutions we promote and support are fit-for-purpose and able to tackle today’s most demanding challenges. Moreover, we work extremely closely with each of our suppliers and their product specialists so, on the rare occasion when additional support is required, we provide a fast turnaround.

FirstEDA offers high quality instructor-led training in languages & methodologies and tool proficiency. Our courses and workshops are developed and delivered by our own highly experienced engineers or through our select partnership with US based VHDL specialist Jim Lewis of SynthWorks. FirstEDA’s own engineering experiences add great value and ensure the training is fit-for-purpose and current.

Our training courses and workshops are engaging, practical and sociable, and we certainly haven’t lost sight of the fact that engineering is both interesting and rewarding. Whether attending to learn new skills or to enhance your current ones, you will be mixing with like-minded individuals, all of whom are keen to learn new techniques and methodologies that will further their careers.

Page 53: DIRECTORY 2017/18 - NMI · Bitwise CambridgeIQ Dialog Semiconductor EMS EquipIC Global Technologies Ichor Systems Lauterbach Development Tools MDL Technologies Microlease Presto Engineering

NMI : Manufacturing Supplier Directory 53

At Fourteen

Technology we

work directly

with factories,

Universities and

Research

Institutions to

help them save

money on their

spare parts

spend.

We are the exclusive

sales representative

for Applied Ceramics,

SPM AG, Applied

Seals North America

and Fabworx

Solutions in the UK

and Ireland.

Since starting out

solely in the

Semiconductor industry, we now support customers from the Photonics,

Research and Development and Industrial coatings industries.

The following is an overview of the parts we offer:

Applied Ceramics - Ceramic, Quartz, Silicon and Sapphire parts for etch, cvd,

pvd and lithography

SPM AG - Heaters for CVD applications, ESCs, Optical parts for steppers,

Refurbishment services, Reticle cases, Wafer carriers, Metal parts, Lamps,

Sputtering targets and IR substrates .

Applied Seals North America - Manufacturer of high quality o-rings for

the semiconductor industry

Fabworx Solutions Inc - Manufacturer of robot arm and end effector

upgrades for Endura® and Centura® platforms

Telephone +44 (0) 7739 985345 Email [email protected] Website www.fourteentechnology.com

EQUIPMENT SPARES, INSTRUMENTATION & CONSUMABLES

Page 54: DIRECTORY 2017/18 - NMI · Bitwise CambridgeIQ Dialog Semiconductor EMS EquipIC Global Technologies Ichor Systems Lauterbach Development Tools MDL Technologies Microlease Presto Engineering

54 NMI : Manufacturing Supplier Directory

Global Technologies

became through the years,

a major second source for

most Semiconductor

foundries and related R&D

centres.

The reactivity and service quality are recognized and appreciated by our customers

and partners. The service departments are covering the following main products

families:

Mechanical : Cryogenics vacuum ( pumps, compressors, parts ….)

Mechanical : Vacuum feedthroughs & ferrofluidic seals

Mechanical : Implanters Wheels and wafer support parts

Electronic : PCB’s, DC/ HV PS units, Controllers

Electronic : DC/RF generators

Gas : Distribution panel, Gas box

Service activities are including : maintenance, repair & overhaul, reconditioning,

upgrade, reverse engineering, service exchange.

Additionally Global Technologies proposes sale of equipment and equipment

subassemblies, as well as equipment design.

ISO 9001 certified company

CONSULTANCY SERVICES - EQUIPMENT SALES AND REFURBISHMENT -

EQUIPMENT SPARES, INSTRUMENTATION & CONSUMABLES - EQUIPMENT SUPPORT

SERVICES - R&D SERVICES - RF RELATED SERVICES - SOFTWARE & AUTOMATION -

TRAINING - VACUUM RELATED EQUIPMENT & SERVICES

Telephone +33 (0)442 53 82 42 Email [email protected] Website www.global-technologies.fr

Page 55: DIRECTORY 2017/18 - NMI · Bitwise CambridgeIQ Dialog Semiconductor EMS EquipIC Global Technologies Ichor Systems Lauterbach Development Tools MDL Technologies Microlease Presto Engineering

NMI : Manufacturing Supplier Directory 55

CONSULTANCY SERVICES - RECRUITMENT SERVICES

At an individual level

every person is seeking

a successful and

satisfying career. At a corporate level, every company seeks out the

most talented people who will contribute to the organisation’s long term

success.

At IC Resources our role is to ensure that these individual and communal

aspirations are fulfilled through mutually beneficial introductions.

Our goal is simple: to deliver value to the individuals and companies we work

with. This means giving our candidates well-informed career advice and

introducing them to opportunities which will help them progress their careers. For

our clients, identifying talented individuals with sought-after skills who can help

them succeed in a globally competitive marketplace.

For 17 years, IC Resources has been delivering efficient, authoritative and cost

effective recruitment results. If you’d like to discuss the employment marketplace,

salary levels, your recruitment strategy or indeed your career, please contact us.

Telephone +44 (0)118 988 1150 Email [email protected] Website www.ic-resources.com

Page 56: DIRECTORY 2017/18 - NMI · Bitwise CambridgeIQ Dialog Semiconductor EMS EquipIC Global Technologies Ichor Systems Lauterbach Development Tools MDL Technologies Microlease Presto Engineering

56 NMI : Manufacturing Supplier Directory

iCAM Engineering

Limited are a long

established and

trusted

manufacturer with

an enviable track

record for producing

high quality, safety

critical products and

services. Our

experience,

developed over

many years has

enabled us to meet

the demanding

requirements of the semiconductor, chemical, oil & gas and related industries. As

an ISO 9001:2008 company, our established customers rely on us to provide a

flexible professional service backed up with high quality, cost efficient products.

For more than 25 years iCAM have focussed activities to become a leading

international manufacturer of MOCVD and ALD bubblers/cylinders used in the

semiconductor industry. Ongoing investment in equipment and training allows us

to stay at the forefront of industry developments, maintaining a tightly controlled,

yet flexible operation ensuring that we can offer our customers the capacity to

react to demand surges in the marketplace. Our advanced knowledge, equipment

and manufacturing techniques also allows us to offer a range of complimentary

products, including sampling systems, Gas/Fluid handling systems, orbital

fabrications, Manifolds, VCR welded assemblies and machined components.

We are proud of our track record at iCAM and our ability to meet the ever

increasing demands placed upon us. We have a highly skilled and dedicated team

who are eager to provide an unrivalled service.

3D CAD design and product

development

Orbital welding (Head & Lathe)

Manual TIG welding

Machining (CNC & manual) Electro-polishing

Telephone +44 (0)1244 831143 Email [email protected] Website www.icamengineering.co.uk

CONSULTANCY SERVICES - EQUIPMENT SALES & REFURBISHMENT - EQUIPMENT

SPARES, INSTRUMENTATION & CONSUMABLES - MATERIALS / CHEMICALS

PACKAGING - R&D SERVICES - TESTING - VACUUM RELATED EQUIP & SERVICES

Manual polishing Engraving (Laser & Mechanical)

Pressure testing (Proof & Expansion)

Statistical process control and analysis

Page 57: DIRECTORY 2017/18 - NMI · Bitwise CambridgeIQ Dialog Semiconductor EMS EquipIC Global Technologies Ichor Systems Lauterbach Development Tools MDL Technologies Microlease Presto Engineering

NMI : Manufacturing Supplier Directory 57

Refurbished equipment is

providing Semiconductor

Manufacturers with a cost-

effective choice for their

production needs in order to

satisfy their performance goals

and budgetary requirements.

With the exclusive Global License

to sell Lam Research Single

Chamber Plasma Etch Equipment

(Rainbow® & TCP®) for Metal, Conductor and Dielectric applications, Ichor Systems

Ltd is uniquely positioned to provide turnkey solutions that include equipment

selection right through to installation and warranty. Offering a comprehensive

package that allows customers to manage their resources while minimising the risk

associated with purchasing refurbished equipment. Critically, all with OEM backing.

In addition to supplying equipment Ichor are Proactively Managing Obsolescence

on Legacy Platforms as this is a high priority for many manufacturers in the IoT/MtM

space looking to manage their equipment life cycle.

Ichor Systems Ltd has a proven track record in supporting Lam Research

systems, offering extensive solutions for these products:

Telephone +44 1355 222 166 Email [email protected]

CONSULTANCY SERVICES - ELECTRONIC COMPONENT MANUFACTURING - EQUIPMENT

SALES & REFURBISHMENT - EQUIPMENT SPARES, INSTRUMENTATION & CONSUMABLES -

EQUIPMENT SUPPORT SERVICES - R&D SERVICES - RF RELATED SERVICES - SOFTWARE &

AUTOMATION - TESTING - TRAINING - VACUUM RELATED EQUIPMENT & SERVICES

AutoEtch 49,590,690

Rainbow® (44XX,45XX,46XX,47XX)

TCP® (9400, 9600)

Alliance (All) – 2300 (All)

Lam Deposition (C2 and above)

As the official distributor of Lam Legacy system spares and the SCE license

holder we offer a wide range of services which include:

Fully Refurbished System Packages

Build to Base Refurbishment Program

Obsolescence Management for Lam/Other Legacy Equipment

Field Service Support

Field Process Support

Legacy System Spare Parts

System Sub-assembly Repairs

Customer Technical Support

Process Development

System Upgrades & Reconfiguration

System Installation

Demo Capability

Custom Training packages provided

www.ichorsystems.com/used-semiconductor-equipment-refurbished-tools

Page 58: DIRECTORY 2017/18 - NMI · Bitwise CambridgeIQ Dialog Semiconductor EMS EquipIC Global Technologies Ichor Systems Lauterbach Development Tools MDL Technologies Microlease Presto Engineering

58 NMI : Manufacturing Supplier Directory

ANALYTICAL TOOLS & SERVICES - CONSULTANCY SERVICES - PACKAGING -

RELIABILITY TEST - TESTING

ICsense is Europe’s premier IC design

company. ICsense’s core business is

ASIC design and supply and custom IC

design services. The company is active

in automotive, medical, industrial and

consumer developments compliant

with ISO26262, ISO13485, IEC61508

and ISO9001. ASICs at ICsense are

exclusively developed for you and

exclusively supplied to safeguard your

competitive advantage.

ICsense has the largest fab-

independent European design group

with world-class expertise in analog,

mixed-signal and high-voltage IC

design. The company supplies high

quality, cost-efficient custom ASIC

solutions.

ICsense offers the entire spectrum of

semiconductor services, from design to fully tested ASICs supplied to you in

mass production. Our project teams consist of ASIC system architects, design,

layout & test engineers, project managers and supply chain experts with years

of industry experience in providing you with a high-reliability and cost-effective

solution.

ICsense is Europe's premier fabless and foundry-independent

IC design company.

Telephone 0032 16 589 700

Email [email protected]

Website www.icsense.com

Areas of expertise:

Sensor/MEMS interfacing High-voltage design Power/battery management Low-power Communication

Page 59: DIRECTORY 2017/18 - NMI · Bitwise CambridgeIQ Dialog Semiconductor EMS EquipIC Global Technologies Ichor Systems Lauterbach Development Tools MDL Technologies Microlease Presto Engineering

NMI : Manufacturing Supplier Directory 59

Imec's IC-link division has extensive

industrial experience, accredited

partnerships with the leading foundries,

and long-standing relationships with all the

main EDA tool vendors and IP providers.

This makes us a trusted partner to help you

layout, prototype, fabricate, and test your

advanced electronic products. We integrate IC technology services into

customized bundles, targeted to your goals

and market needs. Whether you choose an

individual service or a bundle, we

guarantee a fast, reliable, and risk-free

service. This allows you to concentrate on

your core business of creating innovative

products and getting them to market. Our customers testify to the added value

we bring. We do 500 design tape-outs per

year and provide foundry access to over

300 companies and 700 research institutes.

Imec IC-link has dedicated teams for ASIC

services, Design services and services.

Where appropriate, and for specific

services, we can call on our trusted design

& IP partners and on our parent R&D

organization, imec.

As part of this offering, we have a number of unique services including the design of

radiation-hardened logic and memory (DARE), Technology Targeting Services to help

you assess and select the right foundry process technology, and consulting and tools

for Package, PCB and PBA manufacturing.

Telephone +32 473925418 Email [email protected] Website www.imec/be/iclink

ANALYTICAL TOOLS AND SERVICES - CONSULTANCY SERVICES - OUTSOURCED

CLEANROOM SERVICES (STAFF, PROCESSES) - PACKAGING - PHOTO MASKS - RELIABILITY

TEST - TESTING

Page 60: DIRECTORY 2017/18 - NMI · Bitwise CambridgeIQ Dialog Semiconductor EMS EquipIC Global Technologies Ichor Systems Lauterbach Development Tools MDL Technologies Microlease Presto Engineering

60 NMI : Manufacturing Supplier Directory

INEX is an innovative

company offering leading-

edge production of micro/nano technology

and semiconductor based systems.

Established in 2002 as a commercial unit of

Newcastle University in North East England, it

rapidly grew a strong reputation for specialist manufacturing services and close

collaborative relationships with partners and customers.

INEX is now a valued commercial partner for delivering next generation sensors,

advanced RF/power devices and miniaturized systems.

The significant investment INEX has enjoyed over more

than 10 years puts the company at an unqualified

technological advantage. With full-featured processing on

a 150mm line, we are seen as unique in the UK for

comprehensive processing of microsystems and

compound semiconductor based electronics.

Telephone 0191 500 7210 Email [email protected] Website www.inexmicro.com

ANALYTICAL TOOLS AND SERVICES - CLEANROOM SERVICES & FACILITIES

CONSULTANCY SERVICES - OUTSOURCED CLEANROOM SERVICES (STAFF,

PROCESSES) - R&D SERVICES - TESTING

Page 61: DIRECTORY 2017/18 - NMI · Bitwise CambridgeIQ Dialog Semiconductor EMS EquipIC Global Technologies Ichor Systems Lauterbach Development Tools MDL Technologies Microlease Presto Engineering

NMI : Manufacturing Supplier Directory 61

We offer Quality &

Reliability Management,

Environmental

Management and Health

& Safety Management

Consultancy to High

Technology and

Engineering industries in

the UK and Europe.

With over 30 years in the

Semiconductor and Electronics

industries we have helped a

variety of organisations

improve their management

systems and product quality at

lower cost therefore achieving

higher customer satisfaction.

We offer services in the following areas :

General Quality Management System advice and implementation

Quality Management for Integrated Circuit, Electronics and other High Technology companies

Environmental Management Systems advice and implementation

Engineering/Operations Management

Health & Safety Management

Business Continuity and Corporate Social Responsibility Management

Internal Audit

Product Quality Improvement

Please feel free to browse through our web site to see further details of services we

can offer to improve your business performance.

http://www.ingenious-quality.com We are at your service to discuss how we can add value to your operation

Telephone +44 (0) 1189 889279 Email [email protected] Website www.ingenious-quality.com

CONSULTANCY SERVICES

Page 62: DIRECTORY 2017/18 - NMI · Bitwise CambridgeIQ Dialog Semiconductor EMS EquipIC Global Technologies Ichor Systems Lauterbach Development Tools MDL Technologies Microlease Presto Engineering

62 NMI : Manufacturing Supplier Directory

Inside Secure is at the heart of security

solutions for mobile and connected

devices, providing software, silicon IP,

tools and know-how needed to protect

customers’ transactions, content,

applications, and communications.

With its deep security expertise and experience, the company delivers products

having advanced and differentiated technical capabilities that span the entire range

of security requirement levels to serve the demanding markets of network security,

IoT security, content & application protection, mobile payment & banking.

Inside Secure’s technologies protect solutions for a broad range of customers

including service providers, content distributors, security system integrators, device

vendors and semiconductor manufacturers.

Telephone 0141 4656330 Email [email protected] Website www.insidesecure.com

CONSULTANCY SERVICES - SOFTWARE & AUTOMATION

Page 63: DIRECTORY 2017/18 - NMI · Bitwise CambridgeIQ Dialog Semiconductor EMS EquipIC Global Technologies Ichor Systems Lauterbach Development Tools MDL Technologies Microlease Presto Engineering

NMI : Manufacturing Supplier Directory 63

Experience Like Never Before Personal computing has entered a new era. Instead

of relying on a single device, we are surrounded by

multiple devices at home and work—laptops, a

family computer, smartphones, tablets, TVs—they all

help us stay connected and be more productive.

At Intel, we see technology as more than a practical

tool. We envision it as a true extension of our

natural, creative selves, elevating our expectations of

how intuitive and helpful our devices and

environments should be, whether it’s proactively

responding to needs, freeing from wires that leash

us, or ridding the need to memorize countless

passwords.

We are determined to make technology more natural. Changing everything takes

everyone, and Intel is leading the way. Bringing together an entire ecosystem to

create these experiences, we are building a world where your wants and needs are

anticipated to make lives easier and better.

We‘re making technology a truly personal experience—it starts with Intel Inside®.

Telephone 01793 403000

Email [email protected]

Website www.intel.com

ELECTRONICS COMPONENT MANUFACTURING

Page 64: DIRECTORY 2017/18 - NMI · Bitwise CambridgeIQ Dialog Semiconductor EMS EquipIC Global Technologies Ichor Systems Lauterbach Development Tools MDL Technologies Microlease Presto Engineering

64 NMI : Manufacturing Supplier Directory

RELY ON OUR TECHNICAL COMPETENCE

At IBS we master each step of ion implant activity. Our

vertical competence ranges from the implantation of your

substrate, to providing a customized ion implanter, to

providing the state of the art Pulsion implant system. This

means that for any required service, we master all the

required steps. When we sell consumables or propose maintenance services, our

expertise is proven as we are using those services internally for our implant

services offering. When we sell upgrades and retrofits, we know the impact and

benefits on the equipment. When we sell cleaning and refurbishment services,

we first measure and prove the quality and value of the service on our own

equipment.

TRUST OUR EXPERIENCE

IBS has been in business and profitable for more than 20 years. During these

years, IBS became a reliable partner not only for the leading European

companies of the semiconductor industry but also the European Research Labs.

Our 20 years of experience contribute to establish the IBS reputation in ion

implant to validate the position of IBS as an expert in ion implant.

COUNT ON OUR EXPERTISE

Our engineering staff continues to publish papers and articles with their latest

progress and stay at the top

of the latest scientific

progress. This expertise is at

your service: as you

investigate the best technical

solutions to chose, our

engineers are available to

consult with you at each

stage of your process and

help analyse and select the

best solution.

Telephone 01506 633 537 Email [email protected] Website www.ion-beam-services.com

CONSULTANCY SERVICES - EQUIPMENT SALES & REFURBISHMENT - EQUIPMENT

SPARES, INSTRUMENTATION & CONSUMABLES - EQUIPMENT SUPPORT SERVICES -

R&D SERVICES

Ion Beam

Services (IBS)

is an

independent

company

providing ion

implantation

products and

services.

Page 65: DIRECTORY 2017/18 - NMI · Bitwise CambridgeIQ Dialog Semiconductor EMS EquipIC Global Technologies Ichor Systems Lauterbach Development Tools MDL Technologies Microlease Presto Engineering

NMI : Manufacturing Supplier Directory 65

Cardiff headquartered IQE plc is the leading global supplier of advanced semiconductor wafers with products that cover a diverse range of applications, supported by an innovative outsourced foundry services portfolio that allows the Group to provide a 'one stop shop' for the wafer needs of the world's leading semiconductor manufacturers.

IQE uses advanced epitaxial growth technology to manufacture and supply bespoke semiconductor wafers to the world's major chip manufacturing companies. IQE is unique in being able to supply wafers using all of the leading crystal growth technology platforms; MBE, MOCVD and

CVD. Recent additions to our portfolio include SOS, SOI, GeOI and BSOS substrates.

IQE’s products are found in many leading-edge consumer, communication, computing and industrial applications, including a complete range of wafer products for the wireless industry such as mobile handsets and wireless infrastructure, Wi-Fi, WiMAX base stations, GPS and satellite communications, optical communications, optical storage (CD,DVD), laser optical mouse, laser printers & photocopiers, thermal imagers, medical products, barcode, ultra high brightness LEDs, a variety of advanced silicon based systems and high efficiency concentrator photovoltaic (CPV) solar cells.

IQE's customers are increasingly seeking to outsource their wafer production in order to reduce overall wafer costs and accelerate time to market.

IQE also provides bespoke R&D services to deliver customised materials for specific applications and offers specialist technical staff to manufacture to specification either at its own facilities or on the customer's own sites. The Group is also able to leverage its global purchasing volumes to reduce the cost of raw materials. In this way IQE's outsourced services, provide compelling benefits in terms of flexibility and predictability of cost, thereby significantly reducing operating risk.

IQE operates multiple manufacturing and R&D facilities worldwide. For further information visit www.iqep.com.

Telephone 02920 839 400 Email [email protected] Website www.iqep.com

MATERIALS/CHEMICALS - OUTSOURCED CLEANROOM SERVICES (STAFF, PROCESSES)

R&D SERVICES

Page 66: DIRECTORY 2017/18 - NMI · Bitwise CambridgeIQ Dialog Semiconductor EMS EquipIC Global Technologies Ichor Systems Lauterbach Development Tools MDL Technologies Microlease Presto Engineering

66 NMI : Manufacturing Supplier Directory

Located in Chippenham, IXYS

UK Westcode Ltd is a wholly

owned subsidiary IXYS

Corporation (NASDAQ:IXYS) an

international power and IC

semiconductor company.

Within the group IXYS UK Westcode

Ltd specialises in the development

and manufacturing of very high

power semiconductor devices using

pressure contact packaging. Power

semiconductors have been in

continuous production on the

Chippenham site since the mid

1920’s when the company was owned by Westinghouse Brake and signal company

Ltd and has been part of the global IXYS Corporation since 2002. Today the focus of

manufacture is very high power thyristors and rectifiers, as well has high technology

products like IGBTs (Insulated gate Bi-polar transistors) and power semiconductor

sub-assemblies.

IXYS UK Westcode Ltd continues to supply high technology components for a wide

range of applications such as wind and solar energy, welding, AC and DC motor

drives for oil, marine and water treatment facilities, uninterruptible power supplies,

motor soft starters, transportation, induction heating, mining equipment and many

other industrial applications. Around 90% of the products manufactured in

Chippenham are exported, with a customer base across Europe, Asia & the Americas.

IXYS UK Westcode Ltd manufactures pressure contact semiconductors with

individual die sizes of 19mm to 100mm. As well as pressure contact IGBTs and high

power isolated base thyristor/diode modules: Rectifier diodes from 400A to 10kA

with voltages from 200V to 6kV; Fast & soft recovery diodes from 130A to 2.5kA with

voltages from 200V to 6.5kV; Phase control thyristors from 180A to 7kA with voltages

from 200V to 6.5kV; Medium voltage thyristors from 350A to 3.5kA with voltages

from 3.6kV to 6.5kV; Fast turn-off & distributed gate thyristors from 100A to 4kA with

voltages from 800V to 4.5kV; Gate turn-off thyristors from 300A to 4kA with voltages

from 1.4kV to 4.5kV; Pressure contact IGBTs from 160A to 2400A with voltage ratings

2.5kV to 6.5kV; Isolated base modules (Dual & single) from 320A to 1200A with

voltage ratings 1.2kV to 3.6kV; plus mechanical parts required for the operation of

power semiconductor devices such as, Heat sinks, coolers, clamps, snubbers, gate

trigger and protection circuits as well as complete sub-assemblies incorporating the

semiconductor and the aforementioned mechanical parts.

Telephone +44 1249 659448 Email [email protected] Website www.westcode.com

ELECTRONICS COMPONENT MANUFACTURING

Page 67: DIRECTORY 2017/18 - NMI · Bitwise CambridgeIQ Dialog Semiconductor EMS EquipIC Global Technologies Ichor Systems Lauterbach Development Tools MDL Technologies Microlease Presto Engineering

NMI : Manufacturing Supplier Directory 67

KMG ULTRA PURE CHEMICALS FOR SECURITY IN

HIGH-PURITY

KMG Ultra Pure Chemicals (UPC) are a world-wide

producer and supplier of unique ultra-pure

chemicals to the semiconductor and

microelectronics industries. We have production

sites and warehousing located in France, Italy, Singapore, United Kingdom and the

United States. In support of our excellent chemicals KMG UPC provides world-class

Chemical Management and Analytical Services.

Our market is the supply of chemicals to leading edge

producers of Semiconductor Wafers, Semiconductor

Devices, MEMS manufacturers, wafer reclaim companies,

Solar Cells and Photomask companies. The chemicals are

also used in the Plastic Electronics industry, the production

of pharmaceuticals and other high tech industries, in which

there is a need for consistent high quality, security of supply

and innovation.

Customer focus and building strong long-term relationships

has always been one of our key strengths. The management

team and operational personnel have a wealth of experience

and skill sets to ensure that we continue to have satisfied

customers. We offer an open approach with excellent

customer service, technical support and innovation. The

acids, bases, solvents, developers and bespoke mixtures we supply

meet all the cleaning, etching, stripping and photolithographic needs of the

semiconductors industry. Recent developments include Copper technology products

all specifically formulated for TSV, Damascene, RDL and Bumping technologies.

Our production sites utilise the industry quality standards including ISO9001,

TS16949 & ISO17025. Another key focus area for our business is Health, Safety and

the Environment with the manufacturing sites all accredited to ISO14001 and OHSAH

18001. KMG Ultra Pure Chemicals is the company for consistent quality, cost effective

processing solutions and world class service to meet the demands of tomorrow’s

technology.

Telephone +44 01773 844256 Email [email protected] Website www.kmgchemicals.com

ANALYTICAL TOOLS AND SERVICES - CONSULTANCY SERVICES - MATERIALS &

CHEMICALS - R&D SERVICES - TRAINING

Page 68: DIRECTORY 2017/18 - NMI · Bitwise CambridgeIQ Dialog Semiconductor EMS EquipIC Global Technologies Ichor Systems Lauterbach Development Tools MDL Technologies Microlease Presto Engineering

68 NMI : Manufacturing Supplier Directory

Lauterbach GmbH is the world largest producer of hardware assisted debug tools with more than 35 years experience in making world class debuggers and emulators.

High-tech company with long-term experience – Already established as a technology leader, Lauterbach has evolved into the global market leader for hardware assisted debug tools.

Technical know-how at the highest level – The company attaches great importance to a very high technical level and only the latest development methods are used.

Worldwide presence – Lauterbach is an internationally well-established company with blue chip customers from every corner of the globe.

Time to market – Lauterbach are ready with appropriate tools for the very latest generations of microprocessor devices when customers want to start developing.

Everything from a single source – Everything that is required for emulation, debugging, real-time trace and logic analysis in embedded designs can be supplied by Lauterbach.

Open system – Lauterbach systems have open interfaces to other products in the tool chain, guaranteeing un-paralleled inter-operation.

Open user interface for everything – All Lauterbach products are supplied with the same TRACE32-PowerView user interface. Customers who have been trained once will find it easy to switch to any other system in the range of TRACE32 products.

Long-term investment through modularity and compatibility – The decision to make Lauterbach systems modular and to provide an open interface has meant that customers can protect their investment and guard against obsolescence.

The full array of architectures supported – With very few exceptions TRACE32 is able to support all well-known processor architectures from 8-bit to 64-bit. Today more than 1000 different microcontrollers are supported.

Quality Management ISO 9001:2008 – Relevant know-how and years of experience has made Lauterbach the world′s market and technology leader in embedded designs.

Standardization - In order to take an active role in the development of innovative debug and trace technologies, Lauterbach has been participating in various international committees over a number of years.

Find out much more at www.lauterbach.com

RELIABILITY TEST - SOFTWARE & AUTOMATION - TESTING

Telephone +44 (0)1256 333690 Email info_uklauterbach.com Website www.lauterbach.co.uk

Page 69: DIRECTORY 2017/18 - NMI · Bitwise CambridgeIQ Dialog Semiconductor EMS EquipIC Global Technologies Ichor Systems Lauterbach Development Tools MDL Technologies Microlease Presto Engineering

NMI : Manufacturing Supplier Directory 69

Page 70: DIRECTORY 2017/18 - NMI · Bitwise CambridgeIQ Dialog Semiconductor EMS EquipIC Global Technologies Ichor Systems Lauterbach Development Tools MDL Technologies Microlease Presto Engineering

NMI : Manufacturing Supplier Directory 70

MACHINE MOVES MADE

SIMPLE Let Logos look after your

Moves and Machines.

We have developed our Move Management Solutions

to incorporate the services that will saves you time,

stress and money.

We set realistic timescales, anticipate and compensate

for delays and take full responsibility for your machine

while it is under our care and control.

Our well trained team and years of experience enables

us to be efficient and from moving a range of machines

in various settings strengthens our innovative

approach.

A can-do and will-do attitude enables us to be adaptive

towards unexpected barriers and we do all of this in a

safe way because the safety of your machine and our

team is our number one priority. Logos Logistics are

both trusted and respected within the Semiconductor

sector as a result of our approach.

Whatever stage you are at in planning your move its

never too early to contact us.

Telephone 01355 202103 Email [email protected] Website www.logoslogistics.com

EQUIPMENT SUPPORT SERVICES - PACKAGING

66-70 EK Business Centre 14 Stroud Road

East Kilbride

G75 9QD

Page 71: DIRECTORY 2017/18 - NMI · Bitwise CambridgeIQ Dialog Semiconductor EMS EquipIC Global Technologies Ichor Systems Lauterbach Development Tools MDL Technologies Microlease Presto Engineering

71 NMI : Manufacturing Supplier Directory

Lorit Consultancy Ltd. provides functional safety and regulatory compliance

consultancy and training to organisations in the automotive, medical and aviation

industries.

With many years of experience in developing hardware and embedded software

and a real understanding of the demands placed upon development teams,

coupled with an in-depth knowledge of regulatory standards, we are the ideal

partner to support companies in ensuring their projects meet all safety and

regulatory requirements.

From the concept phase through to start of production, we can assist you with

the complex exercise of international standards compliance.

We offer extensive working knowledge of the following normative standards:

Telephone +44 7708 360023 Email [email protected] Website www.lorit-consultancy.com

CONSULTANCY SERVICES - TRAINING

Medical EN 60601, IEC 62304, ISO 14971, IEC 62366-1, ISO 10993, ISO 13485, CFR 21 Part 820, AAMI TIR57

Automotive ISO 26262, IATF 16949, Automotive SPICE®, SAE J3061, IEC 61508

Aviation DO-178C and DO-254

General ISO 15504 and ISO 9001

Functional safety

and regulatory

compliance

Page 72: DIRECTORY 2017/18 - NMI · Bitwise CambridgeIQ Dialog Semiconductor EMS EquipIC Global Technologies Ichor Systems Lauterbach Development Tools MDL Technologies Microlease Presto Engineering

NMI : Manufacturing Supplier Directory 72

History : LSA is well established and well known in the surface analysis

community. The company was founded in 1997 by Alison Chew, Mike Petty and

David Sykes with a vision of creating a contract analysis facility able to apply

surface chemical analysis techniques to the widest range of technological

problems.

Experience : The company's staff are experienced at working with a wide range

of industries and assisting with: failure analysis, quality control, materials

characterisation, reverse engineering, contamination identification, research and

development & process matching.

Applications : The list is almost endless, typical projects cover: semiconductors,

electronics, metals, corrosion, glasses, adhesion, polymers, coatings, concretes,

staining etc.

Approach : LSA is people centric, actively encouraging interaction and

participation in the analytical process, believing that personal care and attention -

craftsmanship - is as important as technical ability.

Confidentiality : All projects are treated in the strictest confidence. LSA is

dedicated to helping you improve your products and processes through expert,

specific, and confidential advice and analysis.

As a small, independent laboratory, LSA is flexible and responsive, offering rapid

turn round analysis as standard.

Customer involvement is actively encouraged. Each project is individually

managed by an experienced analyst responsible for ensuring continuity.

ANALYTICAL TOOLS AND SERVICES - CONSULTANCY SERVICES - TESTING

Telephone 01509 260779 Email [email protected] Website www.LSALtd.co.uk

LSA provides a

responsive,

confidential,

professional contract

surface analysis

service to industry

and universities.

Page 73: DIRECTORY 2017/18 - NMI · Bitwise CambridgeIQ Dialog Semiconductor EMS EquipIC Global Technologies Ichor Systems Lauterbach Development Tools MDL Technologies Microlease Presto Engineering

73 NMI : Manufacturing Supplier Directory

We offer a wide range of consumable items for use in controlled environments,

such as hi-tech manufacturing operations and research. Examples of the

cleanroom product range includes:

Large stocks are held at Welwyn Garden City, which can be delivered in the UK

within 24 hours.

Long term customers benefit from consignment stock agreements.

European Offices: Italy : 0039 03 21 45 46 38 - Hungary: 0036 30 66 32 960

Telephone 01707 259996 Email [email protected] Website www.lynbond2000.com

CLEANROOM CONSUMABLES

Lynbond 2000 focuses on

providing high quality and

cost effective non-

branded products.

Non-woven wipers from class 1 (compatible) to class 1000

Facemasks Tacky mats Gloves from class 10 to class

1000 Conductive and anti-static

assembly gloves Writing paper and notebooks Cut resistant gloves Cleaning/mopping systems

Finger cots Coveralls and lab coats (polyolefin and polypropylene ) Launderable cleanroom garments

(from class 1) Mob caps Safety glasses Over shoes and over-boots Swabs Clean room pens

Page 74: DIRECTORY 2017/18 - NMI · Bitwise CambridgeIQ Dialog Semiconductor EMS EquipIC Global Technologies Ichor Systems Lauterbach Development Tools MDL Technologies Microlease Presto Engineering

NMI : Manufacturing Supplier Directory 74

Magna-Power Electronics designs, and manufactures robust programmable DC power supplies now spans 1.5 kW to 2000 kW+ with over 500 standard models ranging from 5 Vdc to 10,000 Vdc and from 0.2 Adc to 24,000 Adc. All Magna-Power DC power

supplies models come with monitoring and control from a variety of sources, including: front panel, computer interface and an isolated DB37 analogue-digital user I/O connector. A Standard Commands for Programmable Instrumentation (SCPI) command set is supported, allowing easy ASCII text programming over a

computer interface. In addition, an IVI driver is included for the Visual Studio programming environment along with a dedicated National Instruments LabVIEW™ and LabWindows™ driver. Additional computer interface options include LXI TCP/IP Ethernet (+LXI), IEEE-488 GPIB (+GPIB), USB and RS-485. Alongside the MagnaDC units sits the

MagnaLOAD product line of DC electronic loads spanning 1.25 kW to 120 kW+. The new product line includes 3 product series, ALx Series, ARx Series, and WRx Series spanning 39 air- and water-cooled models from 1.25 kW to 120 kW+ with voltage ranges up to 0-1,000 Vdc and current ranges up to 0-1,200 Adc. The MagnaLOAD product line launches with Magna-Power’s all new distributed DSP architecture, MagnaLINK™, featuring digital control loops and an internally developed high-speed product-to-product communication protocol. The MagnaLOAD product line is designed to dissipate DC power during development, manufacturing, and validation of DC sources, including: power supplies, DC-DC converters, batteries, solar panels, fuel cells, motors, and electromagnets.

Telephone +44 1189 880247 Email [email protected] Website www.magna-power.com

EQUIPMENT SALES & REFURBISHMENT - EQUIPMENT SPARES, INSTRUMENTATION &

CONSUMABLES - EQUIPMENT SUPPORT SERVICES

Page 75: DIRECTORY 2017/18 - NMI · Bitwise CambridgeIQ Dialog Semiconductor EMS EquipIC Global Technologies Ichor Systems Lauterbach Development Tools MDL Technologies Microlease Presto Engineering

NMI : Manufacturing Supplier Directory 75

Telephone +44 (0) 2380 989 512 Email [email protected] Website www.marcus-webb.co.uk

Traditionally most

recruitment

companies offer

permanent

employment services,

contract recruitment,

interim projects and

fixed terms contracts.

Marcus Webb

Associates are no

different however our

key differentiators are

Knowledge,

Understanding,

Listening and having a

real interest in the

industry professionals

we work with and a passionate drive for

successful collaboration!

KEY MARKETS

Technology, Automotive, Instrumentation,

Aerospace, Defence Systems, Machinery,

Automation, Robotics, machine learning.

KEY SKILLS

Engineering, Design, Development and

Test across electronics, software,

electrical and mechanical disciplines, Systems Engineering and Support Engineering

(ILS, RAMS, Functional Safety), R&D (mathematical modelling, simulation, etc).

CONSULTANCY SERVICES—RECRUITMENT SERVICES

SEE OUR ADVERT ON THE BACK COVER

The company was formed in

December 2015 as a specialist

recruitment business aligned

to the engineering and

technology industries but

benefits from knowledge and a

network built within technical

recruitment since 1998!

Page 76: DIRECTORY 2017/18 - NMI · Bitwise CambridgeIQ Dialog Semiconductor EMS EquipIC Global Technologies Ichor Systems Lauterbach Development Tools MDL Technologies Microlease Presto Engineering

76 NMI : Manufacturing Supplier Directory

Telephone +44 (0)136 725 2265 Email [email protected] Website www.maser.nl

MASER Engineering, founded in 1993, is an

independent engineering service company

operating in the semiconductor and electronic

systems industry and situated on the in Enschede,

The Netherlands.

We offer Reliability Tests and Diagnostic services to a wide range

of customers who are active as Fabless Semiconductor supplier

or as Integrated Device Manufacturers or as supplier from

Automotive Electronics or in Aerospace and Space applications.

Our customers are located throughout Europe and in Israel.

• Physical Failure Analysis

• Construction Analysis

• First Silicon FIB Circuit Edit

• 2D/3D CT X-Ray Inspection

• Thermal Behavior Analysis

• FIB/(S)TEM-EDX Microscopy

• Reliability Test

• JEDEC/Q100 Qualification

• ESD en Latch-up Testing

• Mechanical/Environmental

• HALT Assessment

• (O)LED Test Service

As member of the standardization committees and throughout development activities with

national and international partners MASER Engineering aims to offer her customers an optimal

service concerning product reliability and quality.

MASER Engineering has ISO-9001:2008 certification and ISO-17025:2005 accreditation

according to scope L388 www.rva.nl

ANALYTICAL TOOLS AND SERVICES - CONSULTANCY SERVICES - PACKAGING

R&D SERVICES - RELIABILITY TEST - TESTING - TRAINING

Page 77: DIRECTORY 2017/18 - NMI · Bitwise CambridgeIQ Dialog Semiconductor EMS EquipIC Global Technologies Ichor Systems Lauterbach Development Tools MDL Technologies Microlease Presto Engineering

77 NMI : Manufacturing Supplier Directory

ANALYTICAL TOOLS AND SERVICES - CONSULTANCY SERVICES - R&D SERVICES

RELIABILITY TEST - TESTING

At MCS we help our

customers find

solutions to their

product and

manufacturing

problems.

What do MCS do?

We combine our expert

knowledge and state-of

-the-art materials

analysis tools to solve

your materials, manufacturing and reliability problems. Our services include

reliability and failure analysis, microscopy and imaging, compositional analysis,

mechanical testing and technical representation. MCS will provide clear results,

firm conclusions and recommendations offering

practical solutions to your material and engineering

problems.

How does the service work?

Tell us what you know about the problem, we’ll

discuss the issue with you, identify an appropriate

investigation, and give you a solution to your

problem. We will respond quickly and define a plan

in the same day. Initial consultation is free,

turnaround times and level of investigation are

flexible to suit your needs.

What are the benefits?

Rapid access to fully resourced materials lab with

experienced staff to support you and your

customers to quickly identify the root-cause of

issues. Increase yield and minimise incurred costs. Identify

supplier issues and define liability (materials, manufacturing, service conditions).

Protect your customer by maintaining product quality.

Telephone +44 (0)131 440 9090 Email [email protected] Website www.themcsgroup.co.uk

Page 78: DIRECTORY 2017/18 - NMI · Bitwise CambridgeIQ Dialog Semiconductor EMS EquipIC Global Technologies Ichor Systems Lauterbach Development Tools MDL Technologies Microlease Presto Engineering

NMI : Manufacturing Supplier Directory 78

CONSULTANCY SERVICES - EQUIPMENT SALES AND REFURBISHMENT - EQUIPMENT

SPARES, INSTRUMENTATION & CONSUMABLES - RELIABILITY TEST - RF RELATED

SERVICES - SOFTWARE & AUTOMATION - TESTING

MDL Technologies Limited

is an independent

company providing sales,

consultancy, distribution,

project management,

installation and testing of

electronic test equipment

and facilities to the UK

and Ireland.

We work in partnership with

market leading global

manufacturers such as

CHROMA ATE INC., EMSCAN,

ETS-LINDGREN, HAMEG,

MILMEGA, ROHDE &

SCHWARZ, TOELLNER, CPI,

HAEFELY. providing test

solutions in the following

areas:

Telephone +44 1462 431981 Email [email protected] Website www.mdltechnologies.co.uk

Power Electronics Testing

Electric Vehicle Testing

PV / Inverter Testing

LED / Lighting Driver Testing

Battery Testing

Electrical Safety Testing

EMC – Electro Magnetic

Compatibility Testing

Antenna Measurement

Systems

Page 79: DIRECTORY 2017/18 - NMI · Bitwise CambridgeIQ Dialog Semiconductor EMS EquipIC Global Technologies Ichor Systems Lauterbach Development Tools MDL Technologies Microlease Presto Engineering

79 NMI : Manufacturing Supplier Directory

Telephone 01543 500066 Email [email protected] Website www.megatechlimited.co.uk

Megatech Limited is the

largest independent

supplier of equipment

and services to the

semiconductor and thin

film industries in the UK.

Established in 1973, the company has built a well-founded reputation

for the supply of quality products, in-depth technical expertise, and

excellent customer service.

As an exclusive UK outlet for a number of US and European companies, Megatech sells to end users, researchers, and OEMs as well as supporting all products with both field and in-house service.

Megatech have a modern headquarters in the Midlands with engineers located strategically around the country.

OUR PRODUCTS

Entegris Brooks Instrument Comdel Ferrotec - Ferrofluidic Seals Verity Instruments Ferrotec - Electron Beam Polycold Systems Beijing World Precision

Cryopumps InstruTech Vacuum Gauges Quartz Monitor Crystals Angstrom Sciences

CONSULTANCY SERVICES - EQUIPMENT SALES & REFURBISHMENT - EQUIPMENT

SPARES, INSTRUMENTATION & CONSUMABLES - EQUIPMENT SUPPORT SERVICES

VACUUM RELATED EQUIPMENT & SERVICES

Thermal Evaporation UVOCS Ozone Cleaning Mactronix CrysPack Lytron Chillers CO2 Snow Jet Cleaning ONDA Corporation EN Technologies FloTron Used & Refurbished Equipment

Page 80: DIRECTORY 2017/18 - NMI · Bitwise CambridgeIQ Dialog Semiconductor EMS EquipIC Global Technologies Ichor Systems Lauterbach Development Tools MDL Technologies Microlease Presto Engineering

NMI : Manufacturing Supplier Directory 80

EQUIPMENT SALES & REFURBISHMENT EQUIPMENT SPARES, INSTRUMENTATION &

CONSUMABLES - EQUIPMENT SUPPORT SERVICES - R&D SERVICES - TRAINING -

VACUUM RELATED EQUIPMENT & SERVICES

Delivering etch and deposition technology with extensive support for semiconductor and MEMS manufacturing memsstar is a market leader in single-wafer dry release etching using vapor HF and XeF2 chemistries and surface

modification (SAM coating) processes for semiconductor, MEMS and related technology manufacturing processes.

Offering in-depth process expertise and a range of new and remanufactured etch and deposition equipment, memsstar provides a full complement of processes and related services for multiple applications throughout the markets served.

Our semiconductor offering has an extremely strong track record in delivering bottom line value to customers throughout Europe, and is dedicated to supporting the European semiconductor manufacturing market with the highest quality etch and deposition process expertise and associated pre- and post-sales support services, including maintaining a large spare parts inventory.

With installations around the globe, our MEMS offering combines a blend of next generation of proprietary release etch and coatings technologies and repurposed equipment to offer a full suite of etch and deposition processes both for MEMS development and production ready manufacturing.

We have full manufacturing, design, process engineering and demo capability. We innovate best in class solutions based upon Applied Materials, Lam and Novellus platforms combined with our proprietary ORBIS™ systems, XERIC™ release etch and AURIX™ SAM coatings for MEMS applications.

Dedication to customer service is a passion for us, which means that our customers enjoy high value relationships with our world-class engineering team.

Telephone +44 1506 243203 Email [email protected] Website www.memsstar.com

Page 81: DIRECTORY 2017/18 - NMI · Bitwise CambridgeIQ Dialog Semiconductor EMS EquipIC Global Technologies Ichor Systems Lauterbach Development Tools MDL Technologies Microlease Presto Engineering

81 NMI : Manufacturing Supplier Directory

Mentor Graphics Valor Division Mentor Graphics Corporation is a world leader in electronic hardware and software design solutions, providing products, consulting services and award-winning support for the world’s most successful electronic, semiconductor and systems companies. The Valor division is focused on the excellence of manufacturing operations, with software tools specifically orientated to the niche area of SMT and electronics assembly. PCB Manufacturability Analysis: Discovering potential issues in the design that would impact manufacturability or quality, enabling shorter new product introduction cycles Manufacturing Engineering & Programming: A single complete multi-vendor engineering platform, including SMT programming, test programming, inspection data generation, central parts shape library, stencil design, operator documentation creation and much more, replacing many disparate engineering tools, for right first time operation. Production Planning: Specialist SMT aware combined work-order sequence and common feeder setup optimization for fast, accurate shop-floor scheduling and management, a new dimension in flexibility. Shop-Floor and Supply Chain Solution: ERP enhancement linking shop-floor machines, providing total control of materials across the shop-floor, including material setup verification, material traceability, Lean Just In Time material logistics, warehouse & WIP management, Kanban control and much more. Active Quality Management: Automated data collection from test processes, manual inspection, plus repair station support with expert system root cause diagnosis, full access to product design data and manufacturing history, with full web-based reporting.

Telephone +44 7976 592 742 Email [email protected] Website www.mentor.com/valor

ANALYTICAL TOOLS & SERVICES - CONSULTANCY SERVICES - R&D SERVICES

SOFTWARE & AUTOMATION - TRAINING

Page 82: DIRECTORY 2017/18 - NMI · Bitwise CambridgeIQ Dialog Semiconductor EMS EquipIC Global Technologies Ichor Systems Lauterbach Development Tools MDL Technologies Microlease Presto Engineering

NMI : Manufacturing Supplier Directory 82

MFG Vision is a leading supplier of enterprise yield management solutions into the semiconductor industry.

Founded in 2005 MFG Vision brings advanced solutions to a range of customers around the world.

Startups, tier one fabless companies, far eastern test houses and multinational IDMs are all discovering the benefits of working with MFG Vision. We develop, license, customise and support these solutions with a growing applications team in the UK, Europe, USA and Asia.

yieldHUB is about more than just “yield”. Intelligent use of semiconductor test data brings many benefits. Critical business information can be extracted from the data. Key manufacturing performance indicators can be tracked automatically and exceptions alerted instantly. Significant savings in engineering time are realised by automating data management and making data available anytime, anywhere. To anyone who needs it and will benefit from it.

Data about the performance of a single die is a single point of focus at the bottom of a huge hierarchy of information. By building the database from this point upwards yieldHUB provides clear, accurate top level reports that can be drilled down to see the finest detail about the root cause.

yieldHUB is the flagship platform built on private cloud technology. This platform enables solutions focussed on the needs of each sector of the industry, such as automotive, aerospace, medical, industrial, as well as high volume consumer. By providing specialised solutions into each sector and using this learning across all sectors MFG Vision is able to continuously innovate and deliver.

Our customers benefit from solutions focussed on their needs. Whether you need automated management of lots on hold due to “lot norm” excursions, or the industry’s most efficient part average testing solution, MFG Vision has the right focussed solution to increase your yield, efficiency and quality. Our experienced support team is always at the ready and we are very highly rated by our customers for our support.

Please visit our website www.mfgvision.com for more information or call us on UK 07735554610 or Ireland headquarters +353 61309745

ANALYTICAL TOOLS AND SERVICES

Telephone +44 (0) 7735 554610 Email [email protected] Website www.mfgvision.com

Page 83: DIRECTORY 2017/18 - NMI · Bitwise CambridgeIQ Dialog Semiconductor EMS EquipIC Global Technologies Ichor Systems Lauterbach Development Tools MDL Technologies Microlease Presto Engineering

83 NMI : Manufacturing Supplier Directory

Microlease is the market leader in electronic Test Equipment, offering complete solutions for rental, new and refurbished instruments through to total equipment management.

Since 1979, we’ve helped our customers become the most efficient users of Test Equipment. By combining our knowledge of industries, products, equipment management, and current test platforms we help them save time and money at every stage of the buying life cycle.

As a result, we have become the experts in Test Equipment management and have evolved into a global organisation with offices across Europe, the US, and Asia, supplying T&M equipment to all the major names in the industry.

In October 2011, Microlease acquired US-based MetricTest, one of the leading distributors of new, refurbished, and rental Test Equipment in the Americas.

The combined experience of the two companies means a strong technical expertise and understanding of industries such as aerospace and defence, automotive and transport, contract manufacturing, semiconductor and components, telecommunications, and general electronics.

We have also built strong relationships with all the major Test Equipment manufacturers to offer equipment in innovative ways. Visit us at www.microlease.com for more information

Telephone +44 (0) 20 84 200 200 Email [email protected] Website www.microlease.com

ANALYTICAL TOOLS & SERVICES - CONSULTANCY SERVICES - EQUIPMENT SALES &

REFURBISHMENT - EQUIPMENT SPARES, INSTRUMENTATION & CONSUMABLES

EQUIPMENT SUPPORT SERVICES - R&D SERVICES - RELIABILITY TEST - RF RELATED

SERVICES - TESTING

Page 84: DIRECTORY 2017/18 - NMI · Bitwise CambridgeIQ Dialog Semiconductor EMS EquipIC Global Technologies Ichor Systems Lauterbach Development Tools MDL Technologies Microlease Presto Engineering

NMI : Manufacturing Supplier Directory 84

Micross Components Ltd are one of the UK's leading suppliers of semiconductor components to Hi-Rel industries, having serviced the avionics, military and space markets wordwide for more than 40-years. We are expanding into Harsh Environment Assembly Techniques, including High Temperature assembly and other Harsh / Rugged environments. Our expertise spans tailor-made design solutions for the assembly of micro-electronic devices, ASIC design and build, comprehensive electrical and environmental test and screening, counterfeit detection and long-term storage. We have extensive capabilities in value added services including BGA re-balling, lead-attach, solder exchange, automated tin dipping and in PCB re-work.

Telephone +44(0)1603 788 967 Email [email protected] Website www.micross.com

CLEANROOM SERVICES AND FACILITIES - CONSULTANCY SERVICES - PACKAGING

- R&D SERVICES - RELIABILITY TESTING - TESTING

Page 85: DIRECTORY 2017/18 - NMI · Bitwise CambridgeIQ Dialog Semiconductor EMS EquipIC Global Technologies Ichor Systems Lauterbach Development Tools MDL Technologies Microlease Presto Engineering

85 NMI : Manufacturing Supplier Directory

Market-leading embedded monitoring solutions for today’s technologies. Moortec provide highly accurate, highly featured Process, Voltage & Temperature Monitoring IP for use in-chip, targeting advanced node CMOS technologies such as 28nm and FinFET.

Moortec IP supports increased device reliability and performance optimisation schemes such as DVFS and power management control systems. Moortec provide excellent support for IP integration and for device test during production. If you are working on advanced node technologies it is highly likely that your SoC will require monitoring to enhance real-time performance optimisation and lifetime reliability. Understanding how the chip has been made (process) as well as understanding its dynamic conditions (voltage supply and junction temperature) has become a critical requirement for advanced node semiconductor design.

Telephone +44 (0) 1752 875130 Email [email protected] Website www.moortec.com

VISIT WWW.MOORTEC.COM FOR MORE INFORMATION

Page 86: DIRECTORY 2017/18 - NMI · Bitwise CambridgeIQ Dialog Semiconductor EMS EquipIC Global Technologies Ichor Systems Lauterbach Development Tools MDL Technologies Microlease Presto Engineering

NMI : Manufacturing Supplier Directory 86

NanoScope is a European FIB and Microscopy Technology company. We offer Design edits, Failure Analysis, Reliability testing and Microscopy accessories and training. Our engineers are the most knowledgeable and experienced FIB technologists available, and since 1993 support many of the Fabs and Fabless design companies in the EU. Our success rate is >95% for 1 st silicon interventions. We specialise in fast turnaround circuit edit (FIB), same day FAB Quals, and high end process/failure analysis. ‘1st Silicon Emergency Service’ – after 1 st silicon test has shown a mask change is required before volume production. We can check the package (Xray, CSAM) decapsulate the device, perform your FIB Edits to cut and connect metal nodes, re-passivate the fix and even reseal the device for testing. Have fully working devices in a few hours or days. ‘QwikQual’ – Urgent, precisely placed measurements of process steps to qualify your manufacturing equipment. Same day, exactly placed micro cross - sections with referenced metrology and imaging, with results emailed directly to you or available via live web-video (LiveFIB TM ). ‘Right on Target’ – High end, position exact TEM investigations of failures and processes. Bright/Dark field imaging, referenced metrology and elemental analysis techniques are used to answer the most challenging questions.

Telephone +44 (0) 117 9576225 Email [email protected] Website www.nanoscopeservices.co.uk

ANALYTICAL TOOLS AND SERVICES - CONSULTANCY SERVICES - EQUIPMENT SALES AND

REFURBISHMENT - R&D SERVICES - RELIABILITY TEST - TRAINING - VACUUM RELATED

EQUIPMENT AND SERVICES

Page 87: DIRECTORY 2017/18 - NMI · Bitwise CambridgeIQ Dialog Semiconductor EMS EquipIC Global Technologies Ichor Systems Lauterbach Development Tools MDL Technologies Microlease Presto Engineering

87 NMI : Manufacturing Supplier Directory

The automotive supply chain is robust in making safety cases but less experienced with addressing cyber security issues. Established practices such as ISO 26262 address functional safety, but how do you

integrate security into this? Nexor helps companies in the automotive supply chain understand cyber security issues and mitigate cyber risks. It also helps organisations by working towards emerging standards such as PAS 754 and SAE J3061. The Internet of Things is an information-

driven model, and so the secure exchange of the underlying data is crucial to the integrity of the business processes. Nexor provides solutions to validate both:

the integrity of the IoT device; and

the integrity of the data exchange between the device and the Cloud. Our solutions are focused on the critical national infrastructure (CNI) environment, where enhanced security controls are essential to preserve the integrity and availability of the service, as well as protect data privacy. Specific areas of expertise include:

Secure Boot Chain and Linux Hardening – ensure the integrity of your sensors;

Identity and Access Management – ensure each device has a unique and secure key that can be validated by in the Cloud infrastructure; and the often forgotten element ensuring the device can validate it is connecting to the genuine cloud service;

Data Verification – ensuring the data exchanges conform to expected rules;

Data Transformation – removing the potential for malware via data transformation, based on the principle of only allowing “known good” data to pass through.

The Internet of Things can enable new business models and enable greater business efficiency – but only if the information coming from the sensors can be relied upon and the control systems act as instructed. By adopting the expertise of Nexor in enhancing and configuring the IoT security controls, our customers can be confident cloud-based data is based on genuine information from genuine sensors, delivering an IoT solution that can be relied upon.

Telephone +44 (0) 115 952 0500 Email [email protected] Website www.nexor.com

CONSULTANCY SERVICES

Page 88: DIRECTORY 2017/18 - NMI · Bitwise CambridgeIQ Dialog Semiconductor EMS EquipIC Global Technologies Ichor Systems Lauterbach Development Tools MDL Technologies Microlease Presto Engineering

NMI : Manufacturing Supplier Directory 88

Benefits of being a

member of the NMI

Supplier Group include -

Invitations to participate in exhibitions at manufacturing sites and NMI technical conferences.

Preferential rates to attend and exhibit at international trade shows.

Opportunities to attend business networking events where knowledge is shared and business opportunities can be explored.

Trade body representation within the Electronics industry at local and national Government levels.

Inclusion in this “NMI Manufacturing Suppliers Directory” which is circulated to our Equipment Engineering, Purchasing and Facilities Engineering contacts.

THE NMI SUPPLIER GROUP

ADVISING AND

PROMOTING

GOVERNMENT GRANTS

LIASING WITH

EUROPEAN GROUPS

LINKING SUPPLIERS TO

CUSTOMERS

EXPLORING NEW

BUSINESS

SHARING INDUSTRY

INTELLIGENCE

DEMONSTRATING

CAPABILITY

PROMOTE BOTH

LOCALLY &

INTERNATIONALLY

If you are a supplier and would like to join us

then please contact your NMI Supplier

Contact, Chris Bennett

Chris Bennett

Director of Manufacturing Services

[email protected] 07739 427767

Page 89: DIRECTORY 2017/18 - NMI · Bitwise CambridgeIQ Dialog Semiconductor EMS EquipIC Global Technologies Ichor Systems Lauterbach Development Tools MDL Technologies Microlease Presto Engineering

89 NMI : Manufacturing Supplier Directory

Nor-Cal manufacture

a wide range of high

and ultra high

vacuum components

including fittings,

isolation and

throttling valves,

foeline traps, heaters

and custom parts.

Although 'Nor-Cal' is almost

a household name in the

semiconductor sector, we

work hard to maintain our

reputation for quality,

response and

competitiveness.

We hold substantial stocks

to offer a fast response

service where required and can deliver overnight

anywhere in the UK. We offer technical support and a

custom part service for any special, non-catalogue

requirements.

Our sales team has more than 30 years experience in

the vacuum industry and can recommend solutions

to improve throughput and reduce downtime.

EQUIPMENT SPARES, INSTRUMENTATION & CONSUMABLES

Telephone 01666 861221 Email [email protected] Website www.norcaluk.com

Page 90: DIRECTORY 2017/18 - NMI · Bitwise CambridgeIQ Dialog Semiconductor EMS EquipIC Global Technologies Ichor Systems Lauterbach Development Tools MDL Technologies Microlease Presto Engineering

NMI : Manufacturing Supplier Directory 90

NTEK Litho was started in January 2003 by former Nikon Tech Support and Senior Engineers to decom, install, train, refurbish and service Nikon steppers in Europe.

Due to the demand from customers and brokers a like NTEK has expanded its staff and services world wide and have done extensive amounts of Nikon Stepper and Scanner work in the USA, Korea, Japan, China, Taiwan and Malaysia on top of covering their existing customers in Europe.

NTEK Litho over Nikon tools from body G6 – S307 scanners including all the SF series up to SF140. Outside of the OEM we are the leading Nikon Scanner/Stepper and SF100 - SF140 company world wide and have decommissioned and installed multiple tools all over the world in many of the major companies in the industry. NTEK Litho has now built a state of the art 3,500 sq/ft clean room in Nijmegen, Netherlands where it refurbishes Nikon Steppers and Scanners. With our partner company we also support all 5000,6000 and 7000 Cymer lasers 100% for parts, decommissionings, installations, refurbishments, service, training and can support all Cymer lasers for service up to the XLA.We can also swap Gigaphoton lasers for Cymer lasers as have done this already in some top end facilities We support all the Gigaphoton range of lasers on decommissionings, Installations, refurbishments, service, training but cannot supply the parts yet. We can also supply the Nikon g-line, i-line and DUV optics from body G6 - S204 scanners and also have developed our own RPF filters for the i-line uniformity problems. We will shortly do the same for the DUV tools up to S204. We can shortly run Nikon i-line training classes from Nikon body i8 - i14 in our state of the art clean room in Nijmegen as we are installing all the i-line tools there at present to allow us to do this. We have an extensive amount of Nikon parts in stock from Body 7 to S203 scanner and are looking to expand that over the next year. These will shortly go onto our new website under construction at present at www.nteklitho.com.

Telephone +44- (0)141 778 3619 Email [email protected] Website www.nteklitho.com

EQUIPMENT SALES AND REFURBISHMENT - EQUIPMENT SPARES, INSTRUMENTATION

AND CONSUMABLES - EQUIPMENT SUPPORT SERVICES

Page 91: DIRECTORY 2017/18 - NMI · Bitwise CambridgeIQ Dialog Semiconductor EMS EquipIC Global Technologies Ichor Systems Lauterbach Development Tools MDL Technologies Microlease Presto Engineering

91 NMI : Manufacturing Supplier Directory

Oclaro is a leader in optical

components, modules, and

subsystems for optical transport and

metro networks, enterprise networks,

and data centers. Leveraging more

than three decades of innovation in

laser technology, photonic

integration, and transceiver/

subsystem design, Oclaro's solutions

are at the heart of the fast optical

networks and high-speed

interconnects that are enabling the

next wave of streaming video, cloud

computing, voice over IP and other high-speed and bandwidth-intensive

applications.

Markets : Oclaro is investing in R&D to deliver innovation that provides real value

and differentiation for its customers. Through its rich heritage of optical

innovation, Oclaro is leading in the high-speed segments of the optical

communications market at 40G and above.

Top-Tier Customer Base: Oclaro is committed to being the preferred supplier of

optical components, modules and subsystems and delivering innovation across all

of our product areas, business processes and practices.

Product Portfolio : Oclaro products leverage photonic technologies to transmit

and receive data across fiber optic networks. These products enable

telecommunications and data communications customers to increase network

bandwidth, reduce latency, and offer new services to their customers flexibly and

cost effectively.

Worldwide Support and Manufacturing Strength : To provide strong and

localized customer support across the globe, Oclaro maintains R&D and product/

sales support in Europe, Japan, the U.S. and China. Its worldwide manufacturing

operations leverage innovation and vertical integration to provide customers with

flexibility and faster time to market. Oclaro combines in-house and outsourced

manufacturing to maximize flexibility and customer support. It operates R&D and

chip fabrication facilities in the U.S., U.K., Italy, and Japan; in-house and contract

manufacturing sites in the U.S., China, Malaysia and Thailand; and design, sales

and service organizations in each of the major regions around the world.

For more information, visit http://www.oclaro.com.

Telephone +44 (0)1803 698600 Email [email protected] Website www.oclaro.com

R&D SERVICES

Page 92: DIRECTORY 2017/18 - NMI · Bitwise CambridgeIQ Dialog Semiconductor EMS EquipIC Global Technologies Ichor Systems Lauterbach Development Tools MDL Technologies Microlease Presto Engineering

92 NMI : Manufacturing Supplier Directory

SEE WEBSITE FOR MORE INFORMATION

The Patina® trademark is synonymous with forward-thinking innovation in industrial and commercial lighting design and application since the 1980s, when they first began providing energy-efficient lighting solutions for major corporations and government bodies. Over the years the company has committed significant resources to the development of high efficiency luminaires and lighting systems which are fully programmable and are capable of generating savings that are up to 90% higher than those achieved by conventional luminaires. These luminaires are particularly effective when combined with the Dali PS and CS Sensors.

Telephone +353 (0)1 2958890 Email [email protected] Website www.patinalighting.ie

Patina® Lighting has received several

international awards for innovative design and energy efficiency

properties.

Page 93: DIRECTORY 2017/18 - NMI · Bitwise CambridgeIQ Dialog Semiconductor EMS EquipIC Global Technologies Ichor Systems Lauterbach Development Tools MDL Technologies Microlease Presto Engineering

NMI : Manufacturing Supplier Directory 93

CONSULTANCY SERVICES

PF Consulting offers consultancy services in the field of microelectronics manufacture, with a particular emphasis on Semiconductor Integrated Circuit (silicon chip) manufacture for Fabless companies.

This offering is built upon solid experience in creating and managing manufacturing processes for VC-funded Fabless Semiconductor startup companies, from zero to high volume success, resulting in over $1B of exit value and the shipment of tens of millions of units. This experience and skillset is now available to companies seeking help in the setup or improvement of manufacturing processes relevant to their business, whether it be for their own product for components of their product. The key characteristics of product and manufacture that we address are COST, QUALITY and AVAILABILITY, with a particular focus on RISK MANAGEMENT.

DFT/ATPG

Package Design & Manufacture

ATE Programming

Socket & Loadboard Design & Procurement

Probe Card Design & Procurement

Characterisation

New Product Introduction

Supplier Selection: Services and Equipment

Project Management: all or part of what's needed to take a prototype device through to high volume production

Training Courses in chip manufacture and engineering

Design For Manufacture: guiding the product design process towards better manufacturability

Telephone +44 7971 460 232 Email [email protected] Website www.passfailconsulting.co.uk

Yield Management

Failure Analysis

Offshore Manufacture

Project Management

Supplier Management

Risk Management

Risk Evaluation: to help find where your manufacturing process is weakest and how this should be improved

Process Monitoring and Reporting: setup of tools and techniques to report production line metrics

Manufacturing Setup Planning: to figure out what it will take in time and money to set up a production line.

EXAMPLE SERVICES

DIRECT EXPERIENCE

Page 94: DIRECTORY 2017/18 - NMI · Bitwise CambridgeIQ Dialog Semiconductor EMS EquipIC Global Technologies Ichor Systems Lauterbach Development Tools MDL Technologies Microlease Presto Engineering

NMI : Manufacturing Supplier Directory 94

Page 95: DIRECTORY 2017/18 - NMI · Bitwise CambridgeIQ Dialog Semiconductor EMS EquipIC Global Technologies Ichor Systems Lauterbach Development Tools MDL Technologies Microlease Presto Engineering

NMI : Manufacturing Supplier Directory 95

Requirement definition

Capture

Modelling and tracking

Estimation software

SIL3 RTOS and run-time RTOS analysis tools

Hi-rel embedded database

Software and compiler analysis

Middleware including SSL stack

Test, verification and debugging tools

When it is appropriate Phaedrus will recommend other tools than those it represents. The website also holds an expanding library of documents covering the issues in developing secure systems.

Telephone 0808 1800 358 Email [email protected] Website www.phaedsys.com

As the Internet of Things begins

to explode, it is clear that system

security is becoming a priority.

As the UK's leading specialist in

supporting engineers at all

stages of embedded safety

critical and high-integrity projects, Phaedrus Systems is uniquely

positioned to work with companies to create the development process

best matched to their needs.

Consultants have experience working on automotive, rail and aerospace

applications, meeting standards such as IEC 61508 SIL4, and D0178B.

When it is appropriate Phaedrus will recommend other tools than those it

represents. The website also holds an expanding library of documents covering

the issues in developing secure systems.

Backing this are tools for all stages of a project ::

CONSULTANCY SERVICES - TRAINING

Page 96: DIRECTORY 2017/18 - NMI · Bitwise CambridgeIQ Dialog Semiconductor EMS EquipIC Global Technologies Ichor Systems Lauterbach Development Tools MDL Technologies Microlease Presto Engineering

96 NMI : Manufacturing Supplier Directory

Contact Carl Simon Telephone 01420 565634 Email [email protected] Website www.phasix.co.uk

Driven to serve our customers’ need for rapid, accurate and comprehensive test results Phasix is a growing semiconductor test and analysis outsource partner. Located in Hampshire, Southern England, our experienced team is waiting to provide you with a wide range of ESD testing services. Phasix ESD focuses on Semiconductor Quality and Reliability, helping our customers ensure their products are sufficiently robust to guarantee reliability in their intended end-use and offers….

A full suite of ISO17025 accredited ESD testing services which includes HBM, MM and CDM with optional I-V Curve Tracing, as well as ISO9001 certified Latch Up testing.

A highly responsive and flexible service with 5 days-or-less guaranteed turn time, from receipt of samples to delivery of results.

The maximum useable information about the ESD sensitivity of your devices.

On site service, repair and calibration of your in-house ESD test equipment.

Sound advice on the selection of appropriate testing standards and methodologies when you need it.

CONSULTANCY SERVICES - EQUIPMENT SUPPORT SERVICES - RELIABILITY TEST

TRAINING

96 NMI : Manufacturing Supplier Directory

Telephone +44 (0)1420 565634 Email [email protected] Website www.phasix.co.uk

Page 97: DIRECTORY 2017/18 - NMI · Bitwise CambridgeIQ Dialog Semiconductor EMS EquipIC Global Technologies Ichor Systems Lauterbach Development Tools MDL Technologies Microlease Presto Engineering

NMI : Manufacturing Supplier Directory 97

Page 98: DIRECTORY 2017/18 - NMI · Bitwise CambridgeIQ Dialog Semiconductor EMS EquipIC Global Technologies Ichor Systems Lauterbach Development Tools MDL Technologies Microlease Presto Engineering

98 NMI : Manufacturing Supplier Directory

A Global technology solutions leader established in 1969, Photronics offers a complete array of photomask solutions for customers manufacturing semiconductors, integrated circuits, flat panel displays, optoelectronics, sensors, MEMS, data storage components and many other applications.

Photronics local support centre based in Manchester UK, manufacturing operations in Bridgend UK and Dresden Germany offer local, friendly service and support to our European customers. With 9 global manufacturing facilities across Europe, North America and Asia, customers have access to our global network via local support.

Photronics supports wide-ranging technology needs, from Copy masks and routine wafer production at 250nm and above to advanced sub-wavelength reticle applications at 14nm and below including EUV. Innovative service initiatives such as our proprietary design software CyberMask™ and global manufacturing control system MaskTrac™, customers can easily place orders, review SPC data, and track delivery status. Front-end data handling experts work 24/7 to prepare mask data and rapidly begin the manufacturing process helping Photronics define the standard for customer service in the photomask industry.

Investments in new technology and partnerships have propelled Photronics to the forefront of both IC and FPD photomask manufacturing. We’re continuously adding tools to support growing requirements – expanding both capacity and capability in our local and global network. Our robust technology solutions are recognized worldwide and are allowing customers to build state-of-the art technologies in a cost effective manner.

Telephone +(44) 1656-674-300 Email [email protected] Website www.photronics.com

PHOTO MASKS

Page 99: DIRECTORY 2017/18 - NMI · Bitwise CambridgeIQ Dialog Semiconductor EMS EquipIC Global Technologies Ichor Systems Lauterbach Development Tools MDL Technologies Microlease Presto Engineering

NMI : Manufacturing Supplier Directory 99

EQUIPMENT SALES & REFURBISHMENT - EQUIPMENT SPARES, INSTRUMENTATION & CONSUMABLES - EQUIPMENT SUPPORT SERVICES - RF RELATED SERVICES - SOFTWARE

AND AUTOMATION - TESTING

RF over Fibre

Satellite communications

EMC test and measurement

Fixed and mobile antenna remoting

GPS timing links

Power Electronics Components and Systems

Power Electronics

Pulsed Power

High Voltage

Programmable Power

PPM supply power electronics, RF over fibre and RF antenna systems.

Telephone 01793 784 389 Email [email protected] Website www.ppm.co.uk

Page 100: DIRECTORY 2017/18 - NMI · Bitwise CambridgeIQ Dialog Semiconductor EMS EquipIC Global Technologies Ichor Systems Lauterbach Development Tools MDL Technologies Microlease Presto Engineering

100 NMI : Manufacturing Supplier Directory

Page 101: DIRECTORY 2017/18 - NMI · Bitwise CambridgeIQ Dialog Semiconductor EMS EquipIC Global Technologies Ichor Systems Lauterbach Development Tools MDL Technologies Microlease Presto Engineering

NMI : Manufacturing Supplier Directory 101

ANALYTICAL TOOLS AND SERVICES - CONSULTANCY SERVICES - EQUIPMENT SUPPORT

SERVICES - RELIABILITY TEST - RF RELATED SERVICES - TESTING

Telephone +44 (0)7500 832480 Email [email protected] Website www.presto-eng.com

Presto Engineering, Inc. provides

outsourced operations for

semiconductor and IoT device

companies, helping its customers

minimize overhead, reduce risk

and accelerate time-to-market.

The company is a recognized expert in the development of industrial solutions for

RF, analog, mixed-signal and secured applications – from tape-out to delivery of

finished goods.

Presto’s proprietary, highly-secure

manufacturing and provisioning solution,

coupled with extensive back-end expertise,

gives its customers a competitive advantage.

The company offers a global, flexible,

dedicated framework, with headquarters in

the Silicon Valley, and operations across

Europe and Asia.

For more information visit:

www.presto-eng.com.

UK contact Ian Walsh: +44 (0)7500 832480

Presto Engineering

provides services and

global industrialization/

production solutions for

the microelectronics

industry.

Page 102: DIRECTORY 2017/18 - NMI · Bitwise CambridgeIQ Dialog Semiconductor EMS EquipIC Global Technologies Ichor Systems Lauterbach Development Tools MDL Technologies Microlease Presto Engineering

102 NMI : Manufacturing Supplier Directory

CONSULTANCY SERVICES

Located in the UK, PTSL are a world leader in delivering advanced ATE test hardware solutions to our blue chip customers globally.

We are a technically driven company with a proven track record of pioneering engineering solutions to the most challenging test floors in the world.

Our product portfolio includes:

Telephone 02035751378 Email [email protected] Website www.psestrategicconsultants.com

PSE Strategic Consulting is focused on Business Development, Technical Marketing, Professional Representation, Value Creation and Change Management. We are able to offer a wide range of

services all geared towards maximising business output and minimising waste. Our client partners include those who operate in the fields of Test Software & Product Engineering, Anti Counterfeit products, Test Equipment, Power Management & Energy Harvesting products.

Telephone 01698 745268 Email [email protected] Website www.ProbeTestSolutions.com

We are very proud of our vastly experienced

team ranging from experienced Test

Engineers, Mechanical and PCB designers, to

UK based assembly technicians with more

than 35 years industry experience.

Loadboards

Probecards

Test Sockets

ATE Stiffeners for all major testers

Prober Service, Maintenance and Support

EQUIPMENT SALES & REFURBISHMENT - EQUIPMENT SPARES, INSTRUMENTATION &

CONSUMABLES - EQUIPMENT SUPPORT SERVICES - RELIABILITY TEST - RF RELATED

SERVICES - TESTING - TRAINING

Page 103: DIRECTORY 2017/18 - NMI · Bitwise CambridgeIQ Dialog Semiconductor EMS EquipIC Global Technologies Ichor Systems Lauterbach Development Tools MDL Technologies Microlease Presto Engineering

NMI : Manufacturing Supplier Directory 103

Quartztec Europe is an

NMI Award Winning

supplier of fabricated

Quartz Glass,

Thermocouples and

Advanced Ceramics.

Newly adding SiC

Coated Graphite Parts

for your applications

(including Epitaxy), to

our Portfolio.

We are the largest

Quartz Fabricator in

the UK employing c.40 staff. Formally a Heraeus

Facility we still maintain the same high level of

expertise in the products we produce, with our facility

being one of the most advanced Quartz Fabrication

factories in Europe. We fabricate Quartzware for the

majority of OEMs, including TEL, ASM, Aviza, HiKe

Kokusai, building for tools using from 3” to 12” wafers.

We can also supply Quartz Tanks for wet etch applications and parts used in dry

etch / sputter etc.

Our Thermocouple Product line allows us to provide New, New for Old and Full

Calibration Services. All our T/Cs are provided with UKAS certification.

We are pleased to now offer Pure Silicon Carbide Coating for a wide range of

applications. The coating can be applied on many materials such as Graphite, SiC

Ceramic and Refractory Metals to form an impervious dense layer.

In addition to our Product Portfolio we are pleased to offer:

Quartz Repairs

Technical Support

Cost of Ownership Support Programmes

Quartz Parts Cleaning

Whether your requirements be for OEM product,

bespoke product, repairs or modifications, we see ourselves as the market leader

for supplying the full range of parts with a first-class customer service experience.

Look forward to hearing from you.

Telephone 01355 244456 Email [email protected] Website www.quartztec-europe.com

SEE OUR ADVERT ON THE INSIDE FRONT COVER

EQUIPMENT SALES AND REFURBISHMENT - EQUIPMENT SPARES, INSTRUMENTATION

& CONSUMABLES - MATERIALS / CHEMICALS - R&D SERVICES

Page 104: DIRECTORY 2017/18 - NMI · Bitwise CambridgeIQ Dialog Semiconductor EMS EquipIC Global Technologies Ichor Systems Lauterbach Development Tools MDL Technologies Microlease Presto Engineering

104 NMI : Manufacturing Supplier Directory

Reltech provides these Semiconductor Reliability and Qualification tests services:

Planning/Development: Qualification Planning, Biased Life Test strategy development, Life Test Hardware design & manufacture, Life Test Software development. Qualification Testing: High Temperature Operating Life (HTOL), High Power HTOL >30W/DUT, Early Life Failure Rate (ELFR), Burn In,Preconditioning (PC), Temperature Humidity Bias (THB), Highly Accelerated Life Test (Biased HAST), UHAST (unbiased HAST), Low Temperature Operating Life (LTOL), Temperature Cycling (TC), High Temperature Storage (HTS), Test Programme Development, ATE Functional Test (Read Point Test). Design, Manufacture and Supply : Advanced HTOL Test Systems, HTOL Boards, Burn-In Boards HTOL Driver Cards, HAST Boards, HTOL Driver Cards, Burn-In Test Sockets – for all package outlines >0.35mm pitch.

RELIABILITY TEST

The Reltech Independent Test

Laboratory (ITL) provides global

Semiconductor Companies with

Full Turn-Key solutions,

performing reliability,

qualification, and environmental testing on semiconductor devices of the very

latest technologies. Reltech prides itself on its 35 years existence within the

semiconductor reliability market and applies its wealth of experience in life test

system manufacturing and semiconductor device testing, to support its

customers through the critical qualification stages prior to the launch of a new

product.

Reltech works closely with its customers all the way through the qualification

process. This includes the development of a Qualification Plan, specifying the

most effective and practical test strategy, designing and manufacturing

bespoke test hardware, developing test software and performing the

qualification tests within its spacious laboratories using advanced test equipment

that is maintained, calibrated and operated by a highly trained team of test

professionals working to established test standards and proven procedures

accredited by UKAS to ISO17025:2005

Telephone +44 (01453) 541211 Email [email protected] Website www.reltech.co.uk

Page 105: DIRECTORY 2017/18 - NMI · Bitwise CambridgeIQ Dialog Semiconductor EMS EquipIC Global Technologies Ichor Systems Lauterbach Development Tools MDL Technologies Microlease Presto Engineering

NMI : Manufacturing Supplier Directory 105

ANALTYICAL TOOLS AND SERVICES - EQUIPMENT SALES AND REFURBISHMENT - SOFTWARE & AUTOMATION -TESTING

Renishaw is a global company with core

skills in measurement, motion control,

spectroscopy and precision machining.

We develop innovative products that

significantly advance our customers'

operational performance - from

improving manufacturing efficiencies

and raising product quality, to

maximising research capabilities and

improving the efficacy of medical

procedures.

Our products are used for applications

as diverse as machine tool automation, co-ordinate measurement, additive

manufacturing (metal 3D printing), gauging, Raman spectroscopy, machine

calibration, position feedback, CAD/CAM dentistry, large scale surveying, stereotactic

neurosurgery, and medical diagnostics. In all of these areas we aim to be a long-term

partner, offering superior products that meet our customers' needs both today and

into the future, backed up by responsive, expert technical and commercial support.

Renishaw was established in 1973 by David McMurtry (now Sir David McMurtry),

Chairman and Chief Executive, and John Deer, now Deputy Chairman. The

company's first product, the touch-trigger probe had been invented by Sir David to

solve a specific inspection requirement for the Olympus engines used on the

supersonic Concorde aircraft. This innovative product led to a revolution in three-

dimensional co-ordinate measurement, enabling the accurate measurement of

machined components and finished assemblies.

The majority of our R&D and manufacturing is carried out in the UK at our

headquarters based in Gloucestershire. We also have assembly facilities located

near to Dublin, Ireland and in Pune, India. In 2011 we acquired the former Bosch

manufacturing facility at Miskin, near Cardiff, in South Wales; where we now carry

out component machining, electronics assembly and manufacture of our additive

manufacturing machines.

We have a strong global presence,

with more than 70 offices in 32

countries and some 93% of our

sales are made outside the UK.

Since our formation in 1973 we

have won numerous awards,

including eighteen Queen's Awards,

recognising Technological

Achievement, Export Achievement

and Enterprise.

Telephone 01453 524524 Email [email protected] Website www.renishaw.com

Page 106: DIRECTORY 2017/18 - NMI · Bitwise CambridgeIQ Dialog Semiconductor EMS EquipIC Global Technologies Ichor Systems Lauterbach Development Tools MDL Technologies Microlease Presto Engineering

106 NMI : Manufacturing Supplier Directory

Retronix has extensive experience in providing internal and external "Engineering Solutions" to Semiconductor customers worldwide. Retronix provides low-cost, high value added operations and engineering services and products to the Semiconductor industry.

Retronix Semiconductor is headquartered in California with an operations facility in Mesa, Arizona, Coatbridge Scotland, Maynooth Ireland and Shanghai in China.

Retronix Group globally employs 650+ world-wide Client list includes major semiconductor device manufacturers, Equipment Manufacturers, Used equipment brokers, and research and development companies. Retronix has global service agreements with major tier-1 IDM's and OEMs.

Retronix Semiconductor is one of the most innovative and effective suppliers to the Semiconductor industry. We offer a unique set of services aimed at reducing costs and improving efficiencies. Services vary from complete wafer fab relocations to flexible labour solutions and we specialize in applying technical solutions to keep our customers competitive.

Retronix offers innovative and unique cost reducing services to OEM and IDM companies worldwide and we fully engage in our customers' Continuous Improvement Programs (CIP) and break complex maintenance activities down into manageable modules. To compliment Retronix Semiconductor contract services and refurbishment operations, we have a state of the art PCB repair lab.

Retronix is a pioneer in safe recovery of electronic components. Retronix offers a unique set of services aimed at reducing manufacturing costs and improving production efficiency in the electronics PCBA industry and the fact that Retronix are a preferred supplier to many of the market leaders is testimony to their track record and the quality of their services.

CLEANROOM SERVICES & FACILITIES - CONSULTANCY SERVICES - EQUIPMENT SALES &

REFURBISHMENT - EQUIPMENT SUPPORT SERVICES - OUTSOURCED CLEANROOM

SERVICES (STAFF, PROCESSES) - RF RELATED SERVICES - TRAINING - VACUUM RELATED

EQUIPMENT & SERVICES

Telephone 01236 433345 Email [email protected] Website www.retronixsemiconductor.com

SEE OUR ADVERT ON PAGE 4

Page 107: DIRECTORY 2017/18 - NMI · Bitwise CambridgeIQ Dialog Semiconductor EMS EquipIC Global Technologies Ichor Systems Lauterbach Development Tools MDL Technologies Microlease Presto Engineering

NMI : Manufacturing Supplier Directory 107

RF Global Solutions provides low cost RF repairs and refurbished RF equipment into the Semiconductor and Medical industry, now an internationally recognisable brand with customers utilising our services from all across the globe.

We are constantly assessing the latest RF technology on offer supported by our representatives all over the world. We then design, repair, install, service and train to all the quality standards you'd expect, ensuring our customers get the local service they are familiar with, backed by the reassurance of an international RF leader.

Repairs : We repair most RF power supplies and matching units, even if your equipment is not commonly used or industry standard. All RF repairs and refurbishments are backed by our second to none warranty which can also be tailored/ extended to meet your particular requirements. Sales : RF Global Solutions carries a vast array of refurbished equipment available to purchase when required ensuring you continue to maximise production output at all times. All equipment is supplied in a fully refurbished condition complete with a warranty and service documentation. Upgrade : From time to time we notice that some OEM standard units develop common faults which can result in the equipment failing, where relevant we will offer to upgrade the original components with more reliable/ longer lasting alternatives at no extra cost! This improvement work will always be documented on the relevant paperwork. Our service engineers are skilled and proud of the work that they do. Some joined us through the RF Global Solutions apprenticeship scheme and have been with us for many years. They share a commitment to keeping their skills up to date with regular in house training at our East Kilbride Head Quarters.

Some of the units we provide a repair service for : Adtech – Advanced Energy – Applied Materials – Colpit – Comdel – Daihen – Henry – LAM – Mattson – MKS -Novellus – RFPP – RF Services – Sebra – Seren – SET – Tegal – Trazar.

Telephone 01355 236392 Email [email protected] Website www.rfglobalsolutions.co.uk

CONSULTANCY SERVICES - EQUIPMENT SALES & REFURBISHMENT - EQUIPMENT SPARES,

INSTRUMENTATION & CONSUMABLES - EQUIPMENT SUPPORT SERVICES - OUTSOURCED

CLEANROOM SERVICES (STAFF,PROCESSES) - R&D SERVICES - RF RELATED SERVICES -

VACUUM RELATED EQUIPMENT AND SERVICES

Page 108: DIRECTORY 2017/18 - NMI · Bitwise CambridgeIQ Dialog Semiconductor EMS EquipIC Global Technologies Ichor Systems Lauterbach Development Tools MDL Technologies Microlease Presto Engineering

108 NMI : Manufacturing Supplier Directory

Telephone +44 (0)1223 810 282 Email [email protected]

Website www.rfmod.com

www.BeanIoT.com

Twitter @BeanIoT888

BeanIoT ™ uniquely provides a

powerful "Out-of-the-Box", "Internet of Things"

solution fit for use by businesses across

multiple sectors and in many applications.

Our highly configurable "Wearable",

"Deployable" Edge-Node is easily configured to

securely deliver targeted dynamic

environmental sensor and data to any system.

Our unique Edge-Nodes are backed by

BeanIoT-POD ™ our hugely powerful and

flexible integrated IoT Platform, native App and

Data Visualisation service.

CONTACT US FOR A TRIAL

ANALYTICAL TOOLS & SERVICES - CONSULTANCY SERVICES - PACKAGING - R&D SERVICES RF RELATED SERVICES - SOFTWARE & AUTOMATION

RFMOD ™

www.rfmod.com

BeanIoT™

Be in it. Be on it. www.BeanIoT.com

RF Module & Optical Design Ltd The Barn

Downing Park

Station Road

Swaffham Bulbeck

Cambridge CB25 0NW

Page 109: DIRECTORY 2017/18 - NMI · Bitwise CambridgeIQ Dialog Semiconductor EMS EquipIC Global Technologies Ichor Systems Lauterbach Development Tools MDL Technologies Microlease Presto Engineering

NMI : Manufacturing Supplier Directory 109

Established for over

10 years RF Support

has enjoyed being

the leading high

quality repair centre

for semiconductor

plants worldwide.

Where customers

always return for

industry leading

reliability and quality.

Offering a full repair,

refurbishment and

calibration service on

the complete range of RF equipment found in today’s fab. High power DC sputtering

supplies from AE and ENI/MKS, selected Microwave power supplies and some of the

smaller housekeeping supplies have also been added to the list. Our wide

engineering background means we are also able to support some of your other

equipment.

If you have a problem give us a call we are always willing to help. With an extensive

parts store and support from a worldwide network of suppliers, you can be sure

parts are available to ensure your repairs are completed quickly. 24hr turnaround

service is available in critical situations. All units are rigorously tested to meet or

exceed the original OEM specification. Internal processes have been designed to ISO

standards, so our customers are assured of complete confidence in every repair. Our

excellent reliability record is a source of pride, and as such is constantly being

monitored to maintain standards. Repairs are all fully backed with an RTB warranty,

which is one of the best in the industry and can be configured to suit individual

requirements.

Our prime focus is to improve the reliability of your existing equipment. Currently

supporting major fabs in the UK, USA, Europe, South Asia and the Far East. Our

customer base is growing due to our reputation for Quality and Reliable repairs, with

customers who may have tried others, returning for units they can trust. · Growth

based on customer recommendation, which is the simplest and best form of

advertising. Each repair has a full package of test results available including final

written report fully detailing all problems encountered and parts fitted, Final Test

Record, Linearity and Burn Charts.

Customers can also gain access to our 24hr web based service Customer Zone, which

enables full tracking of your unit, access to previous repairs and invoicing details,

ensuring you can trace a units repair cycle throughout its lifetime.

CONSULTANCY SERVICES - EQUIPMENT SALES & REFURBISHMENT - EQUIPMENT SPARES, INSTRUMENTATION & CONSUMABLES - EQUIPMENT SUPPORT SERVICES - OUTSOURCED

CLEANROOM SERVICES (STAFF, PROCESSES) - RF RELATED SERVICES - VACUUM RELATED

EQUIPMENT & SERVICES

Telephone 01355 227 228 Email [email protected] Website www.rfsupport.com

Page 110: DIRECTORY 2017/18 - NMI · Bitwise CambridgeIQ Dialog Semiconductor EMS EquipIC Global Technologies Ichor Systems Lauterbach Development Tools MDL Technologies Microlease Presto Engineering

110 NMI : Manufacturing Supplier Directory

Telephone +44 1480 408400 Email [email protected] Website www.rocelec.com

PACKAGING - R&D SERVICES - RELIABILITY TEST - TESTING

Rochester Electronics

is the Semiconductor

Lifecycle Solution

Rochester Electronics is 100% AUTHORIZED and licensed by over 70 leading

semiconductor manufacturers.

We are a stocking distributor – Over 100,000 part numbers in stock with full lot

traceability and over 15 billion device in our warehouses.

We are a manufacturer of semiconductor devices providing continuing source

of supply authorised and licensed by the original manufacturers.

We are a semiconductor manufacturing services provider – Providing assembly

and testing.

Unit 2, Fenice Court, Eaton Socon, Saint Neots, Cambridgeshire, PE19 8EW

Page 111: DIRECTORY 2017/18 - NMI · Bitwise CambridgeIQ Dialog Semiconductor EMS EquipIC Global Technologies Ichor Systems Lauterbach Development Tools MDL Technologies Microlease Presto Engineering

NMI : Manufacturing Supplier Directory 111

Telephone +44 1794 833000 Email [email protected] Website www.roke.co.uk

R&D SERVICES - RF RELATED SERVICES - SOFTWARE & AUTOMATION - TESTING

We give our clients the best use of technology to provide them with future capability.

Whether you are developing a technology concept, analysing a critical function, prototyping for validation, or demonstrating a capability, Roke has an established track record of delivering.

Autonomy: Enabling technologies for true autonomy. Our proven technologies and expertise in route planning and optimisation, navigation both with and without GPS, and machine situational awareness provide all that is required for true vehicle autonomy. Our expertise and long track record in computer vision when combined with extensive real world experience in machine learning gives state of the art autonomy solutions that work in real environments.

Connectivity: Enabling connectivity in demanding environments. Our RF and

network modelling and simulation expertise supports product concept development and assessment. With proven expertise in IoT, cellular and other wireless technologies and standards, Roke design, develop and integrate bespoke secure communications systems.

Security: Enabling business to protect what matters most. Our Security Architecture offering combines Domain, Technology and Security Expertise. With a broad history in delivering Secure and Assured system on systems, Roke does more than Security Analysis; we are experts in Validation and Verification. Our capability derives from and is underpinned by recognised standards; therefore we are at the forefront of the Designing and Assurance of Connected Devices.

Systems: Provision of systems design capability. Roke’s system design capabilities span technology disciplines, markets and scope. Radio communications hardware systems designs have included battlefield communications and radar systems product, application of disruptive technology in medical devices and mobile telecoms products developments. Major network based enterprise systems developments have drawn on Roke’s extensive network based government approved cyber assurance capability, deep packet probing technology and ‘big data’ analytics expertise. Systems design scope ranges from rapid turn-around of urgent requirement prototype devices through product design to major network based enterprise systems.

Assessment: Assessment, development and implementation of disruptive technology. Roke’s expertise spans a wide range of disciplines, markets and scope requirements. This equips Roke for rapid and accurate assessment of new and future disruptive technologies for innovative application in the development of competitive new products and systems.

Page 112: DIRECTORY 2017/18 - NMI · Bitwise CambridgeIQ Dialog Semiconductor EMS EquipIC Global Technologies Ichor Systems Lauterbach Development Tools MDL Technologies Microlease Presto Engineering

112 NMI : Manufacturing Supplier Directory

RoodMicrotec has over 45 years’ experience as an independent value-added microelectronics and optoelectronics service provider. RoodMicrotec offers a one-stop shop proposition to fabless companies, OEMs and other business partners. RoodMicrotec has built

up a strong position in

Europe with its powerful

solutions. Its services

comply with the highest

industrial and quality requirements as demanded by the high reliability aerospace

& military, automotive, telecommunications, medical, IT and electronics sectors.

Value-added services include:

eXtended Supply Chain Management which includes

ASIC design services (working with specialist design

partners), silicon manufacture, wafer probe, package,

final test and qualification resulting in fully tested parts

ready for product manufacture.

Automotive Competence Centre - dedicated support for supply to the automotive market

Failure & Technology Analysis Qualification & Monitoring Burn-In Test & Product engineering Production Test (including device programming and end

-of-line service) ESD/ESDFOS assessment & training Quality & Reliability Consulting The company has an accredited laboratory for testing and

calibration activities in accordance with the ISO/IEC 17025

standard and ISO9001.

RoodMicrotec has locations in Germany (Noerdlingen,

Stuttgart, Dresden), The Netherlands (Zwolle) and UK

(Bath).

Telephone +44 7968 948683 Email [email protected] Website www.roodmicrotec.com

ANALYTICAL TOOLS AND SERVICES - CONSULTANCY SERVICES - RELIABILITY TEST

Page 113: DIRECTORY 2017/18 - NMI · Bitwise CambridgeIQ Dialog Semiconductor EMS EquipIC Global Technologies Ichor Systems Lauterbach Development Tools MDL Technologies Microlease Presto Engineering

NMI : Manufacturing Supplier Directory 113

Sarsen Technology is an industry leading distributor of embedded boards, systems and software. We specialise in single board computing, FPGA hardware based on Xilinx and Intel (Altera), digital signal processing, high performance computing (HPC) and high-speed data recording COTS technology.

Whether your application is commercial or requires fully ruggedised, IP66 sealed units we have a range of options that will suit.

The manufacturers we work with design and build

Commercial off the Shelf (COTS) products, but also excel in working with your requirements to build custom products, from modified COTS to full-scale custom designs.

We can offer a wide range of high quality, high reliability products on industry standard board form factors, including COM Express, PCI Express, PMC, PICMG 1.3, VPX/OpenVPX and XMC.

Our mission is to bring high-end technology solutions successfully to market in the shortest possible time, for the benefit of both our customers and the manufacturers we represent. Sarsen Technology is committed to providing excellent service and support, and is ISO 9001:2008 registered.

The companies we work with have been chosen for their commitment to customer service as well as for their market-leading technology.

Telephone 01672 511166 Email [email protected] Website www.sarsen.net

PASSIVE COMPONENTS - SOFTWARE & AUTOMATION

If you’d like to

discuss an

application or would

like to work with

Sarsen Technology

on a new project

please get in touch

with one of the

team.

Page 114: DIRECTORY 2017/18 - NMI · Bitwise CambridgeIQ Dialog Semiconductor EMS EquipIC Global Technologies Ichor Systems Lauterbach Development Tools MDL Technologies Microlease Presto Engineering

114 NMI : Manufacturing Supplier Directory

Innovative equipment integration

and asset-tracking software,

solutions & services for the

Semiconductor Manufacturing

Industry.

Equipment Integration is one of the

key tools that chip manufacturers can utilise in order to maximise the productivity and

flexibility of their facility. Implementing EI has a proven track record of enhancing tool

utilization, reducing scrap and increasing overall productivity. By linking the equipment

to your shop-floor control system, your process can be secured, and the data directly

collected can be leveraged to both improve the process and to minimise idle time.

Savantech Limited has an expert team of semiconductor professionals with many years

of experience encompassing process control and optimisation, data collection,

efficiency enhancement and asset tracking. Savantech offers a complete range of

services, from initial needs assessment through system design, development, and

implementation. We also provide comprehensive support and maintenance packages.

Savantech's premier automation product is CoreTegral, the most technologically

advanced automation development platform in the market today, taking advantage of

the finest industry standard tools to enable rapid deployment and real-time remote

management capabilities. Core to the Savantech approach is our extensive industry-

specific knowledge, ensuring that the solutions support the business 24x7. Our focus is

on providing targeted automation solutions that deliver the best cost/performance

package for each customer. Excellent ROI can be achieved by automating a select set of

key equipment in the first instance, to address pressing problems of scrap, poor

throughput or poor process yield. As the cost benefit of automating those tools is

proven, further functionality can be introduced and/or further tools automated.

Savantech has extensive expertise in identifying where automation will return the most

value, and in providing the solution to do it. Choose Savantech to make the most out of

your fab's potential.

Services: Increase equipment throughput - Decrease unplanned down-time -

Eliminate scrap caused by mis-process - Improve process through data analysis -

Facilitate Advanced Process Control - Real-time factory floor visibility.

Telephone 01506 417030 Email [email protected] Website www.savantech.co.uk

CONSULTANCY SERVICES - SOFTWARE & AUTOMATION

Page 115: DIRECTORY 2017/18 - NMI · Bitwise CambridgeIQ Dialog Semiconductor EMS EquipIC Global Technologies Ichor Systems Lauterbach Development Tools MDL Technologies Microlease Presto Engineering

NMI : Manufacturing Supplier Directory 115

Scotech – the UK's premier

distributor of thin film coating

equipment and consumables.

Scotech supply Capital Equipment

and Consumables from market

leading companies in the Vacuum Deposition and Etch field.

Our product range covers diverse markets ranging from blue chip Silicon, MEMS

& III-V Semiconductor manufacturers through leading edge research companies

and universities. Through our experienced team of engineers we aim to supply

high quality service with efficient technical back up. All our technical staff have

over 30 years industry experience in thin film processing.

Products and Services include

Telephone 01475 540689 Email [email protected] Website www.scotech.ltd.uk

Sputtering Sources Substrate Heaters Throttle Valves Sputtering Targets &

Coating Materials Quartz Monitor Crystals Vacuum Fluids & Greases Plasma Etch Electrodes Stepper Lamps Molecular Vapour

Deposition Polycold Systems CTI Cryogenics Cryopumps

& Compressors

Ion Beam Sources Ion Beam Deposition

Systems MOCVD Systems Single Wafer Wet

Processing Tools for Strip, Clean & Etch

E Beam Evaporation Systems

E Beam Evaporation Components

Remanufactured TEL & SVG Tracks, Spares & Consumables

EQUIPMENT SALES & REFURBISHMENT - EQUIPMENT SPARES, INSTRUMENTATION &

CONSUMABLES - EQUIPMENT SUPPORT SERVICE - MATERIALS/CHEMICALS - VACUUM

RELATED EQUIPMENT & SERVICES

Page 116: DIRECTORY 2017/18 - NMI · Bitwise CambridgeIQ Dialog Semiconductor EMS EquipIC Global Technologies Ichor Systems Lauterbach Development Tools MDL Technologies Microlease Presto Engineering

116 NMI : Manufacturing Supplier Directory

Our purpose is to supply, install and

support, specialist equipment to

entire sectors of the

Semiconductor, RF/Microwave,

Signal Integrity, PCB, MEMS, BGA,

solar panel, opto-electronics and

other related Industry's within the

European community.

For over 17 years, we have offered two very distinct product lines, an electrical test

& characterisation equipment set and a comprehensive wet chemistry range. Our

supply chain management strategy which is endorsed and supported by all of our

channel supply partners, ensures our

sales and service personnel maintain

the optimum level of product expertise

whilst delivering a fully augmented

product line to our customers.

Our company offers every possible

solution to customer applications

relating to analytical probing

requirements, signal Integrity testing,

probe card applications, resistivity

measurement systems, chamber

assurance tools, Hall Effect measurement systems, high purity chemical pumps, In-

Line D.I water and chemical heaters, pump rebuild/warranty service and wet

processing equipment, inclusive of wetbenches (manual and automatic), IPA

vapour dryers bulk chemical delivery systems, and chemical mixers.

We also have a purpose built clean room to refurbish and re-warrant our Trebor®

high purity chemical pump range.

Telephone 01475 635100 Email [email protected] Website www.sel-tek.com

ANALYTICAL TOOLS AND SERVICES - CONSULTANCY SERVICES - EQUIPMENT SALES &

REFURBISHMENT - EQUIPMENT SPARES, INSTRUMENTATION & CONSUMABLES -

EQUIPMENT SUPPORT SERVICES - MATERIALS/CHEMICALS - OUTSOURCED

CLEANROOM SERVICES (STAFF, PROCESSES) - R&D SERVICES - RELIABILITY TEST - RF

RELATED SERVICES - SOFTWARE & AUTOMATION - TESTING - TRAINING - VACUUM

RELATED EQUIPMENT & SERVICES

Sel-Tek Limited is a

highly respected and diligent supplier to the

European semiconductor industry

Page 117: DIRECTORY 2017/18 - NMI · Bitwise CambridgeIQ Dialog Semiconductor EMS EquipIC Global Technologies Ichor Systems Lauterbach Development Tools MDL Technologies Microlease Presto Engineering

NMI : Manufacturing Supplier Directory 117

Semefab operates 3 wafer fabs on a single site in Glenrothes, UK, across a broad technology base of MEMS sensors and CMOS & Linear IC's, Discrete semiconductor devices.

Close liaison with our highly experienced process engineering team ensures a successful outcome to process development/induction and process optimisation leads to high yield, cost effective manufacture.

Delivering Sensor & Microelectronic Solutions Worldwide...

For your semiconductor device or technology requiring fabrication, make Semefab your first call.

Contact us today!

ELECTRONICS COMPONENT MANUFACTURING

Telephone +44 1592 630630 Email [email protected] Website www.semefab.com

Semefab is Everywhere… At the heart of today’s life enhancing products is Semefab, your MEMS & IC foundry

Semefab Leads… You can rely upon Semefab to deliver outstanding performance

Semefab Enables… Our track record is second to none

Semefab Delivers… On Time, In Full, Worldwide

Page 118: DIRECTORY 2017/18 - NMI · Bitwise CambridgeIQ Dialog Semiconductor EMS EquipIC Global Technologies Ichor Systems Lauterbach Development Tools MDL Technologies Microlease Presto Engineering

118 NMI : Manufacturing Supplier Directory

STFC (Science and Technology Facilities Council) is one of the seven UK Research Councils reporting to the Department of Business Innovation and Skills.

STFC funds research, operates several world-class establishments, manages the UK’s subscription to many international scientific collaborations, and aids advances in science and technology by providing the research community with access to advanced facilities and the expertise of its staff. STFC employs approximately 1900 staff across its UK establishments, most of whom are professionally

qualified scientists and engineers. Rutherford Appleton Laboratory (RAL) is one of the STFC establishments within the UK and is also one of Europe’s largest multi- disciplinary research organisations. The Laboratory is home to a number of the UK’s major scientific research facilities and has a global reputation for excellence in many areas of science and advanced engineering technology.

The Microelectronics Support Centre (MSC) located at STFC Rutherford Appleton Laboratory is an internationally recognised centre of excellence in design methodologies and flows for microelectronic, microsystem and electronic system design. The MSC specialises in providing academic institutions from the UK and Europe with the design tools, design flows, training and support that they require to enhance their microelectronic, microsystem and electronic system design research and teaching. This essential role enables the universities to conduct industry relevant research, and to train the highly capable engineers which form the lifeblood of the UK electronic system design industry.

Telephone 01235 445 276 Email [email protected] Website www.stfc.ac.uk

ANALYTICAL TOOLS AND SERVICES

Page 119: DIRECTORY 2017/18 - NMI · Bitwise CambridgeIQ Dialog Semiconductor EMS EquipIC Global Technologies Ichor Systems Lauterbach Development Tools MDL Technologies Microlease Presto Engineering

NMI : Manufacturing Supplier Directory 119

EQUIPMENT SALES & REFURBISHMENT - EQUIPMENT SPARES,

INSTRUMENTATION & CONSUMABLES - EQUIPMENT SUPPORT SERVICES -

TRAINING

Telephone +447479262726 Email [email protected]

Refurbished

Photolithography Steppers

Ultratech Stepper Parts

Service

Applications

Training

Robotic wafer loaders

(Universal wafer size)

Inspection tools (fully

customized)

Reticle design

Process Data collection and

analysis

Semiconductor Technology

Ltd

Unit1/Block5

Earnhill RD

PA160EQ

Page 120: DIRECTORY 2017/18 - NMI · Bitwise CambridgeIQ Dialog Semiconductor EMS EquipIC Global Technologies Ichor Systems Lauterbach Development Tools MDL Technologies Microlease Presto Engineering

120 NMI : Manufacturing Supplier Directory

ELECTRONICS COMPONENT MANUFACTURING

Telephone 01794 527600 Email [email protected] Website www.semtech.com

Semtech Corporation is a leading

supplier of high-quality analog and

mixed-signal semiconductor

products.

The Company's integrated circuits (ICs) are

employed in communications, computer and

computer-peripheral, automated test

equipment, industrial and other commercial

applications.

The company is

dedicated to providing

customers with :

Proprietary solutions

and breakthrough

technology in power

management protection Advanced

communications Human interface Test & measurement Wireless and sensing

products.

Page 121: DIRECTORY 2017/18 - NMI · Bitwise CambridgeIQ Dialog Semiconductor EMS EquipIC Global Technologies Ichor Systems Lauterbach Development Tools MDL Technologies Microlease Presto Engineering

NMI : Manufacturing Supplier Directory 121

The organisation first began providing SIM card modules and Over the Air Configuration server platforms with impressive market penetration and reputation. They are now fully focused on the delivery of eSIM solutions into the expanding IoT market. The company was incorporated in 2009 and has since expanded rapidly with offices in Wales, Northern Ireland, Kuala Lumpur and South Africa.

Simulity pride themselves in their embedded SIM technology, providing the SIMs for connected devices and providing secure services to manage their activation and connectivity, Simulity is committed to the growth and stability of their products for the Internet of

Things. The IoT industry projects that over twenty-five billion devices will need connectivity by 2020. With such a high demand for securely embedded connected communications, Simulity is well positioned to provide these solutions that will be required to make this a reality.

The company’s key competitive advantages include having a fully developed in house technology, with their management team having over fifteen years experience in the market, also being a small company is a main advantage over their competitors as it makes it easier to listen to their customers exact needs- what exactly it is they may want or need. Overall, they are more flexible and reliable in the way that they sell their technology. Currently they have 50 employees most of whom are highly qualified R&D engineers and are expanding to meet customer demand.

Simulity’s team believe that every device can be connected, with no compromise on quality of service, privacy, efficiency, stability and most importantly security.

Telephone +44 749 4421785 Email [email protected] Website www.simulity.com

EQUIPMENT SALES & REFURBISHMENT - EQUIPMENT SUPPORT SERVICES

Simulity is an international software company, specialising in secure embedded communications software and related server-based applications. .

NMI : Manufacturing Supplier Directory 121

Page 122: DIRECTORY 2017/18 - NMI · Bitwise CambridgeIQ Dialog Semiconductor EMS EquipIC Global Technologies Ichor Systems Lauterbach Development Tools MDL Technologies Microlease Presto Engineering

122 NMI : Manufacturing Supplier Directory

Sistem Technology is a full service provider of Semiconductor and Advanced Technology process tools and sub-systems for R & D, Production and OEM applications.

Wet Process: Batch and single substrate processing,including spin coating, develop, bake, lift-off, plating, etch and clean. Chemical distribution systems, Megasonics, DI water/chemical in-line and immersion heaters, gas and solvent heaters. Wet bench upgrades.

Thermal Processing: Horizontal furnaces. Advanced controllers, replacement elements and vestibule blocks for most furnace types. High

performance convection and vacuum ovens, 200-450mm high temperature vacuum cure ovens.

Photolithography: R&D and production contact, proximity and projection mask aligners. Reticle storage systems. Nanoimprint lithography solutions. Chuck Cleaning Wafers.

Films: Atomic Layer Deposition (ALD) tools for research and volume production. RF and DC Sputtering, Diamond Like Carbon, Ion Beam Etch, Ion Beam Milling.

Test: Epoxy/Blade probe cards including ultra low leakage. Probe cleaning materials. Test Cell conditioning films. Chuck Cleaning Wafers.

Vacuum: High capacity vacuum traps, mist eliminators and oil filtration systems. De-gassing stations.

Automation: Assembly, integration, retrofit and servicing of E.F.E.Ms, A.M.H.S and related S.M.I.F modules. Reticle Handling and Storage systems. Automated wafer/cassette transfer systems.m RFID and IR read/write systems. BCR/OCR readers. 200/300mm Sorters, Quartz boat cell transfer systems, Boat loader/unloader

Telephone 01327 317621 Email [email protected] Website www.sistemtechnology.com

CLEANROOM SERVICES & FACILITIES - EQUIPMENT SALES & REFURBISHMENT

EQUIPMENT SPARES, INSTRUMENTATION & CONSUMABLES - EQUIPMENT SUPPORT

SERVICES - R&D SERVICES - SOFTWARE & AUTOMATION - VACUUM RELATED EQUIPMENT

& SERVICES

WE OFFER SOLUTIONS FOR

Page 123: DIRECTORY 2017/18 - NMI · Bitwise CambridgeIQ Dialog Semiconductor EMS EquipIC Global Technologies Ichor Systems Lauterbach Development Tools MDL Technologies Microlease Presto Engineering

NMI : Manufacturing Supplier Directory 123

Today's semiconductor industry has a rapidly growing demand for faster turn around times in product development and cost- effective manufacturing. This includes faster development of IC test solutions and parallel efforts to cover the large variety of products.

In many cases existing test solutions must also be transferred to new high speed test equipment to reduce the cost of test. There are also upcoming demands from "fabless” ASIC design houses to help them transfer their ASIC designs to production.

These requirements often lead to resource shortages and requirements for additional expertise and therefore contracting out test development work has more and more become an established route.

Smartest GmbH, a privately owned, Munich based company, was founded to address these needs in a very flexible and efficient way.

Smartest offers a complete application package which is focused on short development times while minimizing the involvement of the customers resources in the out-sourced project. This is achieved by offering a complete package of services for IC test applications, including: : test concept engineering - test program generation and debug - the design and manufacturing of interface boards - production integration - product analysis documentation and training.

The development process is monitored by computerized project planning and review tools. They are transparent to the customer and also open to the customers requirements in TQM. Finally the product support is guaranteed by a unique software warranty procedure.

This concept has proven to be very successful and today, Smartest supports a large number of tester platforms for customers all over the world.

CONSULTANCY SERVICES - EQUIPMENT SUPPORT SERVICES - R&D SERVICES - RF RELATED SERVICES - SOFTWARE & AUTOMATION - TESTING - TRAINING

Telephone +49 (89) 45150515 Email [email protected] Website www.smartest.de

Page 124: DIRECTORY 2017/18 - NMI · Bitwise CambridgeIQ Dialog Semiconductor EMS EquipIC Global Technologies Ichor Systems Lauterbach Development Tools MDL Technologies Microlease Presto Engineering

124 NMI : Manufacturing Supplier Directory

Sofintsys provides Software and Systems Engineering Consultancy Services.

The structured and rigourous development of robust software-intensive products and systems, largely in support of electromechanical systems, has been our technical focus for over three decades.

We are passionate about solving the business and technical challenges that these systems bring most engineering companies.

The market demands an increasingly complex set of capabilities from these systems to enable levels of optimisation, economy and safety that are unachievable by mechanical or purely electronic solutions; yet few organisations find themselves equipped for the challenge, and the leadership required for the pace with which these technologies change.

With experience in some of the most highly regulated and rapidly evolving industries, Sofintsys offers consulting and development services that can help you, whether you are a small start-up or a multi-national corporation, gain an advantage in this competitive marketplace.

If your software intensive system needs help from a technology, architecture, design, development and test, process, organisation or skills development perspective, then talk to us.

If you need to improve your software development productivity, but don't know what to change, or the potential impact changes will have, talk to us.

Telephone +44 1283 575609 Email [email protected] Website www.sofintsys.com

CONSULTANCY SERVICES - SOFTWARE & AUTOMATION - TESTING - TRAINING

Page 125: DIRECTORY 2017/18 - NMI · Bitwise CambridgeIQ Dialog Semiconductor EMS EquipIC Global Technologies Ichor Systems Lauterbach Development Tools MDL Technologies Microlease Presto Engineering

NMI : Manufacturing Supplier Directory 125

Working for our clients in

the UK & Internationally

we deliver technical,

engineering, management

& executive level hiring,

securing great people that

make a measurable

difference to organisations.

With teams of specialist recruiters working in the Manufacturing, Technology,

Medical Devices, Automotive, Oil & Gas & Power sectors, our clients work with

us exclusively on assignments to gain access

to a wider 'passive' candidate pool & to

ensure their brand is properly represented at

all times.

Proactively working to an agreed project plan,

seeking out great people that truly fit our

clients’ needs, we provide quality shortlists in

3 weeks - shortlists that our clients can 'see'

on our leading-edge digital interviewing

platform hence reducing their decision

making timetable and contributing to 85% of

vacancies being filled from 1st shortlist.

over 13 years’ experience in international candidate markets

wholeheartedly embrace a positive approach to diversity

accountable for results and rewarded for delivery

proactive approach to customer service for both client and candidates

able to source niche candidates in the UK & Internationally

apply rigorous selection tools, key criteria scorecards & psychometric profiling

provide comprehensive & accurate candidate information

conduct post assignment reviews & candidate feedback questionnaires

demonstrable track record of successfully supporting executive, key skills & volume hiring.

Telephone +44 141 773 2030 Email [email protected] Website www.solutions-driven.com

CONSULTANCY SERVICES

Hiring Great

People for

Great

Companies

Page 126: DIRECTORY 2017/18 - NMI · Bitwise CambridgeIQ Dialog Semiconductor EMS EquipIC Global Technologies Ichor Systems Lauterbach Development Tools MDL Technologies Microlease Presto Engineering
Page 127: DIRECTORY 2017/18 - NMI · Bitwise CambridgeIQ Dialog Semiconductor EMS EquipIC Global Technologies Ichor Systems Lauterbach Development Tools MDL Technologies Microlease Presto Engineering

NMI : Manufacturing Supplier Directory 127

SPS is a full-service distributor of small equipment, tools and consumables used in semiconductor fabrication, MEMS, & Biotechnology, including wafer handling, wet processing, photolithography, CMP and Etch / PVD / diffusion areas.

We supply a range of Equipment and Consumables including:

WAFER HANDLING: Manual wafer handling Vacuum Wands, manual

Aligners and Transfer systems, wafer Escalators, and a range of LED Inspection Lamps. Automated wafer handling tools including Aligners, Transfer systems, ID readers, Sorters, and custom engineering. Robotic wafer automation – including specialist Thin-Wafer handling capabilities.. Wafer Cassettes & Storage Boxes in a full range of SEMI designs and materials. Wafer Shipping containers (Jars, Canisters, Foams Tyvek® etc), and single wafer shipping and storage containers.

WAFERS: We supply a wide range of II-VI, III-V and specialist substrate materials for Universities and R&D or low volume specialist manufacturing.

WET PROCESSING: DI Water & Chemical Heating systems, Flow Control & Measurement for all chemicals including CMP slurry. An extensive range of Process Tanks (PTFE, PP, PVDF, Quartz), chemical Pumps, Valves and Fittings. Spin Processing systems from small tabletop Spin Coaters to fully integrated Spin-Processing Stations with automated tracking dispense, and custom systems for large format FPD and specialist applications. We also supply "In-Deck" versions for OEM's and for integration into existing wet benches.

PHOTOLITHOGRAPHY: Mask Aligners & UV Light Sources, bench-top small R&D systems, cassette-to-cassette Automated systems, and large format FPD aligners. We also supply Nano-Imprint modules, as well as a range of UV Meters & Analyzers including meter Calibration services. We also have a range of high quality Stepper compatible Reticle Cases.

ETCH, CVD & DIFFUSION: For Diffusion Furnaces we supply Heating elements, Vestibule Blocks, Soft Collar insulation materials, and a full range of Gas Filters. For Etch, PVD/CVD, & RTP robots, we supply End-Effector wrist upgrades – eliminating end-effector "droop", and long-lifetime Ceramic Bearing Upgrades for reducing downtime and improving cleanliness.

CMP: CMP Retaining Rings and Conditioning Disks, Head Motor Refurbishment, as well as OEM-approved upgrades for slurry Flow Control, and other quality upgrades including VME & Pneumatics boards.

BACK-END: Dicing Film Applicators, UV Curing Systems and Die-Matrix Expanders, as well as consumable Dicing/Grinding Films (standard/UV), Grip Rings, Film-Frames, and their respective Shipping Containers. Wafer Probe Cleaning Sheets.

Tyvek® is a registered trade mark of E. I. du Pont de Nemours and Company.

Telephone 01750 725712 Email [email protected] Website www.sps-europe.com

CLEANROOM SERVICES & FACILITIES - EQUIPMENT SALES & REFURBISHMENT

EQUIPMENT SPARES, INSTRUMENTATION & CONSUMABLES

MATERIALS/CHEMICALS

Page 128: DIRECTORY 2017/18 - NMI · Bitwise CambridgeIQ Dialog Semiconductor EMS EquipIC Global Technologies Ichor Systems Lauterbach Development Tools MDL Technologies Microlease Presto Engineering

128 NMI : Manufacturing Supplier Directory

SPTS Technologies, an Orbotech company, designs, manufactures,

sells, and supports advanced wafer processing equipment and

solutions for the global semiconductor and micro-device industries,

with focus on the Advanced Packaging, MEMS, high speed RF device,

power management and LED markets.

The solutions offered by SPTS include market-leading silicon etch, dielectric etch, dry-

release etch, PVD, MVD and PECVD process technologies and equipment, available

with a range of wafer-handling options applicable to R&D, pilot production, or

volume production. SPTS also offers Orbotech’s Inkjet solutions for die level printing

of package marking, underfill dams and isolation layers.

Comprehensive service and spare parts support are

offered through a worldwide network of service centers and

qualified local agents.

SPTS has manufacturing facilities in Newport, Wales and

Allentown, Pennsylvania, and operates across 19 countries

in Europe, North America and Asia-Pacific. For more

information, visit www.spts.com and www.orbotech.com.

Telephone 01633 414000 Email [email protected] Website www.spts.com

EQUIPMENT SALES & REFURBISHMENT - EQUIPMENT SPARES, INSTRUMENTATION &

CONSUMABLES - EQUIPMENT SUPPORT SERVICES

MAJOR PRODUCTS

Silicon DRIE systems

Compound semiconductor and oxide etching systems

HF vapour etching systems

XeF2 vapour etching systems

Plasma enhanced CVD systems

Physical vapour deposition systems

Molecular vapour deposition systems

Thermal batch processing systems (LPCVD/APCVD)

Orbotech Inkjet™ 600 for die-level printing

Page 129: DIRECTORY 2017/18 - NMI · Bitwise CambridgeIQ Dialog Semiconductor EMS EquipIC Global Technologies Ichor Systems Lauterbach Development Tools MDL Technologies Microlease Presto Engineering

NMI : Manufacturing Supplier Directory 129

STS Ltd. was formed in

2002 to address a specific

need in the marketplace

for high quality cost

effective PVD tools and

support.

Since incorporating the business it

has grown to become the market

leader in Europe and was selected

by Novellus to provide official

support for the Legacy PVD

products supported by Novellus

after the 1997 acquisition of

Varian's Thin Film Product division.

In 2007 STS expanded this

operation with official Novellus

support into North America with the

incorporation of STS Inc in Texas.

In 2006 STS acquired the precision

parts cleaning business from Saint

Gobain Semicon. This acquisition

expanded the scope of supply of

STS to include process production

support services for the European

Semiconductor market. This

business unit is known as STS

Precision Cleaning Services.

STS continue to develop using the

business model that has served us

well since the company began.

Telephone 01592 593300 Email [email protected] Website www.sts.gb.net

CLEANROOM SERVICES & FACILITIES - EQUIPMENT SALES & REFURBISHMENT

EQUIPMENT SPARES, INSTRUMENTATION & CONSUMABLES - EQUIPMENT SUPPORT

SERVICES

Page 130: DIRECTORY 2017/18 - NMI · Bitwise CambridgeIQ Dialog Semiconductor EMS EquipIC Global Technologies Ichor Systems Lauterbach Development Tools MDL Technologies Microlease Presto Engineering

130 NMI : Manufacturing Supplier Directory

Supply Design Ltd. design and

develop high performance

power supplies for Aerospace,

Defence, Commercial and

Industrial applications.

Established in 2001, we specialise in analogue & digital power conversion & control.

From feasibility studies and prototyping through to complete power systems design,

manufacturing (through-partners) and test, we offer a range of tailored design and

on-going support services.

Our test facilities cover development, acceptance and pre-compliance and thermal

testing from 1W to 25kW. Full product test and qualification services are available

through contract partners.

Client range from established leaders like Raytheon and Scottish and Southern

Energy (SSE) needing high performance solutions; through to innovative start-ups

requiring new technology to kick-start their growth.

Contact us on 01383 842477 to discuss your requirements.

Telephone 01383 842477 Email [email protected] Website www.supplydesign.com

ANALYTICAL TOOLS & SERVICES - CONSULTANCY SERVICES - R&D SERVICES

TESTING

Page 131: DIRECTORY 2017/18 - NMI · Bitwise CambridgeIQ Dialog Semiconductor EMS EquipIC Global Technologies Ichor Systems Lauterbach Development Tools MDL Technologies Microlease Presto Engineering

NMI : Manufacturing Supplier Directory 131

Global Market

All hardware associated to

Semiconductor Test

Probe Cards Burn In Boards Final Test Boards Performance Sockets Engineering Boards Characterization Boards Emulation Boards Demo Boards Drivers Burn In Systems Semiconductor Test in

house

Telephone +44 (0) 1522 520 222 Email [email protected] Website www.synergie-cad.co.uk

IExisting Members and Synergie-CAD customers

Delta Infineon Technologies U4Global Solutions Displaylink Socionext Dialog Semiconductor Intel NXP Semiconductor Nanotech Semiconductor Qualcomm Toumaz

Services

Tester Platform Experience: Verigy - Strategic Vendor Europe LTX - Development Partner Keithley - Preferred Supplier in

Korea Credence - Global Preferred

Supplier Advantest - Development

Partner in Germany Nextest - Development Partner Teradyne - Supplier in Europe AEHR MAX - Preferred Supplier ANDO BIB - more than 5000 BIBs

manufactured

Markets

Specific Interests:

Analogue/Mixed Signal & RF (AMS)

System Level Design & Modelling (SLDM)

Design Verification Design for Manufacturing

(DFM)

Synergie-CAD is a leading

international electronic

manufacturing and design

service provider offering a full turnkey capability in test

program development,

hardware development,

design, simulation and

manufacture of complex

electronic product, systems

and assemblies for the

semiconductor test industry.

CONSULTANCY SERVICES - EQUIPMENT SALES & REFURBISHMENT - RELIABILITY TEST

- RF RELATED SERVICES - SOFTWARE & AUTOMATION - TESTING

Page 132: DIRECTORY 2017/18 - NMI · Bitwise CambridgeIQ Dialog Semiconductor EMS EquipIC Global Technologies Ichor Systems Lauterbach Development Tools MDL Technologies Microlease Presto Engineering

132 NMI : Manufacturing Supplier Directory

Telephone +44 (0) 1763 261690 Email [email protected] Website www.teknis.co.uk

ANALYTICAL TOOLS AND SERVICES - CLEANROOM CONSUMABLES - CONSULTANCY

SERVICES - MATERIALS/CHEMICALS - PACKAGING - R&D SERVICES - SOFTWARE AND

AUTOMATION - TESTING - TRAINING

Our design and manufacturing capabilities enable us to offer bespoke ESD packaging, storage systems, consumables, and/or complete turnkey solutions – all to BS EN 61340-5-1 and ANSI/ESD S20.20 ESD Standards.

As an ESD supplier to the Aerospace, Defence and OEM industries we are well versed in resolving static control issues, providing technical expertise and in-house training. Alongside our own manufacturing capabilities Teknis have long standing supply partnerships with major ESD product manufacturers, notably Wez (Switzerland), Europe’s premier producer of Blackline conductive containers, and associated products.

Teknis have over 30 years of expertise in the supply of electrostatic discharge (ESD) protection products to the electronics manufacturing and associated industries.

PACKAGING

MATERIALS HANDLING

BENCHES & BENCHWARE

EPA PRODUCTS

IONISATION

FLOOR PRODUCTS

PERSONNEL GROUNDING

TEST & AUDIT

TRAINING

EPA TOOLS

Page 133: DIRECTORY 2017/18 - NMI · Bitwise CambridgeIQ Dialog Semiconductor EMS EquipIC Global Technologies Ichor Systems Lauterbach Development Tools MDL Technologies Microlease Presto Engineering

NMI : Manufacturing Supplier Directory 133

AESIN is the catalyst

that enables rapid

innovation in

Automotive Electronic

Systems in a

collaborative and non-

competitive

environment.

The economic impact of the Internet

of Things will be measured in

$trillions.

The number of connected devices will

be measured in billions.

The resultant benefits of a connected

society are significant, disruptive and

transformational.

Yet, along with the opportunity, there

are fears and concerns about the

security of IoT systems.

The international IoT Security

Foundation (IoTSF) has been

established as a response to

those concerns.

www.iotsecurityfoundation.org

www.aesin.org.uk

ALSO AVAILABLE

AESIN

CAPABILITY

DIRECTORY

Page 134: DIRECTORY 2017/18 - NMI · Bitwise CambridgeIQ Dialog Semiconductor EMS EquipIC Global Technologies Ichor Systems Lauterbach Development Tools MDL Technologies Microlease Presto Engineering
Page 135: DIRECTORY 2017/18 - NMI · Bitwise CambridgeIQ Dialog Semiconductor EMS EquipIC Global Technologies Ichor Systems Lauterbach Development Tools MDL Technologies Microlease Presto Engineering

NMI : Manufacturing Supplier Directory 135

As a leading

global

manufacturer of

semiconductor

production

equipment,

Tokyo Electron (TEL) engages in development, manufacturing and sales in a

wide range of product fields.

Established in 1963, TEL is a leading global supplier of innovative semiconductor

and flat panel display production equipment. TEL has continuously grown by

incorporating new technologies and innovation to meet the demands of an ever-

changing world. Product lines include coater/developers, thermal processing

systems, plasma etchers, single wafer deposition systems, surface preparation

systems and other equipment supporting the manufacturing and testing of

semiconductors.

TEL is committed to preserving the global environment through high-efficiency

standards for tools, factories and offices, and through the TEL Certified Used

Equipment program. TEL Certified Used Equipment allows customers to sell

products back to TEL at industry-competitive pricing and provides customers the

opportunity to purchase superior tools with maximum cost savings. TEL’s Total

Support Package is included with every certified used tool, as well as a

comprehensive warranty.

To support this diverse product base, TEL is strategically located in 15 countries

around the world with over 100 offices worldwide.

FOR MORE INFORMATION VISIT

www.tel.com / www.telcertifiedused.com

EQUIPMENT SALES & REFURBISHMENT - EQUIPMENT SPARES, INSTRUMENTATION & CONSUMABLES - EQUIPMENT SUPPORT SERVICES

Telephone 01293 655800 Email [email protected] Website www.tel.com/eng/about/europe/tee.htm

Page 136: DIRECTORY 2017/18 - NMI · Bitwise CambridgeIQ Dialog Semiconductor EMS EquipIC Global Technologies Ichor Systems Lauterbach Development Tools MDL Technologies Microlease Presto Engineering

136 NMI : Manufacturing Supplier Directory

Teradyne is the world's largest supplier of semiconductor test equipment for logic, RF, analog, power, mixed-signal, and memory technologies. We deliver test solutions to developers and manufacturers of a broad range of

integrated circuits, packaged separately or integrated as cells in system-on-a-chip

(SOC) devices. ICs tested by Teradyne are used in computing, communications,

consumer, automotive, identification, and internet applications.

Complete Turnkey Test Solutions

New device

Platform Conversion

Throughput Improvement

Utility SW tools Development

EDA/STIL/Vector Conversions

Device interface board (PIB, HIB, Probe Card) design & manufacturing

DUT-to-tester signal delivery hardware (Pogo Tower)

Mechanical infrastructure (stiffeners, pogo tower loader, cables)

Test equipment and Test Program Development Services to the semiconductor industry.

Telephone 01252 844561 Email [email protected] Website www.teradyne.com

EQUIPMENT SALES & REFURBISHMENT - EQUIPMENT SUPPORT SERVICES - TESTING

SERVICES

MARKETS

Page 137: DIRECTORY 2017/18 - NMI · Bitwise CambridgeIQ Dialog Semiconductor EMS EquipIC Global Technologies Ichor Systems Lauterbach Development Tools MDL Technologies Microlease Presto Engineering

NMI : Manufacturing Supplier Directory 137

Find out more at the NMI website: www.nmi.org.uk

FREE FOR NMI MEMBERS—SPONSORSHIP OPPORTUNITIES

Page 138: DIRECTORY 2017/18 - NMI · Bitwise CambridgeIQ Dialog Semiconductor EMS EquipIC Global Technologies Ichor Systems Lauterbach Development Tools MDL Technologies Microlease Presto Engineering

138 NMI : Manufacturing Supplier Directory

Thermco Systems designs

and manufactures furnace

systems, custom wet benches

and chemical handling

equipment for the

semiconductor, MEMS, LED,

photovoltaic and

nanotechnology industries.

The Thermco Innovation &

Manufacturing Centre has its

headquarters in the UK. Manufacturing to a high standard our quality products

provide high uptime, fast throughput and excellent cost of ownership.

With decades of experience in providing customer solutions, Thermco Systems

work with customers to understand their requirements and meet their needs

whether it be for new systems, upgrades, retrofits, repairs, custom-made solutions

or support services.

Telephone +44 (0)1903 891700 Email [email protected] Website www.thermcosystems.com

EQUIPMENT SALES & REFURBISHMENT - EQUIPMENT SPARES, INSTRUMENTATION & CONSUMABLES - EQUIPMENT SUPPORT SERVICES - VACUUM RELATED

EQUIPMENT & SERVICES

SERVICES PRODUCTS

Custom Design and Engineering Support

Upgrades and Repairs for all Thermal applications, including vertical furnace controls and retrofits

Process support and characterisation

Bespoke Gas Systems and Abatement Control

Installation and de-commissioning

Training – Process and maintenance, on site or at our factory

Spare parts

Lab Design and services

Full range of furnaces and wet process systems for:

-Semiconductor -Photovoltaics -Nanotechnology applications -CNT / Graphene growth -LED -MEMS

Horizontal & Vertical furnaces for Production and Research and Development

PCMUX furnace control system with advanced Auto-Diagnostic capabilities

Wet chemical, Etch, Plating and Cleaning Systems

Page 139: DIRECTORY 2017/18 - NMI · Bitwise CambridgeIQ Dialog Semiconductor EMS EquipIC Global Technologies Ichor Systems Lauterbach Development Tools MDL Technologies Microlease Presto Engineering
Page 140: DIRECTORY 2017/18 - NMI · Bitwise CambridgeIQ Dialog Semiconductor EMS EquipIC Global Technologies Ichor Systems Lauterbach Development Tools MDL Technologies Microlease Presto Engineering

140 NMI : Manufacturing Supplier Directory

TKS Partnerships

(TKSP) provides

comprehensive

marketing services

and technology

strategy advice.

We can help you position

your product, service or

organisation, or help you

integrate your product

roadmap, marketing and

sales strategies in one

cohesive and pragmatic

plan.

We can bring together your product roadmap, marketing and sales strategies in

one cohesive and pragmatic plan, or work with your existing management team

in any of marketing, business strategy or product roadmaps to help you

compete effectively in global markets.

We are all about complementing your core management team with many years

experience in marketing, strategic business development and product planning

as well as R&D for semiconductors, consumer and automotive product

development & manufacture and embedded software.

With 10 years as CMO of one of the world’s

leading semiconductor IP companies, we

can help you promote, differentiate,

manage & exploit all of your hardware and

software assets. And with 25 years R&D

management experience running five

different product design teams across

SoCs, mixed signal ASICS, consumer

products and automotive subsystems, we

have plenty of technology depth to

understand any of your deepest

technologies quickly. We work with

engineering leads through to CxOs, and are

particularly strong in relating advanced

technology concepts to investors and non-

technical audiences.

Telephone +44 7392 844727 Email [email protected] Website www.kingsmith.tech

CONSULTANCY SERVCES - MARKETING SERVICES

Page 141: DIRECTORY 2017/18 - NMI · Bitwise CambridgeIQ Dialog Semiconductor EMS EquipIC Global Technologies Ichor Systems Lauterbach Development Tools MDL Technologies Microlease Presto Engineering

NMI : Manufacturing Supplier Directory 141

BACK

COVER

This is the

major social

and

networking

event of the

year for the

UK and

Ireland’s

Electronic

Systems

Industry.

Last year we welcomed almost 400 Executives and

Professionals, and we’re aiming to repeat that

success this year – make sure you join us!

The celebrations are taking place in

London in November .

Full details will be available on the

website as they become available.

WWW.NMIAWARDS.UK

Page 142: DIRECTORY 2017/18 - NMI · Bitwise CambridgeIQ Dialog Semiconductor EMS EquipIC Global Technologies Ichor Systems Lauterbach Development Tools MDL Technologies Microlease Presto Engineering
Page 143: DIRECTORY 2017/18 - NMI · Bitwise CambridgeIQ Dialog Semiconductor EMS EquipIC Global Technologies Ichor Systems Lauterbach Development Tools MDL Technologies Microlease Presto Engineering

NMI : Manufacturing Supplier Directory 143

EQUIPMENT SALES & REFURBISHMENT - EQUIPMENT SPARES, INSTRUMENTATION & CONSUMABLES - EQUIPMENT SUPPORT SERVICES - TRAINING

VACUUM RELATED EQUIPMENT & SERVICES

Trymax manufactures, sells and supports its own

range of ashing and isotropic etching equipment .

Trymax’s modern NEO platforms are advanced

design, high productivity tools manufactured

specifically for ashing and isotropic etching

applications.

NEO platforms can be configured with any

of 4 different process modules :

Downstream microwave

RF Etch

Dual Source (Microwave plus RF)

DCP Source (Direct Coupled Plasma)

We focus

exclusively on

plasma

based photo

resist

removal

(ashing and

descum),

surface

cleaning and

isotropic

etch

Telephone 07725 786529 Email [email protected] Website www.trymax-semiconductor.com/

Page 144: DIRECTORY 2017/18 - NMI · Bitwise CambridgeIQ Dialog Semiconductor EMS EquipIC Global Technologies Ichor Systems Lauterbach Development Tools MDL Technologies Microlease Presto Engineering

144 NMI : Manufacturing Supplier Directory

TVS was formed in 2008 to provide specialist test and verification services and

products to the worldwide semiconductor and embedded systems industries,

including:

TVS is able to deliver start-of-the-art solutions by keeping abreast of latest

developments through attending, speaking at and organising industry

conferences and events, and by writing leading edge articles on test and

verification methodologies and tools.

Telephone +44 (0)7796307958 Email [email protected] Website www.testandverification.com

CONSULTANCY SERVCES - R&D SERVICES - SOFTWARE & AUTOMATION - TESTING - TRAINING

Conformance to safety and security standards such as DO178/254, ISO26262, IEC62304, IEC61508

ISO2626 adoption and compliance Pre-silicon hardware verification services DFT

AMS verification

Post-Silicon validation

Requirements Management prod-uct and services

Verification IP

Software Testing

Page 145: DIRECTORY 2017/18 - NMI · Bitwise CambridgeIQ Dialog Semiconductor EMS EquipIC Global Technologies Ichor Systems Lauterbach Development Tools MDL Technologies Microlease Presto Engineering

NMI : Manufacturing Supplier Directory 145

We are a unique company that provides fulfilment solutions on both the sell and buy side of your business. From marketing campaigns to outsourced procurement and second sourcing, the company uses its global networks of partners, customers and suppliers to help your business succeed.

We like to think of ourselves as an extension to your own company, understanding your needs then executing efficiently on the agreed plan. We pride ourselves on our open and honest business ethic, and long-term relationships with our customers and suppliers.

Outsourced Procurement, Sourcing and Fulfilment

We operate as your own procurement organisation without the headcount and associated costs, usually saving money in the process. Supply chain and cost reduction programmes have led to a wide range of products being sourced, achieving savings from non-technical everyday items as well as high technology specialist equipment. We manage all shipment and tracking issues, ensuring on-time deliveries.

Budgeting Services

Our independent status means we offer impartial advice and can provide multiple options for discussion and decision making with management. We have been involved in early stage development of several companies.

Sale, Resale and Remarketing

Our Internet and global presence gives us a platform to market goods and services across the globe quickly and efficiently. Company Start-Up Services

Experience in many high tech start-up businesses allows us to provide guidance, and advice on several aspects of businesses in their early stages.

Database, Internet and Software

We have excellent developers and understanding of the business needs from simple websites through e-commerce to full supply chain and ERP integration.

EQUIPMENT SALES & REFURBISHMENT - EQUIPMENT SPARES, INSTRUMENTATION & CONSUMABLES - EQUIPMENT SUPPORT SERVICES

02380760909

[email protected]

www.u4global.com

Telephone

Email

Website

Page 146: DIRECTORY 2017/18 - NMI · Bitwise CambridgeIQ Dialog Semiconductor EMS EquipIC Global Technologies Ichor Systems Lauterbach Development Tools MDL Technologies Microlease Presto Engineering

146 NMI : Manufacturing Supplier Directory

CONSULTANCY SERVICES - SOFTWARE & AUTOMATION - TESTING

Vector provides OEMs and

suppliers of automotive and

related industries a

professional and open

development platform of

tools, software components

and services for creating

embedded systems.

For 25 years, Vector has been your

partner for development of

embedded electronics.

More than 1,400 employees at 18

locations worldwide support

manufacturers and suppliers in the

automotive industry and related

sectors with a professional platform

of tools, software components and

services for developing embedded

systems.

Telephone +44 121 788 7900 Email [email protected] Website www.vector.com

Page 147: DIRECTORY 2017/18 - NMI · Bitwise CambridgeIQ Dialog Semiconductor EMS EquipIC Global Technologies Ichor Systems Lauterbach Development Tools MDL Technologies Microlease Presto Engineering

NMI : Manufacturing Supplier Directory 147

MATERIALS & CHEMICALS

We synthesise, grow (as

single crystals), cut and

polish wafers (2”, 3”, 4” and

larger) of Indium

Antimonide, Gallium

Antimonide, Indium

Phosphide, Indium Arsenide

and Gallium Arsenide as well

as synthesise and supply

polycrystalline Indium

Phosphide and Gallium

Arsenide.

These products are used as critical starting materials in many electronic and

optoelectronic applications which include laser diodes, LEDs, infrared detectors

and solar cells.

All activities take place at a 50,000sq ft. building in Milton Keynes which includes

clean room facilities.

The company is a subsidiary of IQE Plc, which is based in Cardiff, Wales.

Telephone 01908 210444 Email [email protected] Website www.wafertech.co.uk

Wafer Technology Ltd is a

manufacturer of III-V

semiconductor materials

and epitaxy-ready

substrates.

Page 148: DIRECTORY 2017/18 - NMI · Bitwise CambridgeIQ Dialog Semiconductor EMS EquipIC Global Technologies Ichor Systems Lauterbach Development Tools MDL Technologies Microlease Presto Engineering

148 NMI : Manufacturing Supplier Directory

EQUIPMENT SALES & REFURBISHMENT - EQUIPMENT SPARES, INSTRUMENTATION &

CONSUMABLES - EQUIPMENT SUPPORT SERVICES - MATERIALS/CHEMICALS

VACUUM RELATED EQUIPMENT & SERVICES

For over 25 years West European Semiconductor Technology has been a European Distributor of equipment and materials to

Fabs, OEMs & Universities.

Telephone +44 1932 868006 Email [email protected] Website www.west.eu.com

Quartz & Ceramics LSP

Quartz fabrication & repair. Furnace

tubes,

boats and quartzware. Epi chambers.

Ceramic precision parts: Al2O3, ZrO2,

SiC, Si3N4, AlN

Gas Handling

NuPure

POU gas purifiers, offering sub ppb purity in H2, N2, hydrides, inerts.

Qualiflow

Digital & Analogue MFCs for Gas Liquid & Vapour

Bellows and Diaphragm valves. Gas Panels.

Equipment spares, cleaning and Repairs

CE-MAT

Si boats, SiC boats, SiC coated graphite susceptors and components.

Ion Implant, Etch, Epi, PVD spares. Quartz, Si, SiC, Mo, W, Ta, BN, Graphite.

Ceramic e-chuck

Thermal Processing

Jipelec

Rapid Thermal

Processors. Up to 300

mm, water-cooled metal

chambers.

Semco/Qualiflow

Furnaces, from R&D to

production. Processes,

including the renowned

LYDOP. Upgrades &

components, elements,

vestibule blocks,

controllers.

Substrates & Epi

InPACT, CMK, NovaSiC

InP, GaAs, Ga. SiC polishing, reclaim

& epi.

Page 149: DIRECTORY 2017/18 - NMI · Bitwise CambridgeIQ Dialog Semiconductor EMS EquipIC Global Technologies Ichor Systems Lauterbach Development Tools MDL Technologies Microlease Presto Engineering

NMI : Manufacturing Supplier Directory 149

Xcerra Corporation is comprised of

four businesses in the

semiconductor and electronics

manufacturing test markets: atg-

Luther & Maelzer, Everett Charles

Technologies, LTX-Credence and

Multitest. The combination of these businesses creates a company with a broad spectrum of semiconductor and PCB test expertise that drives innovative new products and services, and the ability to deliver to customers fully integrated semiconductor test cell solutions.

The Company addresses the broad, divergent requirements of the mobility, industrial, automotive and consumer end markets. Additional information can be found at www.xcerra.com or at each product group’s website; www.atg-lm.com, www.ectinfo.com, www.ltxc.com and www.multitest.com.

EQUIPMENT SALES & REFURBISHMENT - EQUIPMENT SPARES, INSTRUMENTATION & CONSUMABLES - EQUIPMENT SUPPORT SERVICES - RELIABILITY TEST

RF RELATED SERVICES - TESTING - TRAINING

Telephone Office +32 15 640582 Mobile +32 475 919034 Email [email protected] Website www.xcerra.com

Page 150: DIRECTORY 2017/18 - NMI · Bitwise CambridgeIQ Dialog Semiconductor EMS EquipIC Global Technologies Ichor Systems Lauterbach Development Tools MDL Technologies Microlease Presto Engineering