advances in surface acoustic wave technology, systems

374

Upload: arsham2010

Post on 24-Apr-2015

504 views

Category:

Documents


10 download

TRANSCRIPT

Page 1: Advances in Surface Acoustic Wave Technology, Systems
Page 2: Advances in Surface Acoustic Wave Technology, Systems

ADVANCES IN SURFACE ACOUSTIC WAVE TECHNOLOGY, SYSTEMS AND APPLICATIONS (Voi.2)

Page 3: Advances in Surface Acoustic Wave Technology, Systems

SELECTED TOPICS IN ELECTRONICS AND SYSTEMS

Editor-in-Chief: M. S. Shur

Published

Vol. 1: Current Trends in Integrated Optoelectronics ed. T. P. Lee

Vol. 2: Current Trends in Heterojunction Bipolar Transistors ed. M. F. Chang

Vol. 3: Current Trends in Vertical Cavity Surface Emitting Lasers ed. T. P. Lee

Vol. 4: Compound Semiconductor Electronics: The Age of Maturity ed. M. Shur

Vol. 5: High Performance Design Automation for Multichip Modules and Packages ed. J. Cho and co-ed. P. D. Franzon

Vol. 6: Low Power VLSI Design and Technology eds. G. Yeap and F. Najm

Vol. 7: Current Trends in Optical Amplifiers and Their Applications ed. T. P. Lee

Vol. 8: Current Research and Developments in Optical Fiber Communications in China eds. Q.-M. Wang and T. P. Lee

Vol. 9: Signal Compression: Coding of Speech, Audio, Text, Image and Video ed. N. Jayant

Vol. 10: Emerging Optoelectronic Technologies and Applications ed. Y.-H. Lo

Vol. 11: High Speed Semiconductor Lasers ed. S. A. Gurevich

Vol. 12: Current Research on Optical Materials, Devices and Systems in Taiwan eds. S. Chi and T. P. Lee

Vol. 13: High Speed Circuits for Lightwave Communications ed. K.-C. Wang

Vol. 14: Quantum-Based Electronics and Devices eds. M. Dutta and M. A. Stroscio

Vol. 15: Silicon and Beyond eds. M. S. Shur and T. A. Fjeldly

Vol. 16: Advances in Semiconductor Lasers and Applications to Optoelectronics eds. M. Dutta and M. A. Stroscio

Vol. 17: Frontiers in Electronics: From Materials to Systems eds. Y. S. Park, S. Luryi, M. S. Shur, J. M. Xu and A. Zaslavsky

Vol. 18: Sensitive Skin eds. V. Lumelsky, Michael S. Shur and S. Wagner

Vol. 19: Advances in Surface Acoustic Wave Technology, Systems and Applications (Two volumes), volume 1 eds. C. C. W. Ruppeland T. A. Fjeldly

Page 4: Advances in Surface Acoustic Wave Technology, Systems

Selected Topics in Electronics and Systems - Vol. 20

ADVANCES IN SURFACE ACOUSTIC WAVE TECHNOLOGY, SYSTEMS AND APPLICATIONS (Voi.2)

Editors

Clemens C. W. Ruppel Siemens AG, Germany

Tor A. Fjeldly Norwegian University of Science and Technology, Norway

fe World Scientific l l Singapore • New Jersey London • Hong Kong

Page 5: Advances in Surface Acoustic Wave Technology, Systems

Published by

World Scientific Publishing Co. Pte. Ltd.

P O Box 128, Farrer Road, Singapore 912805

USA office: Suite IB, 1060 Main Street, River Edge, NJ 07661

UK office: 57 Shelton Street, Covent Garden, London WC2H 9HE

British Library Cataloguing-in-Publication Data A catalogue record for this book is available from the British Library.

ADVANCES IN SURFACE ACOUSTIC WAVE TECHNOLOGY, SYSTEMS AND APPLICATIONS — VOL. 2

Copyright © 2001 by World Scientific Publishing Co. Pte. Ltd.

All rights reserved. This book, or parts thereof, may not be reproduced in any form or by any means, electronic or mechanical, including photocopying, recording or any information storage and retrieval system now known or to be invented, without written permission from the Publisher.

For photocopying of material in this volume, please pay a copying fee through the Copyright Clearance Center, Inc., 222 Rosewood Drive, Danvers, MA 01923, USA. In this case permission to photocopy is not required from the publisher.

ISBN 981-02-4538-6

Printed in Singapore.

Page 6: Advances in Surface Acoustic Wave Technology, Systems

PREFACE

ADVANCES IN SURFACE ACOUSTIC WAVE TECHNOLOGY, SYSTEMS AND APPLICATIONS - 2

Surface acoustic wave (SAW) devices are recognized for their versatility and efficiency in controlling and processing electrical signals. Basically, we may think of a SAW device as consisting of a solid substrate with an input and an output transducer. The input transducer converts the incoming signal by the inverse piezoelectric effect into acoustic waves, which propagate along the planar surface of the solid. At the output transducer, the surface acoustic waves are reconverted to an electrical signal. Hence, a fundamental property of the SAW device is to act as a signal delay line. The relatively slow propagation velocity of the surface acoustic waves of typically 3500 m/s allows delays of several microseconds on a small chip. However, the versatility of the SAW technology lies in the great flexibility in configuring the transducers, the substrate, and the path of the propagating surface acoustic wave. Since the introduction of the first SAW devices in the mid-1960's, this flexibility has given room for a great deal of ingenuity in the design of different types of devices. This has resulted in a multitude of device concepts for a wide range of signal processing functions, such as delay lines, filters, resonators, pulse compressors, convolvers, and many more. As a consequence, the production volume has risen to millions of devices produced every day, as the SAW technology has found its way into mass markets such as TV receivers, pagers, keyless entry systems, and cellular phones. For such high-volume applications, the unit price of packaged SAW band-pass filters is in the range of up to a few US dollars. At the other end of the scale, we find specialized high performance signal processing SAW devices for satellite communication and military applications, such as radar and electronic warfare, that may run into thousands of dollars per unit.

In two issues of IJHSES, we present an overview of recent advances in SAW technology, systems and applications by some of the foremost researchers and engineers contributing to this exciting field today. The first issue on Advances in Surface Acoustic Wave Technology, Systems and Applications included the following contributions: "A History of Surface Acoustic Wave Devices" by David P. Morgan, "Thin-Films for SAW Devices" by Fred S. Hickernell, "Bulk and Surface Acoustic Waves in Anisotropic Solids" by Eric L. Adler, "Analysis of SAW Excitation and Propagation under Periodic Metallic Grating Structures" by Ken-Ya Hashimoto, Tatsuya Omori, and Masatsune Yamaguchi, "High-Performance Surface Transverse Wave Resonators in the Lower GHz Frequency Range" by Ivan D. Avramov, "SAW Antenna Duplexers for Mobile Communication" by Mitsutaka Hikita, and "Ladder Type SAW Filter and its Application to High Power SAW Devices" by Yoshio Satoh and Osamu Ikata. Here follows a survey of the seven contributions included in the second issue.

The design of modern high-performance SAW devices requires precise and efficient simulation tools. Among the several phenomenological methods proposed for the modeling of SAW structures, the coupling-of-modes (COM) model has been a favorite in practical design work. In Chapter 1, Victor Plessky and Julius Koskela review the COM approach, discuss issues related to parameter extraction, practical design, and unresolved modeling problems. This chapter contains sufficient detail to make it a valuable

Page 7: Advances in Surface Acoustic Wave Technology, Systems

vi Preface

introduction to the COM approach for analyzing SAW devices. Potentially more accurate, although less efficient, are the numerical simulations based on finite element (FEM) and Green's functions techniques. Hashimoto et al. discussed the FEM technique in the first issue, while Ali R. Baghai-Wadji considers the powerful Green's functions approach in Chapter 2 of this issue. In the latter, the author discusses the diagonalization of the three-dimensional governing and constitutive equations in transversally inhomogeneous piezoelectric media, the Green's function theory, and the calculation of self-actions in the boundary elements.

The strive for better performance in SAW devices also involves a search for more suitable materials, better technology, and the application of alternative modes of acoustic wave propagation. In Chapter 3, John A. Kosinski reviews the progress in new piezoelectric substrates for SAW devices, where the focus has been on finding "ideal" materials featuring simultaneously properties such as high piezoelectric coupling, zero temperature coefficient of frequency orientations, high intrinsic Q, zero power angle, and minimized diffraction effects, etc. The candidate materials considered in detail are gallium orthophosphate (a quartz homotype), calcium gallo-germanites (quartz isotypes), and diomignate (trigonal symmetry class 4mm). The demand for higher operating frequencies in modern communication systems and other applications has created a renewed interest in so-called pseudo surface acoustic waves (PSAWs), also known as "leaky SAWs". Of particular interest are the high-velocity pseudo surface acoustic waves (HVPSAWs). As explained by Mauricio Pereira da Cunha in Chapter 4, the superior phase velocity of these waves has made it possible to further extend the operating frequency of SAW devices.

One of the fastest growing areas for SAW devices today is in mobile communication systems, where SAW filters are used in large quantities. At present, such systems operate into the 2 GHz range (see the contributions by M. Hikata and by Y. Satoh and O. Ikata in the first issue). However, owing to the rapid growth of mobile communication systems, their operating frequencies are expected to expand to the 5 to 10 GHz range in the near future, which poses a great challenge for the SAW technology. In Chapter 5, Hiroyuki Odagawa and Kazuhiko Yamanouchi describe a SAW technology based on ultra-fine fabrication techniques for low-loss filters beyond 5 GHz.

Passive SAW sensors can communicate by radio link to a tranceiver unit over distances of 20 meters or more, without the need for wire connection and a battery. Hence, such devices are well suited for use in a wide range of sensor and identification systems. In Chapter 6, Frank Schmidt and Gerd Scholl discuss this interesting and rapidly growing application of SAW technology. A number of passive wireless SAW sensor and identification systems and their applications are presented.

The interaction of surface acoustic waves, electrons and light may give rise to a host of new phenomena of interest both to fundamental science and to applications. One example is the use of SAWs to study the dynamic conductivity of quantized, low-dimensional electron systems in semiconductor layered systems. Another example involves exotic acousto-optic effects where photoelectrically generated electron-hole pairs are separated in the strong piezoelectric SAW field and later quenched to obtain a de facto light storage and delay device. These and other related phenomena and devices are discussed by Achim Wixforth in Chapter 7.

With the two special issues on Advances in Surface Acoustic Wave Technology, Systems and Application, we have altogether presented 14 reviews on a wide range of topics related to contemporary surface acoustic wave technology. The topics range from

Page 8: Advances in Surface Acoustic Wave Technology, Systems

Preface vii

basic theory, materials and phenomena to very advanced applications in communication systems and several other areas. The editors have been very fortunate to be able to solicit contributions from some of the foremost scientists and engineers in the field, and use the opportunity to thank each one of the contributors. We also would like to thank W. Ruile and U. Rosier for their support in the selection of the different topics and authors, and for reviewing several contributions. We are certain that this collection of up-to-date information on SAW technology will be of great interest, not only to all those working with SAW related problems, but also to many more who stand to benefit from an insight into the rich opportunities that this technology has to offer.

CLEMENS C. W. RUPPEL SIEMENS AG, ZTMS 1, Otto-Hahn-Ring 6

81730 Munich/Germany

TOR A. FJELDLY UniK - Center for Technology at Kjeller

Norwegian University of Science and Technology N-2027 Kjeller, Norway

Page 9: Advances in Surface Acoustic Wave Technology, Systems

This page is intentionally left blank

Page 10: Advances in Surface Acoustic Wave Technology, Systems

Special Issue EDITORS

Clemens C.W. Ruppel was born in Munich, Germany, in 1952. In 1978

t he received the Diploma in mathematics from the Ludwig-Maximilians University of Munich, Germany. Afterwards he has participated in research projects, solving mathematical problems related to bio chemistry and power plant safety, at the university. In 1981 he joined the micro-acoustics research group at Siemens AG as a doctorate student. In 1986 he received his Ph.D. degree for works on the design of surface acoustic

b waves (SAW) filters from the Technical University of Vienna, Austria. In ,-..! 1984, he became member of the micro-acoustics group at the Corporate

Research and Development of Siemens AG in Munich. In 1990, he became Group Manager. He was responsible for the development of software for the simulation and synthesis of SAW filters. Since 1991, he has been a member of the Technical Program Committee of the IEEE Ultrasonics Symposium, and since 1997 of the IEEE Frequency Control Symposium. In 2000 he has become an elected committee member of the IEEE UFFC AdCom. He has been a voting member of IEEE 802.11. His research interests include all SAW related subjects, especially the design of bandpass filters, dispersive transducers, low-loss filters, and mathematical procedures and algorithms needed for the design and simulation of SAW devices. He is author/co-author of approximately 50 papers (including 7 invited papers) on the design and simulation of SAW filters, and sensors based on SAW devices. In his leisure time he likes to play guitar in a rock band, and enjoys cooking and dining.

s. ., ' Tor A. Fjeldly received the M. Sc. degree in physics from the Norwegian jr Institute of Technology, 1967, and the Ph.D. degree from Brown

' | Jfc University, Providence, RI, in 1972. From 1972 to 1994, he was with Max-. W$ Planck-Institute for Solid State Physics in Stuttgart, Germany. From 1974

** «£ h • t 0 1983, he worked as a Senior Scientist at the SINTEF research organization in Norway. Since 1983, he has been on the faculty of the Norwegian University of Science and Technology (NTNU), where he is a Professor of Electrical Engineering. He is presently with NTNU's Center

for Technology at Kjeller, Norway. He was Head of the Department of Physical Electronics at NTNU, and he also served as an Associate Dean of the Faculty of Electrical Engineering and Telecommunication. From 1990 to 1997, he held the position of Visiting Professor at the Department of Electrical Engineering, University of Virginia, Charlottesville, VA, and from 1997 he has been Visiting Professor at the Electrical, Computer and Systems Engineering Department, Rensselaer Polytechnic Institute, Troy, NY. His research interests have included fundamental studies of semiconductors and other solids, development of solid-state chemical sensors, electron transport in semiconductors, modeling and simulation of semiconductor devices, and circuit simulation. He has written about 150 scientific papers, several book chapters, and is a co-author of the books Semiconductor Device Modeling for VLSI (Englewood Cliffs, NJ: Prentice Hall, 1993) and Introduction to Device Modeling and Circuit Simulation (New York, NY: Wiley & Sons, 1998). He is also a co-developer of the circuit simulator AIM-Spice. Since 1998, he has been a Co-Editor-in-Chief of the International Journal of High Speed Electronics and Systems, Singapore. Dr. Fjeldly is a Fellow of IEEE and a member of the Norwegian Academy of Technical Sciences, the American Physical Society, the European Physical Society and the Norwegian Society of Chartered Engineers.

IX

Page 11: Advances in Surface Acoustic Wave Technology, Systems

This page is intentionally left blank

Page 12: Advances in Surface Acoustic Wave Technology, Systems

CONTENTS

Preface v

Coupling-of-modes Analysis of SAW Devices 1 V. Plessky and J. Koskela

Theory and Applications of Green's Functions 83 A. R. Baghai-Wadji

New Piezoelectric Substrates for SAW Devices 151 J. A. Kosinski

Pseudo and High Velocity Pseudo SAWs 203 M. P. da Cunha

SAW Devices Beyond 5 GHz 245 H. Odagawa and K. Yamanouchi

Wireless SAW Identification and Sensor Systems 277 F. Schmidt and G. Scholl

Interaction of Surface Acoustic Waves, Electrons, and Light 327 A. Wixforth

Page 13: Advances in Surface Acoustic Wave Technology, Systems

International Journal of High Speed Electronics and Systems, Vol. 10, No. 4 (2000) 867-947 © World Scientific Publishing Company

COUPLING-OF-MODES ANALYSIS OF SAW DEVICES

VICTOR PLESSKY Thomson Microsomes, SAW Design Bureau, Fahys 9

2000 Neuchatel, Switzerland

and

JULIUS KOSKELA Materials Physics Laboratory, Helsinki University of Technology P. O. Box 2200 (Technical Physics), FIN-02015 HUT, Finland

The coupling-of-modes approach for modeling and analyzing surface-acoustic wave devices is reviewed. We discuss the established formalism and survey the modifications introduced to account for phenomena such as resistivity, dispersion and in particular, the effects related to surface transverse wave and leaky surface-acoustic wave devices. The extraction of the COM parameters from experiments and theoretical simulations are considered. The design of various SAW devices such as resonators and resonator filters as well as practical aspects are discussed. Finally, the unresolved modeling problems are addressed.

1

Page 14: Advances in Surface Acoustic Wave Technology, Systems

868 V. Plessky & J. Koskela

1. Introduct ion

During the last two decades, demands set by the expansion of the mobile telecommunication industry have resulted in the introduction of a new generation of surface-acoustic wave (SAW) filters. These typically feature small losses, very small size, and operating frequencies up to 2 GHz and above.

The working principles of modern SAW devices differ essentially from those of the conventional transversal filters. Due to the high operating frequencies, the electrode thickness is no longer negligible in comparison to the acoustic wavelength. Consequently, the mass loading by the electrodes is significant, resulting in a slowing of the wave and, most importantly, in strong reflections. The reflections serve to t rap the acoustic energy inside the device. In this sense-in contrast to the transversal filters-the finite reflectivity of the electrodes is fundamental to the device operation.

The design of high-performance SAW devices requires precise and efficient simulation tools. Several phenomenological methods have been proposed for modeling and analysis of low-loss structures. These include the coupling-of-modes (COM) model, P-matrix model, equivalent circuit models, and angular spectrum of waves model, see a recent review. l Purely numerical simulators are also being developed by many au tho r s . 2 - 1 4 These typically describe the wave motion in the electrodes and the piezoelectric substrate with the finite element method (FEM) and/or Green's function techniques, and they derive device properties directly from the material's constants and the device geometry. They are potentially more accurate than phenomenological models but require intensive computation. Consequently, they are very slow at the moment and, unfortunately cannot be directly used to optimize the device performance.

The comparison of the phenomenological models1 shows that , if used correctly and with accurate parameters, almost identical results are obtained with all of them. For the case of a classic Rayleigh-type SAW on quartz substrate, with weak interactions and narrow frequency band, all models give excellent results. On the other hand, all models provide-in the best case-only satisfactory descriptions of devices employing surface transverse waves (STWs) and leaky surface-acoustic waves (LSAW) in LiTa03 substrate. The common drawback of the phenomenological models is the underlying heuristic approximations (such as ignoring higher spatial Floquet harmonics, bulk-wave scattering at the ends of an interdigital transducer (IDT), transversal acoustic radiation, etc.). Often these simplifications are reasonable, but in some cases the ignored effects result in deteriorated or even unexpected device behaviour.

The choice of the model for design and analysis of SAW devices remains, to some extent, a matter of taste. In our view, the coupling-of-modes model is physically the most adequate for the phenomena being considered: excitation, propagation and scattering of surface-acoustic waves. Really, it deals with wave quantities such as amplitudes and phases in electrode structures and it makes the phenomena easy to interpret—contrary to equivalent circuits, where the waves are represented by currents in artificial networks. Yet, the COM model avoids making too strict hy-

2

Page 15: Advances in Surface Acoustic Wave Technology, Systems

Coupling-of-Modes Analysis of SAW Devices 869

potheses on the wave behavior on each electrode. The accuracy of the results basically depends on the precision of the parameters used in the modeling. Both experimental data and numerical calculations are widely used to determine the COM parameters.

In this review paper we concentrate on the COM formalism and its applications

to SAW device design and analysis. The advantages of the COM approach can be

summarized as follows:

• For industry, the COM model provides an efficient and a highly flexible approach for modeling various kinds of SAW devices. In research, it serves as a conceptual background for the interpretation of the results of more advanced models.

• The COM model is physically transparent: initially ignored parasitic and secondary effects are easily incorporated in the theory.

• Accurate results are obtained—good agreement is guaranteed for Rayleigh waves in long periodic structures within narrow frequency band and rather weak interaction. However, good results are often obtained even when the model is not supposed to work.

• In many important cases, algebraic formulas may be obtained for the quantities of interest. Thus, COM enables extremely fast computer simulations and it may directly be used in optimization algorithms, even if thousands of frequency points are needed in the filter design.

For these reasons, the COM model is widely utilized in practical design work in many leading SAW companies.

The structure of this paper is as follows. The rest of this Section concentrates on the background of the coupling-of-modes approach: the history of the theory and the phenomenology of waves propagating in a periodically perturbed medium. The second Section reviews the established coupling-of-modes formalism for surface-acoustic wave devices, whereas Section three discusses the modifications suggested to the theory in order to include various parasitic and secondary effects in the framework. The practically important issue of parameter extraction is considered in Section four, while the actual design and analysis of SAW devices are the topics of Section five. Sections six concludes the paper.

1.1. History

The coupling-of-modes formalism is a particular branch of the highly developed theory of wave propagation in periodic media, which has an exciting history of more than 100 years. We will not review here this theory in general. An excellent review of many theoretical aspects of the wave propagation in periodic media and applications was written by C. Elachi.15 The theory covers an amazing variety of wave phenomena, including the diffraction of EM waves on periodic gratings,

3

Page 16: Advances in Surface Acoustic Wave Technology, Systems

870 V. Plessky & J. Koskela

their propagation in periodic waveguides and antennas, optical and ultrasonic waves in multilayered structures, phonon propagation and X-ray scattering in crystals, quantum theory of electron states in metals, semiconductors and dielectrics. Later applications include distributed Bragg reflectors for lasers, SAW propagation in periodic electrode structures and grooves, magnetostatic waves, arrays of domains, acoustooptics, fiber optics, liquid crystals, etc.

For a wave incident on a periodically perturbed region, a particularly strong reflection occurs if the period of the grating, p, is equal or close to half of the wavelength, A: A = 2p. This is the Bragg condition, fundamental for all types of waves. Under this condition, the reflected waves are in phase and interfere constructively. Therefore, even for weak reflectors with a small reflection coefficient r < l , the total reflectivity may be close to unity if the number of reflectors N is sufficiently large, Nr ~ 1. Propagation of waves into the media is prohibited; this is so-called stopband phenomenon.

Very early it was recognized that many problems concerning wave propagation in an infinite periodic medium may be formulated mathematically as a Mathieu equation, and it was shown that the solutions may generally be treated in the form of Bloch waves. With the Bloch waves decomposed as a discrete sum of space harmonics, the governing equation can be represented as an infinite set of algebraic equations, coupling the harmonics to each other. The matrix truncation approach may be followed to find a solution: supposing that the high-order harmonics exceeding some threshold are negligible, they may be ignored and the resulting finite system of linear equations may be solved numerically. Moreover, in most cases of interest only the incident wave and one reflected wave have significant amplitudes, while all other harmonics remain small. Then, only the two strongly interacting harmonics may be considered: this is known as the coupling-of-modes approximation. The two equations obtained may be presented algebraically or, if the changes in the amplitudes on one period are small, the equations may be written in the form of differential equations.

The coupling-of-modes approach has been extensively used since the 1950's in various problems related to optics and electromagnetism,15,16 mainly for the description of the wave propagation in periodically perturbed media, or structures with periodic geometry. The case of SAW devices resembles lasers in that the excitation and reflection of waves are distributed and occur in one and the same basic element, which for SAWs is the interdigital transducer17 (IDT). As a rough attempt to sketch the history of COM for SAW we cite a few basic contributions, without pretending to give all important references or establishing exact priorities. The model was introduced to the SAW field by Suzuki18 and Haus.1 9 '2 0 '2 1 Subsequently, transduction and current generation were included, and an analytic solution for uniform structures was found by Hartmann2 2 '2 3 and others.24 Chen and Haus showed that all parameters are determined by the open- and closed-circuit conditions.25 Wright formulated the theory for spatially varying parameters and included the propagation loss and finite electrode resistivity into the formalism.26 Abbott estimated

4

Page 17: Advances in Surface Acoustic Wave Technology, Systems

Coupling-of-Modes Analysis of SAW Devices 871

the COM parameters based on theoretical grounds.27 Biryukov et al. derived the COM equations in the frame of the perturbation theory using the surface impedance formalism.28 The progress made in 90s mainly concerns the extraction of the COM parameters2 9 '3 0 '3 1 and the extension of the model to cover effects related to shear horizontal surface-acoustic waves, such as surface transverse waves ' ' (STWs) and the commercially extremely important leaky surface-acoustic waves35 (LSAWs) on rotated Y-cut lithium tantalate (LiTaOa) and lithium niobate (LiNbOa) substrates.

1.2. Wave propagation in periodic structures

1.2.1. Loaded wave equation

Although in this paper we concentrate on the coupling-of-modes approximation, we start with the more accurate and general Floquet approach.15 Consider the loaded wave equation

(^+kij^) = ~ax)k20ip(x), (i)

where ko = OJ/VO, U> = 2irf is the angular frequency, and C(x) is the p-periodic load density along the coordinate x:

<(x) = Co - 2Ci cos(27ra;/p - 6T). (2)

Parameter Co measures the uniform loading while the parameters Ci and 8T describe the periodic loading.

The loaded wave equation (1) may be used to describe various types of wave propagation in a periodically perturbed medium and it is known in mathematical physics as the Mathieu equation; the solutions of the equation are Mathieu functions. For the case of most interest to us, that of surface-acoustic waves, i>o denotes the SAW wave velocity in an unloaded substrate and the load density is interpreted to describe the electric and/or mechanical loading due to the presence of the metal electrodes or grooves on the surface. The field <p(x) may be interpreted as stress, displacement, or electric potential, but usually it is normalized to the power flow, see Section 2. Throughout this paper, an implicit time dependence of the form elult

is assumed.

1.2.2. Floquet expansion: harmonic waves

The periodicity of the load implies that eigenmodes of the wave equation (1) feature the property

<p(x+p) = e-i*><p(x), (3)

where f3 may be interpreted as an unknown wavenumber of the solution. Then, according to the Floquet theorem,

<p(x) = e-**4>(x), (4)

5

Page 18: Advances in Surface Acoustic Wave Technology, Systems

872 V. Plessky & J. Koskela

where <j>(x) is a p-periodic function:

4>{x+p) = 4>(x). (5)

The generalization of the theorem for vector arguments is the famous Bloch's theorem in solid-state physics.

Owing to the p-periodicity of the function <f>(x), it has the Fourier series representation

+ 0 0

*(*) = J2 <Pne-i2™x'r, (6)

and the field assumes the form

<p{x) = Y, <Pne-^+2nn/p)x. (7)

n=—00

+ 0 0

n——00

Thus, the eigenmodes propagating in a periodically perturbed medium consist of an infinite set of discrete Floquet harmonics: a fundamental harmonic with the wavenumber (3 and an infinite number of higher harmonics with the wavenumbers

2-7T

pn=(3 + n—. (8) P

Floquet harmonics are a fundamental characteristic of wave propagation in periodic structures, independent of the physical nature of the waves and the particular geometry of the structure. Depending on the physical context, any Floquet harmonic may be interpreted as the main or incident wave accompanied by an infinite number of scattered waves. Often /3 is limited to the first Brilliouin zone.

Substituting an Ansatz of the form in Eq. (7) into the wave equation (1) yields

+ 0 0

( - ^ + ( l + 0 ) ) ^ V n - C i * § ( e - l f l ' V „ t l + e + i ? ' ¥ > * - i ) e-i/3„x = Q (g)

Thus, the Floquet harmonics are not independent but are coupled to each other. The bracketed term in Eq. (9) must vanish for all n. Consequently, an infinite set of linear homogeneous equations is found for the amplitudes <pn:

(K(3l-k2^n+^kl(e-ie^n¥l+e+ie^n_1)=Q, Vn:n£Z. (10)

(11)

Here k — UJ/V denotes the loaded wavenumber under uniform loading Co, and

v = —/

is called the loaded wave velocity. The effect of the uniform loading is (usually) to

slow the wave. Equation (10) may formally be expressed as a homogenous matrix equation15

[ K ( / ? , / ) ] - ( V ) = 0 , (12)

Page 19: Advances in Surface Acoustic Wave Technology, Systems

Coupling-of-Modes Analysis of SAW Devices 873

where K is an infinite square matrix and <p is an infinite vector consisting of the amplitudes ipn. For a nontrivial solution to exist, the determinant of the matrix must vanish:

D((3,f)=\K(/3,f)\=0. (13)

This determines the wavenumber /3 as function of the frequency w; it is the dispersion equation of the system. The eigenmodes may be determined from Eq. (12). In what follows only the two most strongly resonating harmonics will be retained, yielding the coupling-of-modes approximation.

1.3. Coupling-of-modes theory

The infinite system of linear equations, Eq. (10), is rigorous up the accuracy of the physical model in Eq. (1); no assumptions are made on the strength of the perturbation and on the amplitudes of the harmonics. There are two known approaches for reducing the infinite system into a finite one:

Algebraic approach: the system is truncated by ignoring weakly interacting high-order harmonics, resulting in a reduced system consisting of from two to perhaps a few hundred harmonics. Numerical methods may be utilized to solve the truncated system.

Differential approach: the truncated algebraic equations are linearized in /3 and transformed into linear differential equations.

The first approach is accurate and allows physical details of particular wave types to be easily retained in the formulation. Consequently, it is the theoretical basis for many rigorous methods. As discussed in Section four, numerical simulations of periodic structures involving hundreds of harmonics are employed to determine the values of COM parameters. However, since the approach requires intensive numerical calculation, it is often impractical and rarely used in device modeling.

The second approach is much more popular, since it describes the local changes in the amplitudes of a very limited number of interacting waves and thus, it allows the treatment to be approximately extended for nonuniform, finite structures.

In this paper, where we consider surface-acoustic waves in a periodic grating, the perturbation may usually be assumed to be weak and the interest is limited to a small frequency range around the center frequency /o = v/2p. Then, although the coupling between the Floquet harmonics is usually weak, a few harmonics interact very strongly and dominate the field. Consequently, all other harmonics may be ignored or may be taken into account as small corrections. This is called the coupled-mode approach, or the coupling-of-modes model. Let us consider in some detail the two ways of writing the coupling-of-modes equations; the algebraic and the differential approach.

7

Page 20: Advances in Surface Acoustic Wave Technology, Systems

874 V. Plessky & J. Koskela

1.3.1. Coupling-of-modes equations in algebraic form

We now limit the consideration to a weak periodic perturbation, |£j| -C 1. Due to the perturbation, the wave field consists of coupled Floquet harmonics. Particularly strong coupling occurs if the wavenumber (3 is close to a multiple of the half structure wavenumber ir/p,

/ ? « r a - , neZ, (14)

p

such that

/?_„ = / ? - « — « - / ? . (15) P

This is the Bragg condition. It may be interpreted as the wave components ±/3 being reflected to each other; these reflections are called Bragg reflections. Below and in Section 1.3.3. the Bragg reflections will be shown to lead to a resonance and the formation of a stopband. The phenomenon of Bragg reflections giving rise to a stopband is another fundamental characteristic of waves propagating in a periodically perturbed medium.

For frequencies close to the n th harmonic of the center frequency,

/ « n / 0 = nj-, (16)

the coefficients Koo and ifr_n>_„ in Eq. (12) are very small while the other diagonal elements remain considerable. For the assumed weak perturbation, this implies that the amplitudes ifo and ip-n are large and consequently, that the two harmonics dominate the system. The other harmonics may be considered as small perturbation or be neglected.

We limit the consideration to the most usual case with n = 1. Under the Bragg condition, the wave field is then dominated by the harmonics <po and <p-\.

Truncating the system of Eqs. (10) yields the pair of equations

( / J 2 -* 2 ) W>+Ci*§*"%>-! = 0 ,

C i ^ e - ^ o + ((/3-27r/p)2-/c2 V : = «, ^

and the dispersion equation (13) assumes the form

(/?2-*2) ( V S T / P ) 2 - * 2 ) - (Ci*2,)2 = 0. (18)

Equations (17) and (18) contain the coupling-of-modes theory in algebraic form. Solutions to the equations are identical to those of the COM equations in differential form, considered below.

1.3.2. Coupling-of-modes theory in differential form

To derive the COM equations in differential form, the perturbation is again as

sumed weak and the frequencies of interest are limited close to the center frequency

Page 21: Advances in Surface Acoustic Wave Technology, Systems

Coupling-of-Modes Analysis of SAW Devices 875

/3 = - + « , P

IT

P

f0 = v/2p. Consider a field satisfying the Bragg condition (14), with the wavenum-ber /3 presented as a small deviation q from the crystal wavenumber ir/p:

(19)

The dispersion relation to be derived determines q as a function of the frequency

shift from the center frequency.

Retaining only the main harmonics, n = 0 and —1, the Floquet expansion in

Eq. (7) assumes the form

<p(x) = ipo e~iqx e-™

x/p + tp-! e~i<lx e™x'p. (20)

The spatial variation of the field is governed by strongly oscillating factors e± t , r x / P ,

whereas the factors e~lqx describe slow variations. Therefore, we define a variable transformation to separate the strong phase oscillations from the slow variations as follows:

<p(x) = R(x) e-***l* + S(x) e+i*x'p. (21)

Substituting this Ansatz into the wave equation (1) results in a new differential equation for the slowly-varying fields R(x) and S(x). However, provided that the fields indeed vary slowly,

and

d2i?

d ^ W

d 2 S . . d^{x)

<

«

„ . i d i ? , , 2i- — (x)

p dx

p dx

(22)

(23)

Under the assumptions (22) and (23), the l.h.s. of the loaded wave equation (1) may be approximated as

( I ) e

+ k%S(x)- (-\ S{x) j e +^/P . . „.7rd5(a;) + | +2i -^- ;

p dx

On the other hand, substituting Eq. (21) into the r.h.s. of Eq. (1) yields

C{x) <p{x) = -Ci e+ie'R(x)e-3i™/p

+ ((0R(x)-(1e+ie'S(x))e-i™/p

+ (CoS(x) - Cie -4*' R(x)) e+i"x'p

- Cie- i 9 r S(x)e+3i*x'p.

(24)

(25)

9

Page 22: Advances in Surface Acoustic Wave Technology, Systems

876 V. Plessky & J. Koskela

Comparing Eqs. (24) and (25) and retaining only the main harmonics, the loaded wave equation assumes the form of two coupled equations

( - 2 i ~ + k20 - (jj J R(x) = -(»k%R(x) + Cifcg e+ie' S(x),

( + 2 i ~ + *§ - (j) J S(x) = +Ci*g e-»'R(x) - Cok20S(x).

(26)

Re-organizing the terms, the equations may be expressed as

dR(x)

da: dS(x)

da;

= — iSR(x) + iK,S(x),

= -IK*R(X) +iSS(x).

Here we have defined a detuning parameter

~ ~2w ~ 2p

and a coupling parameter, the reflectivity

CiP, K, — _ " ~ Kn £

2?r

.2 ^iflr

(27)

(28)

(29)

Above, in Eq. (28), k again denotes the loaded wavenumber. Close to the center

frequency of the grating /o = v/(2p) , such that A; « 7r/p,

6 = P_

2TT k-1

P k +

P (30)

and the reflectivity K may be approximated as a constant. Equations (27) and (30) form the COM model in differential form.

1.3.3. Eigenmodes and dispersion curves: stopband

We now proceed to study the eigenmodes of the periodic system. The coupling-of-modes equations (27) constitute a pair of coupled homogeneous first-order differential equations. We look for solutions of the form

R(x) S(x)

Ro So

Substitution into Eq. (27) yields algebraic linear homogeneous equations:

J (S-q) RO-KS0 = 0

| K*RQ - (S+q) So = 0.

(31)

(32)

With the definitions (28) and (29), this pair of equations is exactly the same as

the algebraic COM equations (17). However, note that the differential approach con

tains the approximation (30), whereas none is required in the algrabraic approach.

10

Page 23: Advances in Surface Acoustic Wave Technology, Systems

Coupling-of-Modes Analysis of SAW Devices 877

n/p

()

Fig. 1. COM dispersion relation: the wavenumber q = q' + iq" as a function of frequency. Solid and dotted curve: real and imaginary parts, respectively. Dashed line: uniform loading.

Setting the determinant of the system to vanish, perhaps the most important result of the COM model is obtained:

= ± / j 2 T " Imq< 0. (33)

This relationship determines the slowly-varying wavenumber q of the eigenmodes as a function of frequency; it is known as the COM dispersion relation. Including the fast spatial oscillations yields the corresponding physical wavenumber P = Q/2+q. Mathematically, both signs of the square root in Eq. (33) yield equal results. However, physically the imaginary part q" should always be chosen nonpositive, and the homogeneous solution be normalized as follows:

R{x) S[x)

q + S

£-iqx + B q + S 1

,iqx (34)

Here, A and B are arbitrary coefficients of the two eigenmodes. Both eigenmodes may be interpreted as combinations of an incident wave and a reflected wave. The choice of the sign in Eq. (33) guarantees that the incident wave does not grow in the direction of propagation. The reflected wave has a phase velocity opposite to the incident wave, and a relative magnitude equal to the amplitude reflection coefficient in an infinite array.

The dispersion relation (33) is shown in Pig. 1 for finite reflectivity. The figure illustrates in detail the stopband phenomenon: for small values of the detuning the wavelength is close to the periodicity 2p, leading to the Bragg reflections discussed

11

Page 24: Advances in Surface Acoustic Wave Technology, Systems

878 V. Plessky & J. Koskela

in Section 1.2.2. Due to the reflections, the eigenmodes attenuate in their direction of propagation. The frequency range

f-fo /o

< ^ (35)

with pronounced attenuation is known as the stopband, manifested in Fig. 1 as the appearence of a strong imaginary component q" of the wavenumber. The width of the stopband and the attenuation are determined by the magnitude of the reflection coefficient K, with the imaginary part assuming a maximum value — |K| at the center frequency. For a large detuning the wavenumber approaches that for the uniformly loaded case. However, note that the consideration here is limited to the vicinity of the first stopband.

1.3.4. Comment on the terminology

The terminology presented in this Section may be considered as established and commonly accepted in the SAW community. However, it should be emphasized that (in an absolute majority of cases) the term coupling in this context refers to the coupling between identical modes counter-propagating in a periodic grating. Thus, terms like 'coupling-of-waves' or 'distributed reflector' would describe the phenomenology of the system better than the general term 'coupling-of-modes'. However, in some other cases, such as waveguide analysis, the periodical perturbation may result in a coupling between different waves in the system, for example between different waveguide modes—including those propagating in the same direction. For such systems the term 'coupling-of-modes' is more adequate. Occasionally one can also see a coupling between SAW modes of entirely different type, such as the conversion of LSAWs into Rayleigh waves in a periodic array of electrodes,12 or a coupling between Rayleigh waves and Lamb modes.36 These cases are also very satisfactorily described by the coupling-of-modes theory.

2. C O M Model for Surface-Acoustic Waves

This Section reviews the established coupling-of-modes formalism for surface-acoustic waves, which covers the excitation of waves via an external drive voltage V, and the current generation at the electrodes. Inclusion of parasitic effects such as resistivity and extensions for shear-horizontal waves are postponed to Section three. Extraction of the COM parameters from experiments and numerical simulations is described in Section four.

2 . 1 . COM formalism

Consider a surface-acoustic wave device, for example the synchronous uniform one-port resonator depicted in Fig. 2, consisting of an electrode structure fabricated on the surface of a piezoelectric substrate crystal. A drive voltage V connected over the bus bars of the device excites waves. In reverse, the waves propagating

12

Page 25: Advances in Surface Acoustic Wave Technology, Systems

Coupling-of-Modes Analysis of SAW Devices 879

under the electrodes cause flow of the current I. Alternatively, in the absence of the conductive electrodes the model may also be used to describe a set of grooves etched on the substrate surface.

2.1.1. Coupling-of-modes equations

Based on the preceeding discussion, we describe the wave field in the device through two counterpropagating waves, or 'modes', <p+(x) and f-{x), propagating in positive and negative x, respectively. The presence of the electrodes on the surface modifies the SAW velocity from the free-surface value and introduces a coupling between the modes. Due to the acoustic damping a small acoustic loss appears. Assuming a linear coupling between the amplitudes, voltage, and current, coupling-of-modes equations of the form

da; -i (—-h) <P+(X) + *Ki2 <fi-(x)e i2lrx/P + ia.iVt

-i-Kx/p

%M = +iK21 ^+(x)e+i2™lv + i (--i-y) <p.(x) + ia2Ve+i*°/>,

ax \v / (36)

dl(x)

dx = +ir)X ip+ (x) e+ivxlp + iri2 tp_ (x) e~ilvx/p + iuC V

are obtained. Here, velocity v, attenuation parameter 7, capacitance per unit length C, and the generally complex-valued quantities K12, K21, « i , 0:2, f)i, and 772 are the COM parameters to be determined. Due to changes, for example, in the periodicity, metallization ratio, and electrode thickness, the parameters may vary along the length of the device.

The fields are normalized to the power flow P such that

P{x) = \\<p+{x)\2-\\9_{x)\2 (37)

The coupling coefficients (reflectivities) K ^ and K2i> and the parameters 0^2 and 771,2 are not independent. The electric power consumed by the device at x is

Pel(x) = ^ ( ^ - V (38)

4= l(x)

iffi, \/M\fh>w

.P-H f lh #A><p.w

Fig. 2. Schematic synchronous uniform SAW resonator.

13

Page 26: Advances in Surface Acoustic Wave Technology, Systems

880 V. Plessky & J. Koskela

On the other hand, the increase of acoustic power at x is

Pac(x) = ~(\<p+(x)\2-\<p_(x)\2). (39)

The difference between the electric and acoustic power is the net power loss Pioss . Substituting the COM equations into Eqs. (38) and (39) yields

Pioss(x) = Pei(x) - Pac(x)

= 7 ( l ^ + ( z ) | 2 + \<P-(x)\2) -lm((K*12+K2l)9+(x)<pl(x)e+2i™/r)

-±Im((Vl+2al)p+(x)V*e+i™'r + (m-2a2')^(x)V*e-i™/'>y

(40) Since the phases of <p+(x), tf-(x), and V should be independent, the three last terms in the r.h.s. must vanish. Therefore,

iW*)=7(IMz)|2 + b-(*)|2), (41)

and we may indentify K\2 — K, K21 = — K* T?I = —2a*, and 772 = +2a2- Furthermore, the principle of time reversal states that the COM equations should remain invariant under complex conjugation with the substitutions R*(x) —>• S(x), S*(x) —>• R(x), I*(x) —• —I(x), V* —¥ V, and 7 —> —7. As a result we find ati=— a2=a.

Let us assume that the structure has a constant mechanical periodicity, pitch, p, and introduce the slowly varying fields R(x) and S(x) as follows

<p+(x)= R(x)e-^xlv, <pS(x) = S(x)e+i7rx/P.

(42)

Define a detuning parameter as

x w *" • 2 7 T ( / - / 0 ) . 0 = 27 = 17. (43)

v p v

The final COM equations assume the form

' dR(x)

dx dS(x)

da: dl(x)

da:

= — iSR(x) + inS(x) + iaV,

= -in*R(x) + iSS(x) - ia*V, (44)

= -2ia*R(x) - 2iaS(x) + IOJCV.

The system of equations (44) constitutes the core of the COM model for SAW devices. The independent parameters of the model are velocity v, attenuation 7, reflectivity K, transduction coefficient a and capacitance per unit length C. Various notations concerning the phase, sign, and normalization of the fields and the parameters appear in the literature, see the discussion in Ref. 37, but the physical

14

Page 27: Advances in Surface Acoustic Wave Technology, Systems

Coupling-of-Modes Analysis of SAW Devices 881

content of the model remains the same. The physical interpretation of the COM

parameters is discussed in Section 2.3.

2.1.2. P-matrices

Let us consider a transducer located in the region x = [xi,x2]- The slowly varying amplitudes R(x) and S(x) and the current density d/(a;)/da: are uniquely determined by the COM parameters and the boundary conditions: the drive voltage V and the amplitudes <p+(xi) and <p_(x2), representing waves arriving at the structure from the outside. The response of the structure may be characterized by the current / and the amplitudes <p+{x2) and <P-(x{) representing the waves launched by the structure, see Fig. 3. Attention must be paid to the definition of the acoustic ports X\ and x2: although the physical field is independent of the location of the origin of the coordinate system, the ports determine the reference planes for the phases of the waves.

Since the COM equations (44) are linear, the responses are linearly related to the boundary conditions. Consequently, the structure may be described through the so-called P-matrix,3 8 defined via

<P-(xi) <p+(x2)

1

Pn(f) P i a ( / ) Pia(f) PilU) P22(f) P23(f) P 3 l ( / ) P32(f) Pw(f)

¥>+(zi) <P-(x2)

V (45)

The upper left 2 x 2-submatrix describes the scattering of waves entering into the structure from the outside: P n and P22 are the reflection coefficients, while P12 = P21 is the transmission coefficient. Due to normalization of the amplitudes to the power flow, the power reflection and transmission coefficients are obtained by taking the squared magnitudes of the coefficients for the amplitudes. In the absence of attenuation (7=0), power conservation requires that

| P n | 2 + | P 2 i | 2 = l, and | P 1 2 | 2 + | P 2 2 | 2 = 1. (46)

<P+(*l)

<P-(*i)<i

\k

fi

X=XX

-o-

<p+(*2) \l -X

x=x2

b cp-(*2) yy e

Fig. 3. IDT as a black box with one electric port and two acoustic ports at x\ and X2. The P-matrix relates the current and the excited acoustic amplitudes to the applied source voltage and the arriving acoustic amplitudes.

15

Page 28: Advances in Surface Acoustic Wave Technology, Systems

882 V. Plessky & J. Koskela

The remaining components of the P-matrix are relevant only for transducers. The components P 1 3 and P 2 3 describe the excitation efficiency of the IDT. The components P 3 l and P 3 2 measure the current generated in the IDT by the arriving waves. The acoustoelectric components are not independent; for the peak-value fields used here reciprocity requires that

P 3 1 = - 2 P 1 3 and P 3 2 = - 2 P 2 3 . (47)

The 33-component of the P-matrix, the admittance, describes the acoustic and electrostatic currents due to the drive voltage V.

If the device is symmetric, i.e., bidirectional, the components of the P-matrix may be made to satisfy

P l l = P 2 2 , Pl3 = P23, P31 = P32, (48)

with an appropriate selection of the reference planes X\ and £2 . For some special cases, such as uniform structures considered in Section 2.2, the

fields may be found in closed form, but for the general case numerical methods have to be resorted to, see, e.g., Ref. 40.

2.1.3. Cascading

The principal reason for introducing the P-matrix is its convenience in modeling devices consisting of several different substructures, such as IDTs, reflectors, grooves and absorbers. Based on the assumption of locality, the substructures are treated independently and they are described using P-matrices. Linking the acoustic and electric inputs and outputs, a model for the whole device may be obtained.

As an example, consider two structures A and B, sharing one acoustic port and connected electrically in parallel, as shown in Fig. 4. The structures may be described by two P-matrices P A and P B , respectively. The rules for obtaining the net P-matrix were derived by Abbott et al.39 and they are quoted below:

1 ~~ ^ 1 1 ^ 2 2

^ = r^A=^, (so) pB pB -r12-r21

i - - P i W 2 ' P22 = P2

B2 + -P2

A21 'Inl^ (51)

pB I pB pA p „ _ pA , pA M3 + *l 1- 23 /ro\ Pl3 - P13 + Pl2 —, pBpA ' ^

1 ~ -rll-r22 pA 1 pA pB *23 + r22r]

"23 "I" ^21 — pBpA • r l l - r 2 2

^^a+^TZ:. (53) pB 1 pB pA pA 1 pA pB r 13 ~r r\\r23 , pB *23 + •r22-r 13

1 pBpA + ""31 •, pA pB 1 - •*! 1- 22 X - r?Zr\\

p _ pA , pB , pA r 13 t - 11- 23 , pB 23 f r22r\Z (K.A\ ^33 - -f33 + ^33 + " 32 " j pB pA + ^31 ~, pA pB " l04^

16

Page 29: Advances in Surface Acoustic Wave Technology, Systems

Coupling-of-Modes Analysis of SAW Devices 883

n <P+(O) 4 j u > pA

<P.(o)<iV ^ (p_(L) (i) ye/(or

Fig. 4. Cascading two structures with a common acoustic port and electrically connected in parallel.

The remaining two components are determined by the reciprocity relationships in Eq. (47).

Another frequently occurring case is a one-port resonator consisting of an inter-digital transducer surrounded by two identical reflectors, possibly separated from the IDT by gaps; if the gratings continue as per electrode the pattern in the transducer, the structure is denoted synchronous. For brevity, we assume that the transducer and both reflectors are symmetric and they are described by P-matrices P and Ps, respectively. Then, the admittance of the structure is

Y = P33 tPfiPh

i-pfl(p11 + p12y (55)

Analytic formulas for arbitrarily cascading many identical P-matrices have been derived by Morgan.41 For uniform structures these are not required in the COM theory since analytical solutions are available (see above), but the formulas are very convenient in more general cases where solutions do not exist in closed form.

2.2. Uniform structure

In a uniform structure the COM parameters are constants. For this exceedingly important special case the COM equations form an inhomogeneous system of linear first-order differential equations. In this case, the general solution may be constructed as a linear combination of the solutions to the corresponding homogeneous equations and of a particular solution. These are interpreted as shorted-grating eigenmodes and as the excited field, respectively. As a consequence, the elements of the P-matrix are soluble in closed form, enabling extremely fast numerical device simulation. Here, we assume that the device length L = Np, where TV is the number of electrodes, and define the edges as Xi = 0 and X2 — L. Consequently, the physical and slowly-varying fields are equal at x = 0, but equal or opposite at x = L, depending on whether N is even or odd, respectively.

2.2.1. Shorted-grating eigenmodes

The homogeneous part of the COM equations is obtained by omitting the excitation terms. The equations reduce to the basic model of Eq. (27), interpreted to describe

17

Page 30: Advances in Surface Acoustic Wave Technology, Systems

884 V. Plessky & J. Koskela

(a)

o

LeleetrodeJ substrate

o

V///X < p >

o

A'+i

V///X

o

• • I M

V///X .

(b) UWoeTd

v.

substrate V7WX

V+2

V77YJ -*x

Fig. 5. (a) Shorted-circuited and (b) open-circuited grating.

counterpropagating modes in a short-circuited (sc) transducer or grating, see Fig. 5. As discussed in Section 1.3.3, the solution is of the form in Eq. (34) and satisfies the dispersion relation in Eq. (33):

&(/) = v^-l«l2-The frequency region with the pronounced attenuation, 8 < \K\, is denoted as

the stopband. Neglecting the attenuation parameter 7, the lower and upper edges of the stopband are found as the zeroes of Eq. (33):

(56)

Thus, the stopband is formed around the center frequency /o , and the width of the stopband is proportional to the reflectivity K; the normalized value Kp is the reflection coefficient for one period of the structure.

2.2.2. Open-grating eigenmodes

In an open-circuited grating the electrode voltages are floating and the boundary condition is that the current density dl/dx must vanish locally? see Fig. 5. Setting the COM equation for current density to vanish yields

"-isy^&M-Substituting this into the remaining COM equations yields

( dR(x)

da;

dS(x)

da;

2a*2 \ K* + —pr )R(x) + i (>-m S(x).

(57)

(58)

°Note that it is not the same as a open-circuited transducer, i.e. a transducer with the total current equal to zero.

18

Page 31: Advances in Surface Acoustic Wave Technology, Systems

Coupling-of-Modes Analysis of SAW Devices 885

These are the COM equations for an open grating.25 They may be reduced to the

usual form by defining an equivalent detuning parameter

and an equivalent reflectivity

K + 2 * .

Consequently, the dispersion relation assumes the form

qoc = \/82oc- Kc\2-

Details of the dispersion curves are considered in Section four.

2.2.3. Excited field

The particular (forced) solution is easily found as

(59)

(60)

(61)

RE

SE 82-\K\2

8a + Ka* 8a* +n*a

V. (62)

The solution is interpreted as the excited field, arising from the drive voltage applied to an infinitely long transducer. The amplitude of the excited field is proportional to the voltage and it depends on the detuning. The wavelength corresponding to this solution is always equal to 2p.

The term in the denominator in Eq. (62) equals A 2 , the square of the COM wavenumber for the shorted eigenmodes. For a symmetric structure, K and a are both real and, depending on the sign of K, the amplitudes interfere constructively on one edge of the stopband (usually, at the edge with lower frequency) giving a rise to resonance, and destructively on the other edge.

2.2.4. General solution

Based on the above discussion, the general solution for the COM equations in a uniform structure may be expressed as

R(x) S(x)

R-(x) S.{x)

+A+ R+ix) S+(x) +

RE(X)

SE(X) V. (63)

Here V is the drive voltage, the subscripts (—) and (+) indicate the two shorted-grating eigenmodes, reducing to the counter-propagating waves in the limit of vanishing reflectivity, and the subscript E denotes the field excited. The solution is uniquely determined by solving for the coefficients A_ and A+ from the acoustic boundary conditions

R(0) = ^+(0) , S(L) = (-1)N^(L),

(64)

19

Page 32: Advances in Surface Acoustic Wave Technology, Systems

886 V. Plessky & J. Koskela

0.11' \ ' ; / ! . ' J M^_j u

-4 - 3 - 2 - 1 0 1 2

A///0 [K/ I /W]

Fig. 6. Magnitude of the reflection coefficient | fn |= |P22| for various normalized device legths KL as a function of the normalized frequency Af/fo-

where <p+(0) and <p~(L) are the amplitudes arriving at the structure from the outside, see Fig. 3. The phase factor appears because of the definition (42) of the slowly-varying amplitudes.

2.2.5. Transducer P-matrix

For an interdigital transducer, or an electrically shorted grating, the components of the P-matrix describing scattering assume the following forms:

P i in* sin(gL)

q cos(qL) + i8 sva(qL)'

-P22 =

q cos(qL) + i8sin(qL)

IK sin(qL)

q cos(qL) + iS sin(gL)'

(65)

The frequency dependence of the reflection coefficients is illustrated in Fig. 6.

For electrically open reflectors, the quantities 8, K, and q should be replaced with the corresponding variables given by Eqs. (59), (60), an (61). Since 8oc and KOC vary slowly with frequency, the frequency behaviour of the reflection coefficients is similar to that in Fig. 6.

20

Page 33: Advances in Surface Acoustic Wave Technology, Systems

Coupling-of-Modes Analysis of SAW Devices 887

For the excitation efficiencies one finds

sin(qL/2) {5a* + K*a) sin(gL/2) - ia*q cos(qL/2) 13 ~ qL/2 qcos(qL)+iSsin(qL) '

_ i\N T s i n(g-^/ 2) (Sa + Ka*)sm(qL/2) - iaqcos(qL/2) P23--(-l) qLj2 qcos(qL) + iSsm(qL)

The total current I is integrated from the active area,

^ dl(x) ex2

Jxi

Ax, (67) da;

Due to the reciprocity,

fti = - 2 P i s , ^32 = - 2 P 2 3 . (68)

The element P33, admittance, consists of two components:

P33=P£+P3E

3. (69)

The first term on the r.h.s. represents currents due to the eigenmodes generated by

the drive voltage and reflected from edges of the transducer:

osc _ 4 ((S2 + \K\2) \a\2 + 25X(K*a2))(l-cos(gL))

(70) 33 ~ q3 qcos(qL) + i6sm(qL)

4» (S\a\2 + JR(/c*a2)) qsmjqL)

q3 q cos(qL) + iS sin(qL)

whereas the second term,

T>E T ,.S\a\2+fflK*a2) . „ T P33 = ~L ±1 ' ' J 2 _ ^ | 2 + IUJCL, (71)

describes currents related to the excited field. For bidirectional uniform structures, the phases of the reflectivity K and the

transduction coefficient a cancel each other, such that K*a2 = ± | / t | | a | 2 . In this case, K, and a may be chosen real-valued by simple translation of the coordinate system, see below. Consequently, the components of the P-matrix may be expressed in the form

_ sin(gL/2) (<5 + K) sin(gL/2) — iq cos(qL/2)

qL/2 qcos(qL) + iSsm(qL) ' (72)

P23 = (-l)NP13,

while the admittance terms assume the forms

= 4a2{8+ K) (6 + K) (1 - cosjqL)) - iqsmjqL) 33 q3 qcos(qL)+iSsm(qL) ( '

21

Page 34: Advances in Surface Acoustic Wave Technology, Systems

888 V. Plessky & J. Koskela

Fig. 7. Resonance-antiresonance pattern in the admittance of an infinitely long periodic transducer. Solid and dashed curve: real and imaginary parts, respectively.

and

p E _ • • 3 3 —

4c^ '7

0 — K

L + iujCL. (74)

In long structures, the latter term dominates; the resulting admittance is illustrated in Fig. 7. A strong resonance is formed at one edge of the stopband due to constructively interfering eigenmodes; the other edge of the stopband cannot be observed since the interference is destructive. Furthermore, an antiresonance is created at the frequency where the imaginary part of the admittance crosses zero. Due to attenuation always being present in physical devices the resonance peak is of finite width and height. For more details the reader in directed to Sections 4.1 and 5.2.1, dedicated to synchronous uniform resonators.

2 .3 . COM parameters

The differential equations forming the COM model (44) cover the electric excitation, propagation, and mutual reflections of counterpropagating, plane-wave like acoustic modes in a ID effective continuous medium, as well as the generation of electric currents. These phenomena are quantitatively measured through the external COM parameters, which are to be determined by and based on the physical properties of the structure, such as materials, crystal cut, aperture, metallization ratio, and the shape of the electrodes. They also depend on the frequency and temperature.

In the equations (44), most COM parameters have the dimensions of densities. For example, the reflectivity K may be interpreted as reflectivity per unit length. This is not very practical. Instead, it is convenient to define the normalized parameters displayed in Table 1, interpreted as parameters for a unit period of length An (e.g., An = 2p for a single-electrode IDT). These ideally remain scale-invariant constants. In practice, the parameters deviate because of waveguiding, parasitics,

22

Page 35: Advances in Surface Acoustic Wave Technology, Systems

Coupling-of-Modes Analysis of SAW Devices 889

Table 1. COM parameters normalized to the aperture W and the wavelength at the center fre-nuencv An. quency Ao

parameter symbol dimension (SI) velocity v m / s

reflectivity Kp — K\Q transduction coefficient ap = aAo £2 - 1 '

normalized transduction an= ap/ J^- ft-1/2

attenuation 7P = 7A0 Neper/ Ao capacitance Cp = CXQ F

normalized capacitance Cn = Cp/W F / m

and other effects.

Since the COM theory is phenomenological, the values of the COM parameters cannot be determined from the theory itself—they must be introduced from outside. Much work has been done to determine the characteristics of SAW propagation and excitation in periodic arrays of thin (h/\o ~ 1%) electrodes25 '42 ,43 ,44 '45 '28 using perturbation theory, variational approach, or similar methods. However, relative electrode thicknesses h/\o as high as 10%, corresponding to height/width ratio of about 40%, are now used in low-loss RF filters. Such electrodes can hardly be considered thin and the results of perturbation theory are not sufficiently accurate for design applications. Nevertheless, the relations obtained are still useful in providing physical estimates for the values of the COM parameters and the device sensitivity. For this reason, we will briefly quote some main results; an interested reader is directed to the references, for example the discussions in Refs. 25, 27,46, 28. Modern methods for COM parameter extraction, based on experimental measurements and rigorous field-theoretical simulators, are considered in Section 4.

2.3.1. Velocity

SAW propagation in the absence of reflections is determined by the wave velocity v and the attenuation parameter 7. Electrical and mechanical loading by the electrodes (aluminium is commonly used) and waveguiding, usually lead to frequency-dependent slowing of the wave.

The velocity change due to the loading by a periodic array of thin electrodes may be presented as a power series expansion on the relative electrode thickness ft/Ao:

Av Av h Av A ) + . . . (75,

The expansion coefficients depend on the geometry of the electrodes. The higher the relative electrode thickness, the more terms are required in the expansion; here, we consider only the first three.

The first term in the r.h.s. is negative and it describes slowing of the wave due to the electric loading.47 Conductive electrodes on the surface short-circuit electric

23

Page 36: Advances in Surface Acoustic Wave Technology, Systems

890 V. Plessky & J. Koskela

Table 2. Parameters for loading by Al electrodes, a/p — 0.5, / J / A O = 0 - 1 %

substrate

ST-quartz X-112°Y-LiTa03

v0 [m/s]

3158 3301

( * ) . -0.04% -0.33%

f — ) -0.10 -0.053

R,

-0.04% -0.32%

Rm

-0.54 -0.43

Materials parameters for quartz and LiTa03 from Refs. 50,51.

fields, decreasing the energy flow in the wave and consequently, velocity. The term is roughly proportional to the piezoelectric coupling coefficient. The second and third terms describe the linear shift due to the mechanical loading and the so-called energy storage effect,44'48 respectively. The energy storage effect is present also in periodic arrays of grooves, where the mass loading is absent and the first order effect is negligible. For aluminum electrodes in quartz, LiNbC>3 and LiTaC>3 both terms are usually negative. Table 2 gives some idea of the values, extracted49 from rigorous simulations.

When the velocity of the surface wave is much higher than that of bulk shear wave in the (thin) aluminum electrodes, the linear term in the expansion dominates, and the SAW velocity decreases almost linearly with increasing electrode thickness. This is the case, for example, in rotated Y-cut LiNb03 and LiTaOs. On the other hand, if the velocities are close, such as in ST-quartz and 112°-LiTa03, the linear shift is very small in comparison to the energy storage effect. In some cases, such as in 45°XZ-cut lithium tetraborate,5 2 the first term is positive while the second term in negative, resulting first in an increase and then in a decrease in the velocity with growing metal thickness.

2.3.2. Attenuation

The normalized COM attenuation parameter 7P is measured in Nepers/wavelength (1 Neper « 8.6859 dB). Attenuation is also commonly measured in dB/fis:

7 « 8.6859 • 7 P / [dB/fjs], (76)

where 7P is attenuation in Neper/wavelength, v is the velocity in m/ s and / is frequency in MHz. Note, however, that the parameter includes all acoustic energy loss mechanisms in the device and that it itself also depends on frequency. There are many contributions to the SAW attenuation, such as

• the interaction with thermal phonons, inherent to crystalline material and

unavoidable at finite temperatures

• scattering on surface and near-surface defects

• air loading (or loading by another adjacent medium)

• acoustic attenuation in metal fingers

• acousto-electric interactions

24

Page 37: Advances in Surface Acoustic Wave Technology, Systems

Coupling-of-Modes Analysis of SAW Devices 891

• diffraction

• coupling with other, parasitic modes such as bulk waves.

In practice, it remains very difficult to reliably determine the attenuation parameter in electrode structures. Due to the Bragg reflections it is difficult to accurately measure the attenuation close to the stopband. Furthermore, the attenuation is very sensitive to the quality of the crystal surface (especially at GHz frequencies), and it depends on the crystal cut as well as the structure and geometry of the electrodes. Due to the presence of parasitic loss mechanisms such as coupling with parasitic modes such as BAWs, the attenuation may depend strongly on frequency. Finally, attenuation manifests itself also in the excited field as a factor limiting the amplitudes of the waves and determining the Q-factor of the resonance arising at the edge of the stopband.

Recently it was discovered53 '54 tha t the coupling of the LSAW with slow shear BAW in rotated Y-cut LiTaC>3 may be drastically reduced by an optimal selection of the cut angle and the thickness of the aluminum electrodes. For the thickness h/\o « 8%, minimal losses are attained for cut angle of about 42°. However, a problem remains, although the attenuation for LSAW should be diminished (in theory, to less than 2 '10 - 4 dB/wavelength, or 2.3-10 - 5 Neper/wavelength), electrical measurements of test devices in the 1 GHz frequency range yield attenuation parameters that are almost 2 orders higher (about 10~3 Neper/wavelength). The reasons for such high attenuation are not clear for the moment. Besides the other mentioned mechanisms, also waveguide losses are expected to contribute: although the attenuation is minimized for X-propagation, the losses increase radically for propagation directions offset from the crystal X-axis.

2.3.3. Reflectivity

The magnitude of the normalized reflectivity, KP, is equal to the absolute value of the reflection coefficient per period, and it determines the relative width of the stopband, A / / / O = | K P | / 7 T . For many important substrates, including ST-cut quartz, 36°-42°YX-cut L iTa0 3 and 64°-YX cut LiNb0 3 , the sign of KP is negative, but it may be also positive, e.g. for thick aluminium electrodes on 128°YX-cut LiNb03. The phase of K yields the reflectivity center of the period, see below.

The reflection coefficient for a thin electrode of width a in a periodic array has been studied by many authors.25 '42-43 '44-45 '55 '56 '48 '57 '58 It can also be represented as a series expansion on the relative electrode height h/\o:

r = inp = iKp/2 « iRe + i i?m sin(7ra/p) — . (77) Ao

The first term describes the reflectivity due to the piezoelectric loading by an ideally reflecting finger. The term depends on the width of the electrode and it is proportional to the piezoelectric coupling coefficient, see the comprehensive discussion in Ref. 46. The second term is due to the mechanical loading and it includes mass

25

Page 38: Advances in Surface Acoustic Wave Technology, Systems

892 V. Plessky & J. Koskela

loading and stress generation due to film deformation. Even film of the same material as the substrate (that is: an array of grooves) creates additional stresses, and the reflection coefficient is finite in the first order on H/XQ. Example values for Re

and Rm are shown in Table 2. However, the formulas should be used with caution, keeping in mind that perturbation theory applies only for small perturbations and weak piezoelectric coupling.

Numerous other configurations have been studied with perturbation theory. We will mention one interesting result: for oblique incidence of Rayleigh SAW from a reflectangular strip on an isotropic medium, the reflection coefficient is of the form59

r = i/2 [ 1 - 4 ( — ) sin2 6» j s i n / V - c o s t f j ^ - . (78)

Here, 6 is the angle of incidence, and up. and Vt denote the velocities of Rayleigh wave and transverse bulk-acoustic wave, respectively. One can see that there is a Brewster angle, 6* = arcsin(vR/2w t), between 25.9°-28.5°, for which the first order reflection coefficient vanishes. For the case of normal incidence (8 = 0), the result reduces to that in Eq. (77).

2.3.4. Transduction coefficient

The normalized transduction coefficient, ap, measures the excitation of waves due to piezoelectric coupling in a unit cell of length Ao, which in the simplest case is formed by a pair of electrodes. It has the dimension of f 2 - 1 / 2 . For a very short transducer consisting only of one period, from Eq. (66)

13 +ia*, P 2 3 « -iap. (79)

Thus, the absolute value \ap\ is equal to the magnitude of waves generated by the period under a drive voltage of unity, while the phase of the coefficient defines the location of the transduction center, see below.

As it was shown by Abbott ,2 7 the transduction coefficient ap is proportional to the piezoelectric coupling coefficient K and to the square root of the aperture W. With VR being the Rayleigh wave velocity and £s(°o) denoting the static permittivity of the substrate,2 7 , 6 0

^0(¥) e s ( o o ) (8o)

with the factor a being of the order of unity. To remove the dependence on aperture, the normalized value an — ap/^W/Xo is defined. It depends on the strength of the piezoelectric coupling and on the metallization ratio. For LSAWs on LiTa03 and LiNb03 substrates, the total mass of the electrodes also has an influence as well. Typical values of an are about 3.3-10 - 5 fi_1/2 in quartz, and 80-110-lO -5 ft"1/2

for LSAWs in LiTa0 3 and LiNb0 3 .

26

Page 39: Advances in Surface Acoustic Wave Technology, Systems

Coupling-of-Modes Analysis of SAW Devices 893

2.3.5. Capacitance parameter

The normalized capacitance parameter, Cp, measures the electrostatic storage of energy in the structure per unit period. Due to the long range of electrostatic forces, the capacitance actually depends on the length and aperture of the structure, but in long transducers the value is practically proportional to the device length. Therefore it is convenient-especially in device design-to introduce normalized capacitance Cn = Cp/W, tha t is: capacitance per period (electrode pair) and per unit length of aperture. If the aperture is expressed in micrometers, the values for LSAW-cuts in LiTaC>3 and LiNb03 substrates are about 48-64-lO"5 pF /pm.

For materials with weak piezoelectric coupling, such as quartz, the COM capacitance parameter Cp is very close to the static capacitance of the transducer per electrode pair. For this reason, the confusing term 'static capacitance' is frequently used in the literature. However, for strongly piezoelectric materials the situation is more complicated.61 It is more appropriate to consider Cv as a parameter to be fitted so as to most accurately describe the capacitive contribution to the admittance in Eq. (71) in the frequency range of interest.

2.3.6. Unidirectionality

In Section 2.2 the components of the P-matrix for a uniform structure were found to depend on the phases of the reflectivity and the transduction coefficient, 8T = LK and 6e = la, respectively. The phases depend on the locations of the reflectivity and transduction centers of the considered period, and they are connected to the directionality of the structure.

Consider the period shown in Fig. 8, with reflectivity and transduction coefficients KP and ap, respectively, and with terminals located at Xo ± Ao/2. The reflectivity center xT is defined such that the period is imagined to be replaced by a symmetric reflector with reflectivity r and located at the reflectivity center. Between the terminals and the reflector the waves are assumed to propagate with some reference wavenumber, say fco = u/v. Since the reflected waves travel the distance between the terminal and the reflection center twice, the reflection coefficients P n

and P22 may be expressed as

p _ re—iko2(xr—xo+Ao/2) P22 P 2 2 =re-ifco2(x0+A0/2-a : r)_ (8 1)

On the other hand, from COM we obtain P n « i/c* and P22 « inp. Careful comparison shows that

0r = 2k0 (xT - xo) + nir, (82)

such that f P n = ± i | / « P | e - i 2 f c » ( ^ o ) , \ P22 = ±iK|e+ i 2 f c°^°>. (S6)

The excitation center is defined in a similar fashion as the reflectivity center: waves are imagined to be excited at the excitation center, from where they propagate to

27

Page 40: Advances in Surface Acoustic Wave Technology, Systems

894 V. Plessky & J. Koskela

<t>rl=2£0(Xr-*l) ''< >v '•• S~ <|)r2 = 2^o(^2-^r)

< k l = * o f e - * l ) !"* 1 " * <t>e2=£o(*2-*e)

-M 1 -+x X]=XQ-XQI2 xt XQ xt X2=X0+XQ/2

Fig. 8. Reflections and wave excitation in a unit period, interpreted as wave propagation through the centers of reflectivity and transduction.

the terminals. Then,

such that

6e = k0 (xe - x0) + nw, (84)

(85) P13 =±i\ap\e-iko(x'-^°)

\ p23 = ^i\ap\e+iko<-x°-^°\

If the transduction and reflection centers coincide, the period is physically symmetric, and any phase differences occurring between the terminals are entirely due to the terminal positions. The period is then called bidirectional. In the expression for admittance, Eq. (71), this is manifested by the cancellation of the phases in the term 5R(K*OJ2). Actually, in this case both KP and ap may be made simultaneously real-valued by translating the center of the period to the reflectivity center, such that xo = xT = xe.

On the other hand, if the reflectivity and transduction centers do not coincide, the positive and negative directions are physically different. The period is then called unidirectional. Unidirectionality is inherent to some substrates,62 whereas in other substrates it may occur because of specially designed asymmetry of the IDT structure.6 3 , 6 4 This phenomenon is used to construct single-phase unidirectional transducers (SPUDTs), where wave excitation in one direction is favoured over the opposite direction.

2 .4 . Limitations

The simple system of linear equations (44) forms the core of the COM model. The equations take into account the multiple reflections and acoustoelectric interaction between the SAW and the charges on electrodes. Because of this the equations allow the modeling of a large variety of SAW devices. In spite of its intrinsic simplicity the COM model can be astonishingly precise for narrow band devices, provided that the explicit COM parameters are determined accurately. Meanwhile, one should remember that the simplicity of the COM equations requires numerous approximations. We repeat here some of the main limitations:

• The surface acoustic wave is describe by a single quantity - the amplitude

normalized to the power flow. The spatial structure of the wave is supposed to

28

Page 41: Advances in Surface Acoustic Wave Technology, Systems

Coupling-of-Modes Analysis of SAW Devices 895

remain independent of location, wavenumber and frequency. This assumption

is strongly violated by, for example, surface transverse waves.

• As discussed in Section 1, all interactions, reflections, and perturbations of the SAW must be small. This restriction is not so important in practice because the piezoelectric coupling tends to be small. In most of the cases of practical interest the finger reflectivity also remains small.

• The equations are based on the periodicity of the structure. Abrupt changes in the periodicity, occurring for example, at gaps between transducers and reflectors and at the ends of structures, cause effects such as phase changes, additional reflections and scattering into bulk waves, which are beyond the COM model.

• The COM equations (44) describe only the two identical counterpropagating waves. If there are more interactions in a system (e.g. synchronous generation of bulk waves, or the counterpropagating waves are not identical), the model is not valid any more. Problems occur, for example, for surface transverse waves, and (although not very strongly) for leaky SAWs.

• To remove the fast variations from the amplitudes, slowly varying amplitudes were introduced by removing factors exp(±iirx/p). In principle, this is not a limitation, but it requires that careful attention is paid to the reference planes and interfaces between structures with different properties. Furthermore, the COM model treats the structure as a continuous medium, which in optimization routines may result in meaningless configurations—for example, in a device consisting of a noninteger number of electrodes. One simple approach to solve these problems is to limit the total length of transducers and gratings to even multiples of the pitch, while treating gaps separately.

• Accurate results are only obtained in a narrow frequency range. The frequency dependence of the COM parameters must be taken into account when modeling filter characteristics over a wide frequency range. Phenomena such as generation of bulk waves, coupling to bulk modes, and the spatial harmonics present at higher frequencies influence the device characteristic but they are excluded from the COM model.

• Although the COM model as presented here remains one dimensional it is nevertheless applicable to waveguide modes, provided that their structure is the same for counterpropagating modes. That means that all 2D effects, such as radiation into busbars, coupling to obliquely propagating waves, etc., are out of the sphere of the COM model.

• Finally, there exist parasitic effects not related to the surface interactions: acoustic reflections from the bottom of the crystal, resistive losses, stray capacitances due to the bus bars and other metal layers, inductances of the

29

Page 42: Advances in Surface Acoustic Wave Technology, Systems

896 V. Plessky & J. Koskela

bond wires, and effects due to the package geometry. Usually, these effects are taken into account via equivalent circuits.

Some of these limitations will be discussed in detail in a Section 3, which is dedicated to modifications to the model.

2.5. Comparison with other models

The main advantage of the COM model is that it allows the admittance to be calculated while providing an accurate description of multiple reflections of the counterpropagating waves. However, there are also other possibilities to do the same.

In the mixed-matrix model,1 '38 the medium is presented as a set of cells, each described through a separate P-matrix. Clearly, the COM model may be seen as one way to derive the P-matrices, or this mixed-matrix approach can be seen as another way to solve the COM equations, replacing the differential equations by finite differences. The possibility of varying the properties of individual electrodes is very useful and it is widely practised in the design of sophisticated resonant SPUDT devices65 on quartz.

Many authors successfully use equivalent circuit models,1 where SAW propagation is modeled as EM signal propagation in a transmission line, the free surface and metallized area being described by different impedances of the transmission line. The signal generation due to an applied voltage, the current generation in the load as well as losses and energy storage effects are included. Connection of mismatched transmission lines accounts for multiple reflections. Equivalent circuit models are a bit archaic but they have the strong advantage that they can be easily implemented in circuit simulation tools.

In all these models the propagation, interaction and coupling parameters are introduced phenomenologically, the COM model being the most consistent in this aspect. The problem of accurate determination of parameters is practically the same for all models. The parameters depend on the geometry and the physical properties of the electrode structure and substrate. Whatever model is used, technological variations result in inaccurate determination of the parameters and in finite precision of the design.

3. Improvements and Modifications of the C O M Mode l

The COM model has been widely applied in the design of devices based on different types of waves, substrate materials, and electrode structures. In many cases the model works successfully, but some difficulties have been encountered and, consequently, modifications have been and are being introduced. We divide the attempts to improve the COM model into two groups:

1. Patch improvements, which add some specific useful features, or account for some secondary effect, without changing the main COM equations (44).

30

Page 43: Advances in Surface Acoustic Wave Technology, Systems

Coupling-of-Modes Analysis of SAW Devices 897

2. Radical changes of the model—the case of shear-horizontal surface waves.

3 . 1 . Patch improvements to the COM model

3.1.1. Finger resistivity

The finite resistivity of the electrodes has an effect on the device response by 1) introducing losses via conversion of energy into heat, 2) leading to a nonuniform voltage along the device, 3) causing additional dispersion and 4) leading to nonuniform excitation distribution along the aperture. As discovered by Wright,26 mechanisms 1-3 may be incorporated in the COM formalism via a straightforward substitution

V _ V - , * £ > , <«>

whereas the mechanism 4 must be taken into account by determining the values of the transduction coefficient a and resistance for unit length r appropriately. After some manipulation the equations may be cast into the form

dR(x) .(. 2ir\a\2 \ „ , , . / lira2 \ cu , iaV

dx \ 1+iioCr J \ 1+iwCr J 1 + i w C r '

dS(x) .( „ 2ia*2r \ „ , , . / . 2i\a\2r \ „ . , ia'V , e_. — i - i =-i K*H —) R(x) + i[5 ' ' S(x) — , (87)

dz V 1+iuCrJ V 1+iuCr) K ' 1+iuCr'

dl(x) _ 2ia*R(x) 2iaS(x) IUJCV

dx l+iu;Cr 1+iujCr 1+iwCr

The parameter r = RXo, where R is the Ohmic series resistance of the period. Theoretical evaluation of the period resistance requires electrostatic analysis.66 '46

In a single-electrode transducer it may estimated as

2W « = - S r P D (88)

where prj is the square resistivity of the metal, a is the width of the electrodes and W denotes aperture. If the resistivity is small, the effect on dispersion characteristics and excitation remains negligible, and the additional losses may be taken into account via an external series resistor.

On the other hand, in the limit r —>• oo the current vanishes, I —>• 0. Physically, this limit corresponds to an electrically open-circuited grating, i.e. an array of floating electrodes. The equations reduce to those in Eq. (44), obtained in Section 2.2.2 based on charge neutrality considerations.

3.1.2. Velocity dispersion, backscattering and bulk-wave generation

The accuracy of the COM model is basically limited to a narrow frequency band around the resonance. However, the requirements on SAW filter performance are not limited to the passband of the device, but usually cover a much wider frequency

31

Page 44: Advances in Surface Acoustic Wave Technology, Systems

898 V. Plessky & J. Koskela

range which seems to have a tendency to further increase. Rather unexpectedly, COM is often found to work adequately even over a wide frequency range. There are a few reasons for this:

• Over a wide frequency range the filter behavior is more dependent on electrical characteristics (such as capacitancec of the transducer, connectors, and package, and the inductances of bonding wire) than on acoustic activity. Consequently, the accuracy of COM is not so important.

• If only Rayleigh waves are generated, COM predictions of effects such as weak reflections in a periodic grating remain fairly accurate even at large frequency detunings.

• Finally, in many cases the effects due to bulk wave generation, appearing at high frequencies, are relatively weak and manifest themselves only outside the passband.

A few simple extensions35 can be introduced into the COM model to further improve the agreement with the measurements.

If the frequency range of interest is rather large, the wavelength A and thus, the relative dimensions of the structure do not remain the same. This results in dispersion, i.e frequency-dependent changes in the wave velocity and other COM parameters. In principle, all COM parameters may have a smooth dependence on frequency. However, the most visible effects result from velocity dispersion.

Typically, the velocity decreases with an increase in the relative electrode thickness h/X. For low frequencies the relative thickness is smaller than the value in the stopband, H/XQ. Consequently, the theoretical velocity is too small and the ripples below the stopband are predicted by the model at too low frequencies. At high frequencies the situation is opposite: the theoretical velocity is too high and the simulated peaks are predicted at too high frequencies. So, the velocity dispersion causes "accordion"-type mismatch between the theory and measurement. This mismatch may be easily corrected by the introduction of dispersion in the wave velocity as follows:

v(f)=vo(l-D±^y (89)

Here /o, Ao, and VQ are the frequency, wavelength and velocity of the wave, respectively, measured at the center frequency of the Bragg stopband, and D is a parameter of the order of unity. Note that the velocity shifts are reflected in the eigenmode dispersion equation (33), which assumes the form

«W(foK)2-w- <90> At frequencies above the stopband, incident leaky surface-acoustic waves and

surface transverse waves are strongly scattered into bulk-acoustic waves,32,67 resulting in pronounced attenuation of the surface wave. The phenomenon is considered

32

Page 45: Advances in Surface Acoustic Wave Technology, Systems

Coupling-of-Modes Analysis of SAW Devices 899

in detail in Section 3.2, but the increase in the attenuation may be roughly included into the COM model by adding an appropriate term to the attenuation parameter.35

Furthermore, at frequencies close to and above the frequency / B = v&/2p, synchronous generation of bulk waves occurs in the transducer. In resonators this may be the dominant loss mechanism at high frequencies, / > / B , and it results in a square-root type increase in the conductance and attenuation of the wave. To take the effect into account in the COM model, empiric terms may be added to the attenuation parameter and the conductance of the device, such that

leff = 1 + 7scattering(/) + 7 B A w ( / ) . ( 9 1 )

and

y ( / ) = l c o M ( / ) + GBAw(/). (92)

Any appropriate step functions35 '68 can be used to describe the onset and magnitude of scattering and BAW generation and, if necessary, the transition regions. For LSAWs on LiTaOs substrate the typical increase in attenuation is about 1 order of magnitude.

If the aperture of an electrode structure is narrow, the transducer behaves as a waveguide. As far as equivalent counter-propagating modes are considered, there are no difficulties for the COM theory. However, changes in the phase velocity of the waves inside and near the stopband can result in curious behavior of the guided modes: in some cases the waveguiding effect can disappear at the upper edge of the stopband.31

3.2. Shear-horizontal waves

The coupling-of-modes model has been remarkably successful in describing devices based on conventional Rayleigh-type surface acoustic waves, with a dominantly sagittal polarization and a velocity substantially slower than those of bulk waves. However, the model is less suitable for shear horizontal surface acoustic waves, such as surface transverse waves and the commercially important leaky surface acoustic waves in rotated Y-cut LiNb03 and LiTa03 substrates; the latter are used in virtually all RF SAW bandpass filters.

A characteristic of shear horizontal waves is that the velocity is close to a fast shear bulk-acoustic wave. In some sense, the shear horizontal waves may be interpreted as fast shear BAWs localized to the surface by some physical mechanism, such as an interaction with the electric fields in piezoelectric substrates (Bleustein-Gulyaev waves,69 leaky SAWs in rotated Y-cut L iNb0 3

7 0 and LiTa0 37 1 ) , mass

loading (Love waves72), or loading by periodic electrode structures (surface transverse waves73-74). The localization depth is determined by the small difference in the velocities of the surface and bulk waves, and (in contrast to Rayleigh waves) it depends strongly on the strength of the surface perturbation.

For leaky waves in LiNbOa the localization depth is determined by the high piezocoupling, and the stopband is well separated from the bulk wave generation

33

Page 46: Advances in Surface Acoustic Wave Technology, Systems

900 V. Plessky & J. Koskela

frequency region. However, for LSAWs in LiTaOs the upper edge of the stopband may extend close to the threshold fB = vB/2p, and for STWs in quartz it may even exceed the threshold. Consequently, small changes in the velocity inside the stopband result in a strong change of the localization depth, and may eventually delocalize the surface wave into a bulk wave. Therefore, the application of the standard COM model is, from the very beginning, questionable. Alternative formulations have been suggested by a few authors,7 5 '3 2 '3 3 '7 6 '7 7 in most cases directly based on the Floquet theorem and the algebraic form of COM equations.

3.2.1. Dispersion relation

Historically, approaches which describe shear-horizontal waves have typically considered STW propagation in a periodic grating on a quartz substrate and utilized the Floquet expansion and the Datta-Hunsinger boundary conditions,43 see, e.g., Refs. 75,78. This approach leads to a system of equations, the solutions of which yield the dispersion relation of the eigenmodes; the modern FEM/BEM simulators are based on the same concept, with a more sophisticated selection of the degrees of freedom and rigorous numerical treatment of the mechanical boundary conditions. Danicki79 derived a phenomenological model for the strip admittance of a periodic array, thus enabling the analysis of excitation.

Based on these works, the propagation of shear horizontal surface-acoustic waves in a periodic grating is well understood. The dispersion characteristics are well encapsulated in an algebraic model due to Plessky.32 Let us consider Bleustein-Gulyaev waves (BGW's) in a semi-infinite substrate, shorted electrically by a metal layer with a periodic mass density of the form in Eq. (2). Let us search for a solution of the form

u(x, z) = u+e-^x+K+z + u_e-i^-^x+K-z. (93)

Here, u+ and u_ represent the amplitudes of the incident and reflected wave respectively, and f3 = Q/2 + q is the wavenumber of the incident wave. The case when the reflected wave is transformed into a bulk wave scattered in the opposite direction is automatically included. The factor K± denotes the localization constant

K± = ^ ( Q / 2 ± g ) 2 - A | , (94)

where kB = UJ/VB and vB denotes the bulk-wave velocity. The sign of the square

root is chosen such that

Re y/x + iy > 0, x > 0, ,g5x Im y/x + iy > 0, x < 0

Substituting the Ansatz into the boundary conditions, coupled-mode equations of

the form „

{

(*--.o(f-*)-2£of)«- = 2 fM+, (96)

34

Page 47: Advances in Surface Acoustic Wave Technology, Systems

Coupling-of-Modes Analysis of SAW Devices 901

are found.59 Here, 770 is the piezoelectric coupling constant and parameters so and ei

describe the uniform mass loading and the coupling between the counterpropagating

waves, respectively. Setting the determinant of Eq. (96) to vanish, we obtain the

dispersion equation

(*+-„„<f +,)-2<of) (*.-,(§+ ,)- f c ,a) - (%,[§ )'. (»7)

An approximate solution is available in closed form. We define the frequency de

tuning analogously to COM:

A = ^ - \ (98) vB p

Close to the Bragg stopband and threshold frequency / B = « B / 2 P , q~0 and A « 0,

we may approximate

K± = v / ( Q / 2 ± 5 ) 2 - ( Q / 2 + A ) 2 » ^/Q/2 y/2(±q - A) (99)

and treat the electrical and mechanical coupling terms as constants:

2q / 2 A B V [2

v \ v / v v ( 1 0 Q )

/ 2 f c B \ 2 / T £l {-Q-J " V Q"

For rotated Y-cut quartz, approximate theoretical expressions for the dispersion parameters r\ and e have been derived in closed form based on physical arguments.76

Within the approximations, the dispersion equation reduces to

(y/2(+q-A) - r,) ( 7 2 ( - s - A ) - v ) = \ef . (101)

The approximate dispersion equation (101) may have zero, two or four solutions. However, one may show that , provided q is a solution, it satisfies

q = ± J A 2 - I ( j £ | 2 ± Vo]/2\e\2-ri2-4A\ (102)

In most cases the physical solutions correspond to the positive branch of the inner square root.

The dispersion relation (102) serves as the model for the shear-horizontally polarized wave propagation in a periodic grating, see Fig. 9. For frequencies far below the threshold

AB = ~(ri2-2e2), (103)

the eigenmode characteristics are similar to surface-acoustic waves. However, with increasing frequency the localization depth of the wave grows (K± decrease) until,

35

Page 48: Advances in Surface Acoustic Wave Technology, Systems

902 V. Plessky & J. Koskela

nn° 0.04

0.02

0

-0.02 N

-0.04

-0.06 -0.14 -0.12 -0.10 -0.08 -0.06 -0.04 -0.02 0 0.02 0.04

2A/Q Fig. 9. Dispersion curves with coinciding edges of the stopband. Solid curves: r/o=0.269, £0=0, and ei=0.139. Dashed curves: J?o=0, £o=0.295, and £x=0.161. Dash-dotted curves: the approximate solution of Eq. (102), with parameters determined to give equivalent stopband edges.

at the threshold A B , the reflected wave becomes entirely delocalized: it is converted into a bulk wave and radiated into the substrate. This results in pronounced attenuation of the incident wave.

The frequencies with q = 0 may be identified as the edges of the stopband. The lower stopband edge occurs at frequency

A_ = - i ( 7 ? + | £ | ) 2 . (104)

For |e| < 0.5?7, the upper stopband frequency is

A+ = ~(V-\e\)2. (105)

However, for the values of |e| higher than 0.5??, A + exceeds A B , the onset frequency for bulk-wave scattering. In this case, the upper edge of stopband cannot be identified and the stopband prematurely collapses.

The principal difference of this approach with the classic COM is that the spatial structure (localization depth) of the waves is always properly described, and that the eigenmode can be a combination of a surface wave and a bulk wave. The onset of the bulk-wave scattering at high frequencies and the corresponding attenuation are automatically included into the model.

36

Page 49: Advances in Surface Acoustic Wave Technology, Systems

Coupling-of-Modes Analysis of SAW Devices 903

3.2.2. Abbott-Hashimoto STW-COM

Abbott and Hashimoto succeeded in combining Plessky's dispersion model with the COM framework.33'37 In their construction, the dispersion relation is characterized by five parameters rather than two as in Plessky's formulation. In this case, the number of independent variables is reduced by finding an analytic equivalence between the parameters in the two models. This section reviews their model.

Let R(x) and S(x) denote the slowly-varying amplitudes from Eq. (42), and assume modified COM equations of the form

' dR{x) dx

dS(x)

dx dl(x)

— —i5sTwR(x) + iKRS(x) + iaV,

= -insR(x) + i5STwS(x) - ia*V, (106)

= +2ia*R(x) + 2iaS{x) - iwCV. dx

Here, the modified detuning parameter is

< W - A-AV + \KB\ I / ( A ) , (107)

and the reflectivities KR and Ks are

f KR=K+KSU{A) (

\ Kg = K* + K^u(A), K '

and A is the frequency detuning from Eq. (98). The terms proportional to the function z^(A) originate from the requirement of energy conservation in a system with four modes, two of which are surface waves, two bulk waves. The function encompasses the attenuation and strong frequency dispersion resulting from the backscattering of the surface modes into the counterpropagating shear bulk modes, and it is of the form

v{A) = \ . (109) VA B - A + 7/B

In the absence of losses, KR and KS are complex conjugates. However, at frequencies where the surface wave scatters into the counterpropagating bulk wave, the relationship is broken.

The STW-COM equations (106) yield dispersion relation

q(A) = ± y (<5STw(A))2 - K R ( A ) K S ( A ) (110)

The quantities A y , A B , K, KB, and T?B are the parameters of the theory. Abbott and Hashimoto further proceeded to derive a direct equivalence between the dispersion relations (102) and (110), allowing the five parameters to be evaluated from those of Plessky's model; they also gave empiric formulas for r\ and e, determined from numerical simulations, as functions of the metallization ratio and the relative thickness of the aluminum electrodes.

37

Page 50: Advances in Surface Acoustic Wave Technology, Systems

904 V. Plessky & J. Koskela

The physical interpretation of the parameters is very illustrative from the point of understanding the dispersion characteristics. As discussed above, A B marks the threshold frequency for STW scattering into bulk waves. The parameter

v2

*v = - \ (111)

describes the position of the center of the stopband at the limit of vanishing interaction between the counterpropagating waves, e -> 0. The interaction consists of the coupling between surface waves, described through the parameter

« = ± H^±Me«- , (112)

and the influence of the bulk wave, present through the parameter

and the function v(A). The remaining parameter r\B = 77 + \e\ /2 describes the effect of the boundary condition on the SSBW propagation.

3.2.3. Remaining problems

The construction of Abbott and Hashimoto is brilliant in that the COM formalism, providing closed-form formulas for the components of the P-matrix may be used and simultaneously, the STW dispersion characteristics are properly described. However, a few problems still remain unresolved.

Although the approximate6closed-form dispersion relation (102) is convenient and illustrative, it results in slightly incorrect asymptotic behaviour far from the stopband, see Fig. 9. This discrepancy is due to treating the unperturbed wave as a surface-skimming bulk wave (SSBW). This approximation is good only if the uniform load, described by parameter n, is small. At and near the stopband the localization depth is determined by the Bragg reflections, but for large detunings even a small uniform load is important and the wave behaves more like a Love wave, weakly localized near the surface, rather than like a SSBW. That gives a relatively small but systematic error in velocity. This difference has also been observed based on numerical computations, and a correction constant c ~ 1 to be included into the dispersion relation has been suggested37:

q(A) = ±c^/(5STw(A)f - K R ( A ) K S ( A ) . (114)

Although the model takes into account the scattering of the surface waves into bulk waves, the agreement of the excitation characteristic of the surface waves is

'The exact solutions to the dispersion equation (97) may also be found. With some algebra, solving the equation may be converted into the task of finding the roots of a quartic polynomial. Since these may be solved exactly, the dispersion relation is available in closed form. However, because of the length of the resulting expression, the approach is practical in numerical evaluation only.

38

Page 51: Advances in Surface Acoustic Wave Technology, Systems

Coupling-of-Modes Analysis of SAW Devices 905

not satisfactory. Due to changes in the localization of the two components of the STW eigenmodes, the interpretation of the wave amplitude as normalized to the power flow, Eq. (37), is difficult, and the transduction parameter a tends to vary with frequency.37 '34 '80

The excitation of bulk-acoustic waves is not covered at all. In synchronous resonators, bulk-wave radiation is the dominant loss mechanism at frequencies close to and above the threshold frequency vB/2p. Furthermore, although the theory describes the SAW-BAW conversion in a periodic grating, the additional conversion occurring at discontinuities, such as gaps81 and the edges of the structure, is not taken into account. The difficulty is especially severe for STWs since the structure of the wave can be completely different inside the electrode grating and on the free surface—sometimes a STW does not even exist on a free surface. Numerical methods based on the integral equation approach have been proposed for modeling these effects,82,83 but these are computationally more intensive than the COM theory.

Until very recently, the waveguiding properties of LSAWs have received little attention and, from the point of device modeling, they form a major unsolved problem. The surface wave slownesses in strongly coupled piezoelectric cuts, such as rotated Y-cut LiNb03 and LiTaOs, are highly anisotropic, leading to complicated physical behaviour and making simple waveguide models, based for example on a paraxial approximation, unreliable.

4. Extract ion of C O M Parameters

The coupling-of-modes model is phenomenological and depends crucially on the explicit COM parameters which must be known to high accuracy. The parameters may be achieved in various ways: directly, for example, by laser probe techniques, indirectly by fitting the COM model to electric measurements from devices or test structures, or theoretically from analytic perturbation theories or more rigorous numerical simulations. Among these, the electric measurements from specially designed test structures seem by far the most reliable method—it is precisely the electric response which is usually of the main interest in SAW devices. Unfortunately, experimental parameter extraction is both expensive and time-consuming, since the parameters have to be individually determined for each substrate with every material, size, shape, and structure of the electrodes. Moreover, uncertainties in the properties and the geometry of the electrodes due to the manufacturing technology limit the accuracy of the results obtained. For this reason, numerical methods based on the finite-element method and/or rigorous Green's function techniques have recently been developed.

4 .1 . Parameter extraction from test structure measurements

The extraction of the COM parameters from measured data8 4 '2 9 '3 0-8 5 '3 1 '3 4 '6 8 is widely practiced because it provides a working set of COM parameters for a given technology, even if all the fine details of the electrode structure (e.g. the shape of

39

Page 52: Advances in Surface Acoustic Wave Technology, Systems

906 V. Plessky & J, Koskela

Fig. 10. Test structure for COM parameter extraction.

the fingers) are not known. The problem of exact measurement of SAW parameters in periodic electrode structures is not new - it has existed as long as SAW devices have been designed. The pioneering work was done by C. S. Hartmann8 4 who proposed a multi-transducer test structure and original methods to determine SAW propagation parameters from the electrical measurements of scattering parameters. The fast Fourier transform (FFT), analysis of pulse propagation in the time domain, and time gating were applied. These methods also include measurements of transmission characteristics. For leaky surface-acoustic waves it turned out that the signals coming to the output transducer were often too complicated, involving a mixture of SAW, LSAW, and bulk waves. However, a synchronous uniform one-port resonator, depicted in Fig. 10, proved capable of serving as an excellent test structure.29 '30 It allows practically all important parameters to be extracted from a single admittance measurement.

An example of the admittance of a one-port LSAW resonator is illustrated in Fig. 11. The response is very clean and displays a few features directly related to the values of COM parameters. It is dominated by the resonance peak occurring at one edge of the stopband, while a much weaker resonance takes place at the other edge, as discussed in Section 2.2.5. Immediately above the resonance the suscep-tance (imaginary part) is negative, but it then increases and crosses the zero at the antiresonance frequency. As the frequency approaches the bulk-wave threshold, a pronounced attenuation appears due to the scattering of the LSAW into BAWs, and the conductance (real part) increases because of synchronous BAW excitation.

Extraction of the COM parameters from the admittance may be done in several ways, but basically the edges of the stopband yield the velocity and the reflectivity. The small oscillations in the conductance, visible in the expanded view, Fig. 11(6), may be used to determine possible additional dispersion of the velocity. The values of the transduction parameter and capacitance are reflected in the strength of the resonance and the level of susceptance far from the stopband, respectively, and they together determine the resonance-antiresonance separation. Numerical determination of these quantities based on fitting the computed admittance to the measurement is straightforward.

Accurate determination of the attenuation parameter 7P remains as an unsolved

40

Page 53: Advances in Surface Acoustic Wave Technology, Systems

Coupling-of-Modes Analysis of SAW Devices 907

0.2

0.15

S 0-1

CD O £ 0.05 CO V

E

< ° -0.05

(«)

i / y 1

V

V

-

p = 2.2 nm JV, = 149 Ng = 30 W = 8A.0 a//? = 0.7

850 900 / [MHz]

950 1000

850 900 950 1000 / [MHz]

Fig. 11. (a) Admittances of a test structure on 42°YX-cut LiTaC>3 with aluminum electrodes of thickness /i/Ao=4%, and (6) expanded view. Solid and dashed curve: measured real and imaginary part, respectively. Dash-dotted curves: modified COM model.68

problem. Attenuation decreases the quality factor of the resonance and widens the smaller oscillations in the conductance. However, the extracted value of the attenuation parameter depends strongly on the details of the fitting procedure. As discussed in previous Sections, the COM model is not completely adequate for LSAWs, and the attenuation depends on frequency. A further difficulty is that certain COM parameters tend to result in similar effects. In particular, resistivity also decreases the quality factor. In general, the determination of the COM parameters for LSAW devices usually requires a trade-off between various characteristics of the admittance curve.

41

Page 54: Advances in Surface Acoustic Wave Technology, Systems

908 V. Plessky & J. Koskela

An example of the results obtained with the fitting technique are shown in Fig. 11. The patch corrections for leaky waves discussed in Section 3.1 were included in the model68 to improve the agreement on the dispersion characteristics, BAW radiation, and the level of the stopband conductance. The values v=4058.4 m/s , K P = - 1 9 . 6 % , a n = 8 9 - l ( T 5 Q" 1 / 2 , a n d Cn=76-10~5 pF// tm/period were found for the COM parameters of the test structure in this case.

4.2. Parameter extraction from simulations

Although the COM model may be applied for nonhomogeneous structures, it is foremost a theory for counterpropagating waves weakly perturbed and coupled by a periodic grating. On this basis, the ideal structure for the determination of the COM parameters would appear to be an infinite periodic array of electrodes. From the point of a view of numerical simulations, such a periodic structure has one very significant additional advantage over finite structures: only one period of the array needs be modeled, leading to a drastic decrease in the required computational load. Unfortunately, the rigorous simulation of a waveguide is an intimidating task even for periodic structures. Further simplification is usually gained by considering the aperture as infinite, thus reducing the number of the effective dimensions by one.

4.2.1. Simulation approach: periodic structures

The simulation of a periodic array involves solving the system of coupled partial differential equations governing the mechanical and electric fields, in a geometry consisting of the semi-infinite piezoelectric crystal and the periodic electrode array. For most purposes it suffices to consider a period consisting of a single electrode. However, more complicated unit periods may be required, for example, in the analysis of single-phase unidirectional transducer cells.

The technical details are beyond the scope of this article. There exists an immense amount of literature on the subject and it is impossible to give a comprehensive list of references; we merely at tempt to demonstrate the most prevalent methods currently in use. The fields in the substrate may be modeled with the finite-element method2 '3 (FEM), space harmonics expansion,4 Green's function techniques using the boundary-element method5 '6-7 '8,9 '10-11-13 (BEM), or both FEM and space harmonics expansion.14 Due to the high relative electrode thicknesses used in modern RF SAW filters, the Datta-Hunsinger type of boundary conditions are not accurate enough to describe the stresses in the electrode-substrate interface, so the stress distribution must be computed with more precise methods. The most popular approach is probably FEM2 '3 '5 '6 '7 '8 , 9 '1 0 '1 3 '1 4 although, both the application of space harmonic expansion4 and the normal-mode expansion11 have been reported.

A FEM/BEM-based simulator capable of treating multi-electrode unit periods has been developed and generously made available to all SAW researchers by Prof. Ken-ya Hashimoto.86

Conceptually, the methods for the analysis of periodic structures may be clas-

42

Page 55: Advances in Surface Acoustic Wave Technology, Systems

Coupling-of-Modes Analysis of SAW Devices 909

sified into two principal categories. In the eigenmode analysis, waves propagating freely on electrically open and shorted structures are considered. The phase shift, i.e., wavenumber, in the elastic and electric fields between the successive periods is to be found as a function of frequency; as a result, the dispersion curves are obtained. A limitation of this method is that the coupling to an applied voltage can be analyzed only indirectly. Furthermore, complex-valued wavenumbers have to be considered, which is somewhat problematic in the Green's function methods and requires additional assumptions on the continuation of the Green's function into the complex plane.87 '88

Alternatively to the eigenmodes, the generation problem may be analyzed: the system is modeled driven by a voltage source and the interest is in the currents on the electrodes. Since the generation problem more resembles practical experiments, the results of the generation problem are easier to interpret that those of the eigenmode analysis. Furthermore, the generation formulation includes a description of the electrically active eigenmodes, since these appear as singularity points in the excitation analysis.

4.2.2. Harmonic admittance and COM

As an archetype of a periodic electrode structure, consider the infinite electrode array shown in Fig. 12; the treatment is easily generalized for more complicated unit periods.86 '89 '90 Driven by a harmonic voltage configuration, such that electrode voltages are of the form Vn(^) = Voe~l2lrrn, the electrode currents In are also of the same harmonic form: J r a (7 , / ) = ^o(/) e _ l 2 , r 7 n , Consequently, the function

y(7, / ) = Inh,f) _Hf)

K ( 7 ) V0 (115)

may be defined. This is nothing else than the strip impedance from the classical work57 '58 of Bl0tekjaer et al, elegantly analyzed and relabeled as harmonic admittance by Zhang et af1; the latter nomenclature is employed here.

Since an arbitrary voltage configuration in a periodic array may be expressed through 7-harmonic voltages using Fourier-transform techniques, the harmonic admittance contains a complete description of the electric response of the structure, see the discussions in Refs. 7, 91. In particular, the zeros and poles of the harmonic admittance respectively indicate the eigenmodes of electrically open and shorted

V0ea"

klefoode-l substrate

V///\

\e-aicr

.. 1 p-<W

-EZ za_

y^MKY

V777^

Fig. 12. Periodic array of electrodes under 7-harmonic drive voltage. The electrode currents In(~f) follow the electrode voltages Vn(l) such that their ratio, the harmonic admittance Y(l)=In(l)/Vn{-y), remains a constant.

43

Page 56: Advances in Surface Acoustic Wave Technology, Systems

910 V. Plessky & J. Koskela

gratings. Consequently, the harmonic admittance may be interpreted as the ratio of the dispersion relations for open and shorted gratings:

YM)'WTY (n6)

This relation has nonobvious generality and it serves as the connection between the propagation and excitation problems. Rigorous mathematical proof of the theorem was recently found by Biryukov.92 It has been proven that the functions Doc and Dgc may be obtained as the determinants of appropriately defined systems of equations obtained e.g., from numerical computations with the boundary-element method. The conditions Doc=Q and Z?sc = 0 respectively define the dispersion relations for open and shorted electrode arrays.

Equation (116) suggests that the basic requirement for successful parameter extraction is that the COM model should be able to describe accurately enough, the dispersion relations in the structure under consideration. For comparison with the rigorously computed harmonic admittance, an approximate COM-model may be derived49 '93 for the harmonic admittance for the case 7 ss 0.5:

Ycou(qJ)=i«C0Sin{qP)S2--^f-f , ,«0. (117)

qp d* — | K | ' — ql

Here, Co in the capacitance per period, and q denotes the deviation of the wavenum-ber of the electrode voltages from a single-electrode transducer, q = (5(7—0.5). Note that the numerator and denominator in the r.h.s. of Eq. (117) indeed are the COM dispersion equations for open and shorted gratings, respectively, as expected.

If the COM theory is accurate enough, the velocity, coupling coefficient, and transduction coefficient may be easily extracted from the rigorously computed capacitance and the edges of the stopbands for shorted and open gratings.25 Careful analysis94 of the COM equations shows that in a symmetric structure the stopbands for open and shorted gratings feature one coinciding edge, whereas in a unidirectional structure the stopband edges are separated from each other, see Fig. 13.

Let / s c ± denote the edges of the stopband for shorted grating. Then, the velocity and the magnitude of the coupling coefficient may be found by inverting Eq. (56):

f V = P (fsc+ + fsc-)

. / « + - / - c - ( 1 1 8 ) I K I 2p = 27T JSC+ + /s<

Subsequently, the magnitude of the transduction coefficient may be extracted from the difference between the centers of the stopbands, and the width of the open-grating stopband yields the degree of the unidirectionality #un; = 0T—26e. Attenuation is to be determined either based on the dispersion curves with fitting techniques, or from the halfwidths of the resonance peaks.49

The COM theory and Eq. (117) usually apply well for Rayleigh-type surface-acoustic waves close to the stopband. As an example, the COM parameters were

44

Page 57: Advances in Surface Acoustic Wave Technology, Systems

Coupling-of-Modes Analysis of SAW Devices 911

(/)

<$

s

(a)

/, k

/ \ / \ / v.

/ ^ S C "" •» ^ /

OC /

SC

f f /

/ K - - ' A I

y /

oc Q

8 pp

* « - ,

« ^

(b)

SC /

/,

/ \ / N

oc /

SC

/ f<(

k /' s -

/y

oc

\ L(

/ - '

5?

(c)

SC

/ SC / ^

\ / OC /

/ / / / y Jc Ji f -'ar j //V ^ ^ / 1

// x 7 / / "V •

/ / " • - —

\ OC

S

s •i,

(d)

/„ \

/ \ / N

SC / **• ^

/ oc /

f

/ '

f •'arl

A

/ /

SC /

' _ . ' / « 2

/ / Fig. 13. Dispersion curves94 for shorted-grating (sc) and open-grating (oc) eigenmodes with (a) a%/{^favCp) < KP, (b) 0 < KP < (^/(irfasCp), and (c) KP < 0, in a bidirectional structure, and (<i) in a unidirection structure. Solid and dashed curves: real and imaginary parts.

determined for SAW on 128°YX-cut LiNbOs substrates with rectangular aluminum electrodes. Applying the procedure described in Ref. 49, the results shown in Fig. 14 were obtained.

However, difficulties are often encountered with LSAWs, since these often feature additional dispersion and strong frequency-dependence of the attenuation and the strength of the electromechanical coupling. The stronger the discrepancies between the COM model and the rigorous simulation, the harder it is to find an optimal set of parameters: a trade-off between different features of the wave must be made.

For surface transverse waves and Bleustein-Gulyaev waves, and for LSAWs close to the bulk-wave threshold, COM theory and Eq. (117) fail as discussed in Section 3.2. In this case, the analytic model95

* S T W ( 9 , / ) = iuC0(q) ( v

/ 2 ( + g - A ) - r ? o c ) ^2(-q-A)-Voc)-\e

( V 2 ( + 9 - A ) - r ? ) ^2(-q-A)-r,)-\ef (119)

consistent with the dispersion equation (101), may be used. Here, the effective capacitance per unit period Co(q) varies slowly with q, and r]oc and eoc are weakly frequency-dependent dispersion parameters for an open grating.

45

Page 58: Advances in Surface Acoustic Wave Technology, Systems

912 V. Plessky & J. Koskela

2 . 0 1 1 ' ' " • I . ' : • l '• • • • t • '. . - - i — ^ J : '-> 2.0 L : ^-—i—-^—I—: . - : I • , ; - I—_J

0.3 0.4 0.5 0.6 0.7 0.8 0.3 0.4 0.5 0.6 0.7 0.8 alp alp

Fig. 14. Contour plots of (a) Velocity, (b) reflectivity, (c) transduction coefficient, and (d) capacitance, for SAW on 128°YX-cut LiNbC-3 substrates, with rectangular aluminum electrodes.

Unfortunately, if the model (119) is directly used to determine the transduction coefficient a in the STW model of Abbott and Hashimoto, difficulties appear above the frequency A B - The discrepancy arises from the generation of bulk waves, included in Eq. (119) but ignored in STW-COM. An accurate description for the excitation of shear horizontal waves within COM theory remains as an unsolved problem.

4.2.3. Accuracy of theoretical simulations

Rigorous theoretical methods have their pros and cons. In principle, they are very accurate although slow. In practice, they suffer from uncertainties in electrode shape, material parameters, wafer quality, and wafer homogeneity. The more precisely the physical parameters of the system (geometry, elastic and piezoelectric material constants) are known, the more reliable are the simulations. However, velocity shifts due to waveguiding effects and other 3D effects are not modeled, and this can occasionally be a problem. Due to the waveguiding effects and the long range of the electromagnetic interactions, the parameters actually depend on the aperture and on the length of the structure; this especially applies to the capacitance. In short devices the electric and elastic fields may strongly differ from those in an infinite device.

46

Page 59: Advances in Surface Acoustic Wave Technology, Systems

Coupling-of-Modes Analysis of SAW Devices 913

For these reasons, theoretically determined parameters are, at the moment, not accurate enough for all purposes. For the level of precision required in device design, the COM parameters need to be determined experimentally as functions of the aperture and the device length. However, because the theoretical approaches allow the parameters to be studied rather arbitrarily as functions of temperature, crystal cut, electrode dimensions etc., the simulators are most useful for analyzing sensitivity of designs to technological variations, and for comparing different substrates, crystal cuts, and electrode structures.

5. Dev ice Model ing and Des ign

The purpose of this paper is to show how the COM model can be applied to SAW device analysis. We will not go into details of SAW device design as such (a topic so vast that a few more books should be written to cover it.) Also, we will not discuss in detail the advantages and disadvantages of concrete design approaches.

Currently, the main classes of SAW devices are

• transversal filters—featuring relatively high loss but very precisely controlled amplitude and phase characteristics. The COM model is practically never used for the design or analysis of these devices.

• low or medium loss intermediate frequency (IF) filters for mobile communication systems and other applications. The suitability of the COM model is excellent.

• SAW resonators. The COM model is often used.

• radio frequency (RF) filters of different types: ladder/lattice impedance element filters (IEF's), coupled resonator filters (CRF's) such as double-mode SAW filters (DMS's) and resonator filters, multi-transducer filters (IIDT's). COM model may used as well as the other methods.

• reflective array compressors (RAC's)—different models, including COM, are used in design and analysis.

• others, such as SAW sensors, actuators, and tags.

The choice of the model is dictated by the nature of the physical phenomena relevant for device performance. The COM model usually gives advantages if multiple reflections are strong and essential for the device operation, if low losses are of importance and the absolute value of losses must be estimated very accurately, or if the device structure can be subdivided into homogeneous periodic regions. Currently, the design and analysis of low-loss filters for mobile phones is the area where the COM model is most widely employed.

In device analysis the layout and the electrical response of the device are known,

and the details of surface wave behaviour are to be characterized. A typical example0

cAnother example is "inverse engineering".

47

Page 60: Advances in Surface Acoustic Wave Technology, Systems

914 V. Plessky & J. Koskela

of an analysis problem is the extraction of the COM parameters from test structure measurements. On the other hand, in device design the COM parameters are reasonably well known, while the optimal device geometry is to be determined. COM is well suited for both purposes, since it allows fast simulation of the device response, enabling optimization of either the COM parameters (analysis) or the device geometry (design).

5 .1 . SAW building blocks

In the design of SAW resonators and low-loss filters, rather complicated structures, including sometimes many dozens of elements are used. However, the elements are usually chosen from a few basic SAW building blocks, the most important of which are

• interdigital transducer

• reflector

• gap (open or metallized) between the other elements.

Much more rarely used components include

• multistrip coupler

• reversing multistrip coupler.

We will not continue the list of other SAW components, such as waveguides, unidirectional transducers, beam compressors, fan transducers, oblique reflectors, and non-linear elements. However, our estimates of the relative importance of the described components for design are to be taken with reservations. Firstly, our interests focus on low-loss filter applications. Secondly, components that are not important today may be of primary importance tomorrow.

5.1.1. Interdigital transducer

The formulas in Section 2.2.5 completely describe the properties of an interdigital transducer with internal reflections. The electrical features of some IDTs are illustrated in Fig. 15. One can see that strong reflections have a crucial influence on the admittance even for a relatively short transducer. The response of the IDT with strong reflections resembles more that of a classic LC-resonator than the sine-response characteristic to reflectionless IDTs. Due to the reflections, the maximum of the admittance is shifted to lower frequency, and at the resonance the magnitude of the admittance is almost three times higher than that for a reflectionless device.

In a low-loss device design, the strong reflections from the electrodes are used to increase the interaction of the waves with electrodes and to develop resonance-type behavior in relatively short structures. This allow the devices to be smaller, and many design ideas have been developed based on the concept. Although the COM model is not perfect for leaky waves (and, especially, for STW), the discrepancies

48

Page 61: Advances in Surface Acoustic Wave Technology, Systems

Coupling-of-Modes Analysis of SAW Devices 915

-0.02 700 750 800 850 900 950 1000 1050 1100

/ [MHz] Fig. 15. Simulated IDT with Nt = 31 electrodes, with and without the reflectivity KP = —21.5% and attenuation fp = 3.6 • 1 0 - 3 Neper/wavelength. The parameters are typical for 42°YX-cut LiTaC>3 substrate.

are located at high frequencies, where bulk waves are involved. Fortunately, this region is often located outside the filter passband, and the increased losses improve the suppression levels. Internal details of the electrode structure are not directly manifested in the COM model, only the values of the COM parameter are changed.

From the electric network point-of-view, the IDT is just a black box with a frequency-dependent impedance, which for long transducers closely resembles that of a LC resonator or a quartz crystal resonator. Depending on the application, the transducer can be matched (or mismatched) to achieve desired performances. We will touch these questions below.

5.1.2. Reflectors

SAW reflectors are characterized by the reflection coefficient R = Pu. A typical response for LSAWs in illustrated in Fig. 16. The periodicity of the ripples in the reflection coefficient outside the stopband is inversely proportional to the number of fingers, A / / / 0 = 1/Ng (about 15 MHz in the example in Fig. 16). Note that the frequency-dependences of the reflection and transmission coefficients are not symmetric. Below the stopband the reflectivity is high and the ripples are pronounced, while above the stopband the attenuation is strong due to the LSAW being scattered into BAW's, and the ripples appear as smoothed.

The reflection coefficient of the Bragg reflector can be measured directly, but a

49

Page 62: Advances in Surface Acoustic Wave Technology, Systems

916 V. Plessky & J. Koskela

800 820 840 860 880 900 920 940 960 980 1000

/[MHZ] Fig. 16. Simulated LSAW reflector on 42cYX-cut LiTaC>3 with Ng = 60 electrodes and KP = -21.5%.

rather sophisticated technique must be applied. One elegant method was used by P. Wright.96

The characteristics of a SAW Bragg reflector include

• in the stopband the reflectivity is close to unity if \K\L^$>1. The relative stopband width depends only on the normalized reflection coefficient, being equal to A / / / 0 = \KP\/IT.

• at the center of the stopband

\R\ = tanh(|K|L), \T\ = 1/COS1I( |K|L) (120)

for \K,L\=3, \R\ « 99.5%, while \T\ « 0.1. Attenuation decreases the reflectivity even for a very long reflector.

• at the edges of the stopband, where the eigenmode wavenumber equals the half structure wavenumber Q/2 (q = 0), the reflection coefficient is still rather high and the wave amplitude decays along the grating, but not exponentially.

• the close-in sidelobes of the transmission curve for a uniform grating can be only a few dB lower than the reflectivity in the center of the stopband. This can be a problem in some applications. The position of the n th zero depends on the grating length and it is given by the formula

• energy losses in the form of bulk wave scattering occur when an incident SAW enters the reflector from a free crystal surface. These losses are comparable with the bulk wave scattering from one electrode.59 They are not negligible in high-Q resonators on quartz or in low-loss filters on substrates with strong piezoelectric coupling.

50

Page 63: Advances in Surface Acoustic Wave Technology, Systems

Coupling-of-Modes Analysis of SAW Devices 917

| K ( * ) |

0.20

0.10

l

0 L/4 L/2 3L/4

(a)

X

(b)

L3 Fig. 17. (a) desired reflectivity and (fc) physical realization.

Weighted reflectors

The ripples (sidelobes) of the reflection coefficient of a uniform reflecting grating can be unacceptably high when the frequency response of the reflector is used to form the filter characteristics (and also in some other cases). The ripples can be significantly suppressed by weighting the reflectivity, that is: by varying the reflection coefficient K(X) along the grating.

As an example of a weighted reflector, consider the simple technique proposed by S. Kondratiev.97 Assume that a reflector with the spatially varying reflectivity shown in Fig. 17 is designed; the reflectivity in the center of the grating is twice as high as in the ends. To realize such a reflector, either amplitude or (better!) aperture may be weighted. One can actually treat such a reflector as a combination of two reflectors, each 3L/4 long, put together with an offset of L/4. The total reflection coefficient of such a reflector can be calculated as

Rtot = RZL/AO- + e i2fcL/4) oc sin(*3L/4)cos(JfeL/4) (122)

One can see that the first sidelobe of the reflection coefficient for one 3L/4-grating, roughly determined by the condition sin(A;3I//4) = 1, is eliminated by the first zero of the second factor, determined by cos(kL/4) = 0 (for arbitrary, but great length L). The other close-in sidelobes are also significantly suppressed. The same result is obtained by more rigorous analysis, obtained by connecting P-matrixes of 3 reflectors. The gratings are assumed to be uniform, with reflectivites KP = -10%, KP — —20%, and KP = —10%, respectively. The results are shown in Fig. 18.

51

Page 64: Advances in Surface Acoustic Wave Technology, Systems

918 V. Plessky & J. Koskela

900 / [MHz]

Fig. 18. Weighted and uniform reflection coefficient from a grating with iVg = 80 electrodes and K » = - 2 0 % .

The general treatment of a spatially varying reflectivity K[X) requires numerical methods. For simplicity, assume that the substrate is bidirectional. Then, without loss of generality, K(X) can be taken as real-valued. It proves convenient to define a quantity

S{x) r(x) =

R(x)' (123)

Evidently, for the boundary condition r(L) = 0, the function r(x) describes the penetration of the acoustic field into the grating and, in particular, the value r(0) equals the reflection coefficient P\\—P22 of the grating.59

Using the COM equations, Eq. (44), one may show that

— = -IK(X) ( l + r(x)2) + 2iSr(x). ax

(124)

This first-order nonlinear differential equation belongs to the class of the Riccati equations.98 A solution in closed form may found in special cases, such as the uniform structure considered in Section 2.2, but one does not exist in the general case. However, at the center frequency of the grating, / = v/(2p), the detuning 5 vanishes, and in this case

r(x) = i t a n h / K{X')AX' J .

In particular,

-Pn( /o)=r- (0) = i t a n h / K(X')AX' Jo

(125)

(126)

52

Page 65: Advances in Surface Acoustic Wave Technology, Systems

Coupling-of-Modes Analysis of SAW Devices 919

Here, the accumulated reflectivity f0 n{x')dx' is the reflection coefficient of the grating at the central frequency without accounting for the multiple reflections.59 The result shows that to obtain large total reflectivity, the mean value of the reflectivity should be large.

In practice, the realization of reflector weighting is not trivial and weighting is seldom resorted to. A few tricks can potentionally be used: changes in the geometry of electrodes, or in aperture—in any factor influencing the phases or magnitude of the reflectivity of the fingers. At high frequencies, however, many such possibilities are restricted by the precision of the manufacturing technology. Changes in the electrode structure can result in changes in the SAW velocity and the phases of reflected waves. Consequently, fine corrections are needed in the position of the reflectors, making the design process rather complicated. However, using weighted reflectors as a part of SPUDT6 3 '6 4 '6 2 allowed the invention of the resonating SPUDT filters with excellent performance.

5.1.3. Gaps

Gaps are used to change the phase of the wave between transducers and reflectors. In materials with weak piezoelectric coupling, such as quartz, the phase change results simply from wave propagation. However, in strongly coupled substrates the effect of gaps is more complicated. The phase change is difficult to predict based on phenomenological models, and losses occur due to scattering of the surface wave into bulk waves at the discontinuity of periodicity. Consequently, the following P-matrix is introduced for gaps:

p • ' g a p

0 ( l - 7 g a P ) e - i w d / ^ p 0 ( l -7ga P )e - i " d / ' U g a p 0 0

0 0 0 (127)

Here, d denotes the width of the gap, 7 g a p is the loss parameter, and vg a p describes the SAW velocity in the gap. Quantities 7 g a p and wgap must be treated as phenomenological parameters to be determined from analysis of experimental data or rigorous simulations.81 Possible reflections from the gap are ignored.

5.1.4. Multistrip couplers

Multistrip couplers" (MSCs) are used to transfer the SAW propagating in one acoustic channel into another. In the simplest case, shown in Fig. 19(a), the element consists of a periodic system of open electrodes with a pitch smaller than half wavelength of the surface wave of interest, overlapping both channels; in-between the channels the pitch can be modified to decrease losses. SAW propagation in the first channel generates electric potentials, which are transferred by the electrodes and generate a wave in the second channel. Contrary to a Bragg grating, which couples counterpropagating waves, in a MSC the interaction occurs between parallel-propagating modes. The coupling results in sin/cos-type of energy transfer from one channel to the another and vice versa, see Refs. 46,100.

53

Page 66: Advances in Surface Acoustic Wave Technology, Systems

920 V. Plessky & J. Koskela

Track 1 J^^Vtmskl

Track 2 d%fr 4 ^ s; Track 2

(a) (b) Fig. 19. Schematical (a) MSC and (6) RMSC.

The multistrip coupler can be accurately modeled by COM.1 0 1 The model results in a 4x4 P-matrix, which describes the coupling of the waves in the two channels.

The characteristic length for energy transfer from one channel into another is inversely proportional to the piezoelectric coupling coefficient.46'100 For quartz too many electrodes are required and MSCs are rarely used.102 The MSC has quite wide frequency band, although at tempts to use it as a frequency-selective element have been proposed.103 If a MSC of more complicated form, such as U-shaped or J-shaped is used, the coupled acoustic channels do not need to be parallel. One-directional transducer operation can be achieved by combining an IDT with such MSCs.46

In the early days of SAW devices, the idea of transferring the wave into another channel was employed in many innovative designs. The main advantages were

• suppression of bulk waves propagation from the input IDT to the output IDT

(used in SAW TV filters)

• decrease in device area due to more optimized use of all possible directions of propagation

• compensation - using different propagation directions to compensate for undesirable second-order effects.

Currently, MSCs are used rarely,104 '105 especially in low-loss filters. There are a few disadvantages which prevent the wide use of MSC:

• since the coupling occurs between waves propagating in the same direction, using a MSC does not help much to decrease the device size

• in coupled-mode resonator filters (see below) the aperture is relatively large and, at high frequencies, the resistivity of the electrodes of the MSC gives nonnegligible losses

• the required small pitch is an additional challenge to fabrication technology

54

Page 67: Advances in Surface Acoustic Wave Technology, Systems

Coupling-of-Modes Analysis of SAW Devices 921

• for LSAW filters, with relatively high electrode thicknesses up to 8% or 10% in h/Xo, using a grating with essentially different pitch gives additional losses due to BAW scattering at the transition regions.

Despite this criticism, we believe that using MSCs in resonator filters can be very beneficial in some cases. In contrast to IDTs, the intertrack coupling by MSC may be one-directional.

5.1.5. Reversing multistrip couplers

The reversing (reflecting) multistrip coupler103 '106 '107 (RMSC) combines features of a MSC and a Bragg reflector. It transfers the wave into another channel, simultaneously turning the propagating direction into the opposite. The idea of the component is to connect the electrodes in the first channel to the electrodes in the second channel such that the wave potential in the second channel looks as if it is propagating in the opposite direction, see Fig. 19(6). Danicki also proposed more complicated components,107 including grooved reflectors in combination with electrodes. The RMSC features stopband behavior and it has a relatively narrow frequency band of efficient operation, which depends exclusively on the strength of the piezoelectric coupling of the substrate. This restricts the flexibility of design, although very successful applications were recently demonstrated.104 '105 '108

The component can be described by the COM model, although it is uncertain to the authors whether this has been done.

5.2. One-port SAW resonators

A vast variety of SAW devices may be constructed from the building blocks described above. To model the devices, the building blocks are described through their respective P-matrices, which are then cascaded to obtain the total device response. We will give here three examples of devices, concentrating on the device description by means of COM model: synchronous uniform resonators, synchronous 'hiccup' resonators, and primitive SAW-tags. The last example serves to illustrate the possibility to combine the COM model with FFT analysis in the time domain. There are a few excellent review papers dedicated to resonator design.109 '110 We follow the classification given by P. Wright.109

5.2.1. Synchronous uniform resonators

Synchronous resonators consist of a transducer surrounded by two reflectors which mechanically form a continuation of the electrode structure in the IDT. In the simplest case the transducer is uniform and periodic, see Figs. 2 and 10; we denote such a device as a synchronous uniform resonator. As discussed above, synchronous uniform resonators are widely used as test structures in COM parameter extraction. The component is also commonly used as a building block for impedance element filters (IEFs), such as ladder (lattice) filters, balanced-bridge filters, and notch filters.

55

Page 68: Advances in Surface Acoustic Wave Technology, Systems

922 V. Plessky & J. Koskela

Assume that the structure is bidirectional and describe the IDT through the P-matrix P. Let R = Pfx = Pf2 denote the reflection coefficient of the reflectors. Then, from the formula for the admittance of a reflector-transducer-reflector structure, Eq. (55), we findd

y-*-i-mffifl,)- ( 1 2 9 > We have already mentioned a few times synchronous uniform resonators in the context of COM parameters extraction. Now we will add a few more comments concerning the performances of the component as a building block for impedance element filters. Although the above formulas look simple, the analytic derivation of the admittance properties is rather cumbersome. The following statements result from numerical simulations obtained using COM formulas.

• If a large frequency bandwidth is desired and the finger reflectivity is high, the optimal geometry of a synchronous resonator for IEF applications is a long, waveguiding transducer accompanied by relatively short reflectors. The piezoelectric coupling is maximal since the wave amplitudes are practically uniform and their magnitude inside the transducer is high, i. e. the transducer covers practically all the area where acoustic field is available and has high intensity. This results in the largest possible distance between the resonance and antiresonance frequencies. The admittance is proportional to the area of transducer.

• Long and narrow transducer has low Ohmic losses proportional to the aperture squared (W/X)2.

• The first term in Eq. (129) corresponds to the admittance of the transducer. For a long transducer the admittance, given by Eq. (69), is in practice dominated by the driven contribution, Eq. (74). The driven term is proportional to the length of the IDT, whereas the other term in P33 (73) contains the corrections due to the non-uniformity of the acoustic fields near the ends of the long structure. The admittance is very similar to that of a crystal BAW resonator.

• The second term in Eq. (129) describes the admittance variations due to multiple reflections of the waves from the gratings. The reflectors are necessary: they prevent energy losses through the edges of the structure, and thus improve the Q-factor of the resonance occuring at the low-frequency edge of the

dNote that as such this formula may be applied to arbitrary symmetric resonators which include a transducer and two identical reflectors. These don't need to be synchronous: the pitch and other characteristics may be different in the IDT and the reflectors, and the presence of identical gaps between the transducer and the reflectors can be easily taken into account via substitution

R = (1 - 7 g a p ) 2 e - 2 i ^ / ^ » p P f 1 . (128)

Here, d, 7gap, and i>gap are the gap parameters discussed above.

56

Page 69: Advances in Surface Acoustic Wave Technology, Systems

Coupling-of-Modes Analysis of SAW Devices 923

stopband. As a drawback, the reflectors increase the ripples in the admittance at frequencies below the resonance and close to the high-frequency edge of the stopband.

• Finally, the position of the resonance at the low-frequency edge of the stop-band makes it more distant from frequencies with pronounced BAW generation. The phase velocity is minimal at the left edge of the stopband, improving the conditions for waveguiding. According to the waveguide theory of Haus21

this difference in velocities is sufficient for waveguiding to occur.

5.2.2. Synchronous 'hiccup' resonators

The synchronous uniform resonator structure considered above is not very good if maximal Q-factor and small size are required and the resonance-antiresonance distance is of little concern, for example for frequency stabilization in oscillators. In this case the design criteria are cost, frequency tolerance, admittance value at the resonance, and size.109 Quartz is practically the only material used for this application.

The disadvantages of the absolute synchronous resonator are all related to the fact that the resonance occurs at the low-frequency edge of the stopband:

• The reflectivity of reflectors at this point is not maximal. This either leads to additional losses or longer gratings.

• The device may be too sensitive to variations in the thickness and width of the aluminum electrodes, present because of the limited precision of manufacturing technology. The position of the resonance at the edge of the stopband makes it sensitive to changes in both velocity and reflectivity.

To correct these problems, the synchronous 'hiccup' resonator was proposed, see Fig. 20 and Ref. 109. In the center of the transducer there is a gap, which breaks the periodicity and increases the center-to-center distance between the center electrodes by A/4. The center electrodes have the same polarity. Under these conditions the resonance occurs at the center of the stopband, as one can easily demonstrate by considering the phase change of the wave circulating between the two parts of the transducer.

Such resonators may without any difficulty be modeled based on COM formulas. One can first connect in parallel two parts of transducer with the gap between them, and then use equation (55) to add the reflectorsf

The advantage of having the resonance at the center of the stopband is that the resonance is then insensitive to the finger reflectivity, increasing the yield in the mass production of the device.109 The price paid is that the electromechanical coupling

eWe leave this as an exercise to the reader, as well as the demonstration of the fact that the 'hiccup' resonator always has only one pronounced resonance.

57

Page 70: Advances in Surface Acoustic Wave Technology, Systems

924 V. Plessky & J. Koskela

P~l .-•j p/Kn+j)

Fig. 20. Synchronous one-port hiccup resonator.

0.15

o.n

„ 0.05 \

a

-0.05 V

-0.1

ReY( / )

ImY( / )

i . - v -?%^u .—- j

p = 4 | i m

a/p= 0.5

_J i—

460 480 500 520 540 560 580 600 620 640 660

/ [MHz] Fig. 21. Admittance of a synchronous hiccup resonator on 64°YX-LiNbC>3.

of the resonance to the transducer is reduced: the SAW amplitudes decay exponentially from the center to the ends of the device and the outermost parts of the transducer are not efficiently coupled to the amplitude distribution. Consequently, increasing the number of electrodes increases the magnitude of the admittance at resonance only up to some limit, in contrast to the synchronous uniform resonators where the admittance is roughly proportional to the number of electrodes.

Again, for strong piezoelectric materials the situation is not so cloudless. Fig. 21 shows the admittance of a 'hiccup' resonator admittance on a 64°YX-cut LiNbC>3 substrate. In the figure the position of the resonance can be seen to have slightly but visibly shifted from the center of the stopband, indicating that the velocities inside the gap and in the periodic structures are significantly different. Although strongly piezoelectric substrates are rarely employed in resonators, they are used in a few notch filters and voltage controlled oscillators (VCO).

58

Page 71: Advances in Surface Acoustic Wave Technology, Systems

reflector 1 WT

Coupling-of-Modes Analysis of SAW Devices 925

calibration reflector 2 ', . reflector \

1 \ \ A_

JLg < j^i < L>2

u • * * • p \

1 '••• £ s H i Li<L2<2LC

Fig. 22. Primitive SAW tag, consisting of a transducer and two reflectors. The response from a calibrating reflector may be used to compensate for temperature shifts.

5.2.3. SAW tags

SAW tags may also be constructed from a transducer and a few resonators,111 see Fig. 22. However, they present a very different class of devices compared to one-port resonators. Connecting an antenna to the transducer, the tag can be interrogated by a short RF pulse (although more complicated systems are often implemented in practice). The pulse received by the antenna launches from the transducer a surface-acoustic wave which propagates to the reflectors and, being reflected, returns to the transducer and is reradiated by the antenna. Such delayed pulses can be received by an interrogation unit at some distance. The pulses contain information which may be coded into their time delays, phase, or amplitude. The ISM frequency bands are often used for SAW tags; one of them is from 2400 MHz to 2480 MHz.

An ideal transducer for SAW tag applications features

• low loss in the desired frequency range, self-matched to 50 Q

• no mechanical reflections from the transducer

• rather large aperture to avoid diffraction.

Following the philosophy of this paper, we are not going to describe a real design procedure for SAW-tags, but limit the discussion to the COM modeling of the device characteristics. The admittance of the tag can be calculated using the cascading formulas (49)-(55). For example, the reflectors on each side of the transducer may be cascaded first, and the results may then be cascaded with the IDT P-matrix to obtain the net response of the tag. In the general case there is no need to do this analytically; such tasks are most conveniently performed in numerical form by a computer. However, for illustration purposes assume that we have only one reflector. Then, the cascading formula (54) yields

*33 - ^33 ~ 1 _ RPIX>T' ^ '

where the reflection coefficient R = R-ie~2ikd includes also the phase shifts due to the distance between the IDT and the reflector. Assume that the reflections from

59

Page 72: Advances in Surface Acoustic Wave Technology, Systems

926 V. Plessky & J. Koskela

the transducer are negligible, P^T = 0, as desired. Then, the response of the tag reduces to

^ a S = ^3 D T -2 i ? (P 1I

3D T ) 2 . (131)

Here, the required components of the P-matrix for a reflectionless transducer-^are

Pj 3D T = i a N p ^ e~

iSL^/2 (132)

and „ 9 » , 9 / s i n 2 A . s i n ( 2 A ) - 2 A \ „

P33 = 2a2N2 { - g - +i—L-Jg j + i a , C t o t . (133)

Above, L I D T = Ao./Vp is the length of the transducer, the IDT has Np periods, and A denotes

A = TT^NP. (134) 70

The second term in the r.h.s. of Eq. (131) represents the contributions to the admittance by the reflected waves returning to the transducer. Due to the assumed absence of reflections from the IDT, the response is clear of multiple reflections. Unfortunately, multiple reflected signals nevertheless arise because of the parasitic capacitance of the package and the inductances of the bonding wires.112 Simplifying the situation just for illustration purposes, adding only a series inductance L w due to the bonding wires, the net admittance

pTag

Y = ^ 3 3 T g (135)

is obtained. Prom the factor in the denominator it may be seen that the response contains all powers of e~

2lkd, corresponding to multiple reflections from the transducer.

The admittance of a tag including one transducer and two equivalent reflectors, situated at different distances on both sides of the IDT, is illustrated in Fig. 23(a). The effects of parasitic elements were included using a model similar to that described in Ref. 112. Transforming the frequency response into the time domain with the fast Fourier transform algorithm, the impulse response of the tag is obtained. The main reflections, as well as multiple reflections and roundtrip reflections are clearly visible in the time response, displayed in Fig. 23(6),

5.3. Two-port resonators and resonator filters

Historically, two-port SAW resonators113 were developed as quartz devices for narrowband filter applications,110 '114 '115 , see also Ref. 116 and the references therein. In these devices two Bragg gratings serve as narrow band mirrors to create a Fabry-Perot type of resonator. The transducers are placed either outside or inside the

• It is interesting to note that the formulas (132) and (133) are valid for arbitrary long transducers (although attenuation must be accounted for).

60

Page 73: Advances in Surface Acoustic Wave Technology, Systems

Coupling-of-Modes Analysis of SAW Devices 927

0.005 2100 2200 2300 2400 2500 2600 2700

/ [MHz] 2800

e La

.uJ

V5

o

res]

SN| J2 R2 (b)

„FF1.„

UV r

vu i iJ ii V

Rl

(

i 1 Ii i

Ul

R2

\4 \

\t i\ 0.5 1.0 1.5 2.0 2.5 3.0 3.5 4.0 4.5 5.0

time [|xs] Fig. 23. Simulated tag with an IDT and two reflectors: response in (a) frequency and (6) time domain. Fast oscillations in the frequency-domain response are contributions of the reflected signals. In the time-domain response one can see the desired signals (SI and S2), their reflections (Rl and R2), as well as other parasitic responses such as round-trip signals. "Signals" before 1.0 fis are FFT artifacts and they do not exist in reality.

61

Page 74: Advances in Surface Acoustic Wave Technology, Systems

928 V. Plessky & J. Koskela

G

•NGPO-

D-

w T2 Tl

kipr

T2

A^ft

G

Fig. 24. Coupled resonator filter120 consisting of a center transducer T l connected to the input, two identical transducers T2 connected to the output, and two identical gratings G.

cavity; in the latter case the positions and the properties of the transducers must be chosen carefully.110 Single-mode operation is aimed for in most cases, but sometimes two identical modes are coupled to get optimal splitting and to create a narrow rectangular passband with low losses. The modeling of a Fabry-Perot SAW resonator including two reflectors is straightforward and it is widely discussed in the literature, see, e.g., Ref. 117.

It was soon recognized that SAW filters with low losses and rather wide bandwidth can be achieved by using two different resonance modes on strong piezoelectric substrates. Consequently, these devices were called double (or dual) mode SAW filters and the (rather unfortunate) abbreviation DMS filters is sometimes used; another name is coupled resonator filters (CFR's). The filter combines a few very important advantages: low loss without matching for 3-4 % bandwidth —exactly what is needed for most mobile phone RF applications, excellent suppression outside the passband, and very small size. For these reasons, CRFs have become a mass-production component. Amazingly, there are a limited number of publications118,119 describing the theory of the filter. The design approaches are covered by a patent.1 2 0 The reason is the relative complexity of the structure and the strong coupling of modes. As a result, only numerical simulation can give a correct insight into the device operation. Below we will discuss how this is done using the COM model.

We will also briefly discuss one more related class of filters, so called transverse-coupled filters (TCF's). These filters can be interpreted as two parallel synchronous resonators, between which there is proximity coupling, that is: an acoustic coupling due to the overlap of the transversal acoustic fields extending from the waveguide. The coupling between the resonator tracks can be optimized by changing the distance between them. Normally the distance is made very small, just a few wavelengths. The COM model is a convenient tool for the design of TCFs. 1 2 1 ' 1 2 2 ' 1 2 3

5.3.1. Coupled-resonator filters (CRF's)

Coupled-resonator filters are topologically similar to two-port SAW resonators, with transducers being placed between reflectors. However, the transducers have reflecting electrodes and the reflectivity is not small. This complicates the analysis: the

62

Page 75: Advances in Surface Acoustic Wave Technology, Systems

Coupling-of-Modes Analysis of SAW Devices 929

transducers not only serve to couple the signals into the resonant cavity but they directly influence the resonant modes. Currently the most popular CRF structure, described in patent the patent of Ref. 120, includes three transducers as illustrated in Fig. 24. The structure provides larger passband than a device with only two IDTs.

In this structure two symmetric longitudinal resonance modes (1st and 3rd) are simultaneously excited. The gaps D between transducers T l and T2 and gaps S between T2 and reflectors G are used to tune the resonance frequencies. As described in Ref. 120, the following parameters must be optimized during design:

• pitches pxi and px2 of transducers T l and T2 (often taken equal),

pitches in reflectors (often taken equal in both reflectors, but 1.5% to 2.0% greater than in the transducers),

ratio NT2/NTI of the numbers of fingers in transducers,

• gaps D between transducers and gaps S between transducers and gratings

• aperture W.

A convenient approach to optimize these parameters is to construct a numerical COM model of the device and to use the available standard optimization routines. Let P ( T 1 ) , P(T2\ and P<G) denote the P-matrices of the transducers T l and T2 and the grating G, respectively. Obviously, there are many ways to cascade the P-matrices using the cascading formulas (49)-(55). One variant is described below.

First we connect the gap S to the reflectors G:

tfG) = p(Q) e-XksSf ( 1 3 6 )

where ks is the wavenumber inside the gap S. Half of the gaps D are attached to the transducer T l :

Pi?=Pg1)e-ik°D, (137)

Pa = Pi?* e~ikDD, (138)

p$=p^)e-ik0D,2^ ( 1 3 9 )

P$) = Pg1)e-ikD'>/*. (140)

Here, A;D is the wavenumber in the gap D. The second half of the gap D, as well as the grating G and the gap S are attached to the transducers T2:

11 -y11 +i-p<r>p(G)Je ' (141)

p(2) _ p(T2) (. P£2)R(G) \ e-ikDD/2 , U 9 x 13 - P l 8 {+l-P^R(0))e ' (142)

63

Page 76: Advances in Surface Acoustic Wave Technology, Systems

930 V. Plessky & J. Koskela

p(2) _ p(T2) / PJ22)RiG) \ -ikDD/2 , u „ x

p ( 2 ) _ p ( T 2 ) . (P£2))W (1U)

Finally, the resulting two-port elements, described through P-matrices P ' 1 ' and P ' 2 \ are cascaded to obtain the two-port Y-matrix (admittance matrix) of the device:

9 P ( 1 ) P ( 1 ) P ( 2 )

1 1 - 3 8 + l - (Pff+P 1(M? ) ' ( }

y _ 2o(2) . 2PfPlCPiil±Pill) fl46. *22 - 2P3 3 + 7ZjTS ^TTTTTTrT' ( 1 4 6 ) 1-{PS> + P£)PZ

2R U2

(2) p( l ) 13 *31

i-^+pfMV (147)

(1) p(2) 2P:^P v*~i-(*/+kv®- <148)

For two-track devices, standard network analysis formulas must be implemented to calculate the S-parameters of the device and to include some parasitic elements, such as inductances due to the bonding wires and package capacitance. Together with the advice from the patent in Ref. 120, this model and reasonably accurate COM parameters are sufficient to start the design work; the rest is experience?

Figure 25 shows the results of optimization of a CRF for application in CDMA Rx-channel. Typically CRFs have reasonably low losses in the passband, and excellent shape factor and stopband suppression. The sidelobe above the passband is characteristic to CRFs and it is due to the fact that , independently of resonances, the transducers "talk" to one to another. Consequently, the passband is seen on the top of a typical sine-type transducer response. Recently, the interest in CRFs has renewed due to the discovery53 '54 of the optimized 42° YX-cut-LiTa03 substrate and very thick electrodes of h/\o = 8% to 10%. From the point of view of design this results in additional difficulties, recompensed by decreased losses and a wider achievable passband.

5.3.2. Transversely coupled filters (TCP's)

In transversely coupled filters two identical narrow-aperture resonators are coupled as waveguides. A typical TCF structure is depicted in Fig. 26. It includes long and narrow input and output transducers, situated at the top-center and the bottom-center of the structure and the reflectors at both ends of the structure. A characteristic feature of TCFs is the common narrow busbar for the two transducers,

"Experience: the collection of the failures an individual has made.

64

Page 77: Advances in Surface Acoustic Wave Technology, Systems

Coupling-of-Modes Analysis of SAW Devices 931

780 800 820 840 860 880 900 920 940 960 980 / [MHz]

Fig. 25. Simulated response of a CRF filter for CDMA Rx-channel.

1 PI

Tfijllj out

Fig. 26. Transversely coupled resonator filter.

65

Page 78: Advances in Surface Acoustic Wave Technology, Systems

932 V. Plessky & J. Koskela

grouned and connected to both short-circuited reflectors. The transducer is separated from the reflectors by spacers, which are used to tune the frequency position of the resonances. The device was invented many years ago1 2 4 '1 2 5 and it is widely used for narrow band (0.1% or so) intermediate frequency (IF) filter applications. Many interesting improvements have been presented to this basic architecture.126

The main advantage of TCFs is that there is no direct way for the SAW from the input transducer to the output. The coupling is between guided modes, and is efficient only when modes are resonantly excited. This gives both low loss at the pass-band and excellent rejection levels. The peculiarity of the device is that the modes of interest usually can be divided into two groups, symmetric and antisymmetric^1

with respect to the center busbar. The modes are assumed to be orthogonal eigen-modes, i.e. they do not interact acoustically (the existence of such modes is itself the result of an interaction). However, each mode is reflected from the gratings and is in this way coupled with an identical but counterpropagating mode. The total admittance of the device is created by two resonating modes, which have slightly different velocities and resonance frequencies. Careful matching is demanded to achieve low losses.

The COM formalism is well suited to describing the guided modes, provided that these are not drastically changed by the interaction with the electrode system. Normally this is the case for TCFs on quartz substrates. The COM theory for TCFs was presented by C. S. Hartmann and co-authors.121 '122 They give a complete set of COM equations for the modes and formulas for P-matrix elements, see also Refs. 128,129. The calculated acoustic admittances are connected to the input and output of the device, taking into account the symmetry of the modes and some parasitic elements. We will not reproduce here the formulas because they are similar to those for synchronous resonators. Another paper by the same authors1 2 3 gave an example of the design using a set of COM parameters for quartz substrates. An analytical theory for calculating the response of TCFs was derived consistently by Biryukov et al.130

In practice, TCFs are difficult to design due to the fact that the COM parameters are sensitive to the aperture of the structure and they are different for different modes. For these reasons, the precision of the design and the manufacturing accuracy are sometimes not sufficient to get devices on the correct frequency, and trimming may be necessary.

The devices are often connected in series to improve suppression, but interstage matching usually cannot be avoided at low frequencies. Further development131 '132

has been directed towards achieving a wider passband and balun operation, i.e. balanced input and unbalanced output.

5.4. Impedance element filters (IEF's)

The admittance of a typical long, uniform resonator is illustrated in Fig. 27. The

Mn reality, a small asymmetry may exist due to the anisotropy of the substrate crystal.127

66

Page 79: Advances in Surface Acoustic Wave Technology, Systems

Coupling-of-Modes Analysis of SAW Devices 933

10l

Si 101

b >*

10"'

10

. (a)

J ___y

p = 2 Jim Nt = 300 iVg=37

1 W = 64 (im 1 a/p= 0.5

V -800 850 900 950 1000 1050 1100 1150 1200

/ [MHz]

A—ImYC/)

• •

940 980 1020 1060 1100

/ [MHz] Fig. 27. (a) Admittance of a long uniform LSAW resonator, impedance element, with with heavy (h/\o=10%) aluminum electrodes on a 42°YX-cut LiTaC>3 substrate. (6) Expanded view.

67

Page 80: Advances in Surface Acoustic Wave Technology, Systems

934 V. Plessky & J. Koskela

Zsi ZS2

I .—iQhn—|Q|—i 1 in EH Zv ED ZP EH ZP owf

Fig. 28. Schematic ladder filter. S and P denote series and parallel resonators, respectively.

response is similar to that of a classic quartz bulk-wave resonator with the resonance at about 980 MHz and the antiresonance at at about 1010 MHz, with the resonance-antiresonance separation (R-a-R) being about 30 MHz. The impedance at resonance is very low, below 1 fi, while at the anti-resonance it is close to 1 kf2; such magnitude of change in impedance, three orders, is typical for LSAWs on LiTaC>3 and LiNb03 substrates in the 1 GHz frequency range.

Using such a resonator as a building block, one can repeat many filter design approaches known for filters, based on the bulk wave quartz crystal resonator. This idea has been very fruitfully exploited133 '134 '135 '136 ,137 to create different types of what are called SAW impedance element filters (IEFs). By cascading sections of series and parallel resonators one arrives at ladder filter design, see Fig. 28. If the resonator in Fig. 27 is used as the series arm element, the resonance of the element gives roughly the center of the passband of the filter, while the antiresonance produces a notch to the filter response above the passband. On the other hand, the resonance of the parallel element shunts the signal to ground: with the antiresonance frequency of the parallel element selected close to the resonance frequency of the series element, this results in the lower-frequency notch in the filter response below the passband. The distance between the notches is thus about double of the R-a-R separation, about 60 MHz. Consequently, a passband from 30 MHz to 35 MHz can be achieved.

The COM approach allows one to optimize the admittance of the building blocks and consequently, the filter performance. In the first ladder filters the series arm resonators were usually identical, as well as those in the parallel arms, so optimization included two sets of geometric parameters for resonators: pitches, the number of fingers in the IDTs and reflectors, etc. Nowadays the tendency is to optimize all resonators separately. We will not go into details of the network design. Instead, we will try to trace how the parameters of a single resonator influence the filter performances.

The expanded view in Fig. 27(6) reveals interesting small details. First of all, at frequencies close to 1050 MHz the admittance features ripples associated with the upper edge of the stopband. As discussed before, the width of the stopband is determined by the absolute value of the reflectivity of the fingers, about 22% in

68

Page 81: Advances in Surface Acoustic Wave Technology, Systems

Coupling-of-Modes Analysis of SAW Devices 935

this case. Such a high value is due to very thick electrodes being used. Since the antiresonance of the parallel element is close to the center of the niter's passband, the ripples at the upper edge of the stopband of the parallel element occur at the upper notch in the filter characteristics, without causing deterioration of the device performance. However, if the reflectivity were considerably smaller, the ripples would appear close to the antiresonance of the parallel element and they would result in unacceptably strong ripple in the passband of the filter.136 Very thick electrodes on 42°-LiTa03 give a few other advantages as well:

• bulk-wave radiation (starting practically immediately after the upper edge of

the stopband, see Fig. 27(6)) is reduced

• resistivity of the electrodes is reduced

• the width of R-a-R frequency distance is increased, which gives the possibility of increasing the passband of the filters.

Now, the ripples on the left side from the resonance of the series element always enter into the filter passband and manifest themselves there. If the sections of the filter are identical the ripples will be amplified. A few evident measures can be used to avoid this: very long reflectors and weighted reflectors, as well as a frequency offset between the series elements can be used. Optimization routines can be employed on these parameters to minimize the ripples.

Finally, slightly above the resonance frequency, at about 990 MHz, one can see that the conductance (the real part of the admittance) features strange behavior not described by the COM model. The impression is that something of a bell-shaped additional hill is added on the top of the expected admittance curve,68 suggesting the presence of an additional loss mechanism. We believe that this effect is due the radiation of the LSAWs into the busbars of the device.138 ,139 '140 '141 In the filter response this radiation is manifestated as a degradation of the rectangularity of the left corner of the passband.

The operation of IEFs (including ladder filters) is conceptually different from other SAW filters. The other filters work as some kind of a transformer, wherein the electric energy is transformed into acoustic wave and then reconverted back to the electric form. The frequency-dependent characteristics of the transduction process and sometimes, elements placed into acoustic channel, form the frequency response of the device. The IE filter works not as a transformer but as a switch. At resonance (in the passband) the series element has the impedance as low as 1 CI, and only 2% or so of the voltage applied to the device input is distributed to this element, with the rest being directly applied to the load. The input signal is never transformed completely into acoustic power and only a small part of the power is concentrated inside the SAW elements. This makes the power handling capability of the IE devices much higher than that of CRFs or other classic SAW filters.142

There are numerous ways to manipulate the elements for ladder (IEF) filters. Normally these filters are designed to be self-matched to 50 Q. Network analysis143

69

Page 82: Advances in Surface Acoustic Wave Technology, Systems

936 V. Plessky & J. Koskela

shows that the static impedance of the series and parallel elements must be around this number, more exactly Zs-Zp = 2500 f22. However, the same impedance may be arrived at by connecting two identical resonators (with impedance Z) in parallel and then connecting two such groups in series: Z /2+Z/2=Z. In practice this means that the aperture and the number of electrodes in a resonator may be doubled, but a middle busbar must be introduced. Special care must be taken to ensure the correct polarity of the fingers in the respective tracks, such that the transducers excite the common symmetric waveguide mode. With this trick one can keep the same admittance while doubling the aperture and Nt, which not only gives a fourfold increase in power handling, but may be useful to reduce ripples.

Of course, the opposite possibility is also available: to separate the whole length of the transducer into two equal parts and to connect the parts in series. This increases the impedance of the resonator four times. (Again, attention much be paid to the polarity of the fingers.) This strategy is much better than just decreasing the number of fingers in transducer four times. Finally, the parts of the same resonator can be such that they are not connected at all electrically,144 if the voltage applied to them has the same amplitude and the phase shift is fixed (see an example below). Of course, special care must be taken for the polarity of adjacent electrodes and/or gaps between sections. These tricks allow the distribution of the SAW energy over larger areas in critical points of the filter, the reduction of ripples, and the decrease of other parasitic effects.

This and some other advantages of ladder filters have made the approach dominant in RF filter design over the last 5 years. Compared to CRFs, ladder filters usually have

• better losses

• wider passband

• incomparably higher power handling capability.

As a drawback, the suppression level is determined by a ladder of capacitances and is normally not very good (-25 dB to -45dB). The ripples in the passband normally increase in IEFs if one tries to achieve good rejections -CRFs have no such problems. The use of very thick electrodes in CRFs allow one to decrease losses and nowadays CRFs are becoming a popular design approach again. The advantage of CRFs is also that they can be designed for a balanced/unbalanced environment, which evidently is not possible for IE networks.

5.4.1. Balanced bridge filters (BBF's)

One of the drawbacks of the ladder filters is the relatively poor rejection level. The rejection provided by voltage division on the capacitor sections is independent of frequency and has no tendency to improve with the increase of the detuning from the passband. For the balanced environment, a balanced bridge SAW IEF was

70

Page 83: Advances in Surface Acoustic Wave Technology, Systems

Coupling-of-Modes Analysis of SAW Devices 937

Fig. 29. Balanced bridge filter.

proposed by Kondratiev and Plessky.137 In this filter two pairs of the impedance elements are connected in a balanced bridge configuration, as depicted in Pig. 29.

In the simplest case the static capacitances can be chosen equal for all four elements. To provide the resonance frequency of IE1 close to the anti-resonance frequency of IE2, the resonance frequencies are shifted as for ladder filters. The device works by exploiting the strong misbalance at the passband frequencies: the signals pass with low losses through the IE1 elements, having low impedance, while the other elements, having very high impedance at the passband frequencies, cannot shunt the filter. The voltages transmitted to the output through the static capacitances are cancelled, and out of the passband the rejection grows monotonically.

The equivalent elements IE1 (correspondingly IE2) of the BBF, not connected directly electrically, can be designed as a part of the same resonator. So, the section of the balanced bridge filter can comprise only two resonators.144 To achieve better rejection two sections of BBF may be cascaded.

A few highly symmetric layouts were found by Kondratiev and Plessky,145 which are balanced also with respect to parasitic capacitances. Note that the operation of BBF does not require a well-pronounced antiresonance. This is an important point: ladder filters are never designed on quartz since an extremely long synchronous resonator is needed to achieve an antiresonance. However, the difference of impedances is sufficient to form a BBF passband filter characteristics.

5.4.2. Notch filters

In some applications a filter is needed to suppress signals in a particular frequency range. In the simplest case a synchronous resonator can be used as such a filter, if one connects it in series from the input to the output (the notch will appear at the anti-resonance frequency due to the high impedance disconnecting the output from the input) or in parallel (the shunting effect at the resonance frequency is used). More sophisticated filters have been proposed by Hartmann,1 4 6 and recently by Lorenz and Thompson.147 We mention this class of filters to stress that historically

71

Page 84: Advances in Surface Acoustic Wave Technology, Systems

938 V. Plessky & J. Koskela

the impedance elements were first used in these notch filters.

5.5. COM analysis of filter tolerances to technological variations

The design and operation of the discussed transducers, reflectors, resonators, and resonator filters are all based on periodic structures assumed to consist of ideal electrodes. However, in reality the manufacturing processes have difficulties in accurately producing the electrodes (typically made of aluminum), especially in RF filters where the dimensions of the structures approach the technological limits. Consequently, the sensitivity of a device to technological variations is of extreme importance in mass-production applications where high device yield is crucial.

Besides serving as a tool for filter design, the COM model also enables easy evaluation of the manufacturing sensitivies. Characteristic to the lithographic processes is the fact that , although there are technological variations in the thickness, width and shape of the electrodes from the desired values, the variations remain almost the same over large wafer areas and they are practically constant in any single device. The pitch can be controlled much more accurately than the electrodes themselves, and it is usually of little or no concern. In this case, the COM model of the device remains equally valid, with only the values of the parameters being slightly shifted.

The COM parameters and their dependence on the properties of the electrodes were already discussed in Section 2.3; for an example, see Fig. 14. The consideration is now reviewed from the point of view of manufacturing.

Changes in the thickness of the aluminum electrodes, h, usually result in changes of the velocity v and the reflectivity KP, while the effects on the transduction coefficient and the capacitance tend to be weak. As an exception, the electromechanical coupling of STWs increases with the electrode thickness. Note that the COM parameters depend on the relative electrode thickness h/\, such that the same absolute thickness variation Ah results in different changes in substructures with different pitches.

In synchronous uniform resonators the resonance occurs at an edge of the stop-band, such that the resonance frequency is

*=s ( 1 + S) - <I49)

In most substrates, including the LSAW cuts on LiTaOs and LiNbOs, an increase in the electrode thickness decreases the velocity and increases the reflectivity. From Eq. (149) we see that both contributions tend to shift down the resonance frequency.

Changes in the electrode width a, or the metallization ratio a/p, influence the velocity and the reflectivity as well as the transduction coefficient and the capacitance. Usually, an increase in the metallization ratio slows the wave and increases the electromechanical coupling and the capacitance. Thus, the antiresonance frequency is also shifted. Whereas changes in the electrode thickness shift mainly the resonance frequency, changes in the electrode width shift both the resonance and the antiresonance. Changes in the shape of the electrode are more difficult

72

Page 85: Advances in Surface Acoustic Wave Technology, Systems

Coupling-of-Modes Analysis of SAW Devices 939

of classify, but among the parameters the reflectivity is the most sensitive. The velocity depends mainly on the amount of the total mass, whereas the transduction coefficient and the capacitance depend mainly on the surface area of the electrode.

If the fabrication process is stable and well known, the distribution of the values of the COM parameters resulting from the process may be analyzed statistically, and the device yield may be estimated by running the model with different combinations of the COM paramaters. Concrete examples of the analysis of technological sensitivity on, e.g., quartz in Ref. 148.

5.6. Important issues of SAW filter design, not included in this paper

"One cannot embrace the non-embraceable" said one home-grown philosopher. In practice, the design of a SAW filter begins with the analysis of the filter specification. The choice of the design approach is dictated by numbers written there: some (rare) specifications can be easily met using different design approaches, some are difficult for all known designs. Somewhere in-between these two extremes one often opts for ladder (IE) filters of CRFs, if low loss is demanded. We have tried to show how these popular design approaches can be realized using the COM model. We have no space to discuss many other brilliant design ideas, such as reflector-based filters and ring filters; the COM model is suited to analysis of many such cases as well.

Although optimization routines may vary a few dozens of geometric and layout parameters, they give reliable results only if the COM parameters are accurately known.

Finally, the parasitic elements of packaged devices: bond wires, pin capacitances, etc., must be kept in mind. Some of these elements give almost positive effects. For example, wire bonds can improve the loss level in ladder filters a little, and even increase the passband. Unfortunately, such gifts are rare. In most cases the mutual inductances of the bond wires (transformer effect) dramatically increase the direct electromagnetic signal coming from the input to the output (feedthrough), and additional parallel capacitances increase the passband ripples. There have been attempts to use intensive numerical methods1 4 9 '1 5 0 to model the package parasitics. The results are encouraging, although they still cannot be used together with the optimization routines.

6. Conclusions and Future Challenges

The COM model is an excellent tool for the analysis and design of many types of SAW devices which can be subdivided into uniform periodic sections of transducers, reflectors, and some other elements. The model describes the elementary sections by algebraic formulas, which makes the calculations extremely fast and enables the use of optimization routines. We have tried to show in this review that this area is now in dynamic development. The tools are developed in parallel with the object to which they are applied. However, it would be too early to say that all problems are solved. Some significant problems remain, for example, in the extension of the

73

Page 86: Advances in Surface Acoustic Wave Technology, Systems

940 V. Plessky & J. Koskela

COM model for shear horizontal surface-acoustic waves and in the inclusion of the waveguiding effects in the formalism.

Is there life after COM? What will replace the COM model in future? It is difficult to answer. As a general simplified description of waves of different physical nature in periodic media the model will be used always, but for exact design the tendency will be to use the numerical FEM/BEM methods, at least for the determination of the COM parameters. But as a tool, the popularity of the COM model depends on the subject -filters for mobile communications. For a few years there is no clear alternative to RF SAW filters in mobile phones -meaning further complication of the specifications, higher operation frequencies,151 further sophistication of filters, and further improvement of models to design them.

Acknowledgments

We are grateful to all our colleagues who have contributed during many years to better understanding of the topics discussed in this paper. Our special thanks go to Dr J. Heighway for the difficult task of reading the manuscript. The second author (JK) acknowledges Helsinki University of Technology for a postgraduate Research Scholarship and the Academy of Finland for support through a fellowship within the Graduate School in Technical Physics.

References

1. C. C. W. Ruppel, W. Ruile, G. Scholl, K. Ch. Wagner, and O. Manner, "Review of models for low-Loss filter design and applications", in Proc. 1994 IEEE Ultrason. Symp., pp. 313-324.

2. M. Buchner, W. Ruile, A. Dietz, and R. Dill, "FEM analysis of the reflection coefficient of SAWs in an infinite periodic array", in Proc. 1991 IEEE Ultrason. Symp., pp. 371-375.

3. U. Rosier, D. Cohrs, A. Dietz, G. Fischerauer, W. Ruile, P. Russer, and R. Weigel, "Determination of leaky SAW propagation, reflection and coupling on LiTaOs", in Proc. 1995 IEEE JJltrason. Symp., pp. 247-250.

4. T. Sato and H. Abe, "Propagation of longitudinal leaky surface acoustic waves under periodic metal grating structure on lithium tetraborate", IEEE Trans. Ultrason., Ferroelect, Freq. Contr. 45 (1998) 394-408.

5. P. Ventura, J. Desbois, and L. Boyer, "A mixed FEM/analytical model of the electrode mechanical perturbation for SAW and PSAW propagation", in Proc. 1993 IEEE Ultrason. Symp., pp. 205-208.

6. P. Ventura, J. M. Hode, and M. Solal, "A new efficient combined FEM and periodic Green's function formalism for the analysis of periodic SAW structures", in Proc. 1995 IEEE Ultrason. Symp., pp. 263-268.

7. P. Ventura, J. M. Hode, "A new accurate analysis of periodic IDTs. built on unconventional orientation on quartz", in Proc. 1997 IEEE Ultrason. Symp., pp. 139-142.

8. K. Hashimoto and M. Yamaguchi, "Precise simulation of surface transverse wave devices by discrete Green function theory", in Proc. 1994 IEEE Ultrason. Symp., pp. 253-258.

9. K. Hashimoto, G. Endoh and M. Yamaguchi, "Coupling-of-modes modelling for fast and precise simulation of leaky surface acoustic wave devices" in Proc. 1995 IEEE

74

Page 87: Advances in Surface Acoustic Wave Technology, Systems

Coupling-of-Modes Analysis of SAW Devices 941

Ultrason. Syrup., pp. 251-256. 10. K. Hashimoto and M. Yamaguchi, "General-purpose simulator for leaky surface acoustic

wave devices based on coupling-of-modes theory", in Proc. 1996 IEEE Ultrason. Symp., pp . 117-122.

11. R. C. Peach, "A general Green function analysis for SAW devices", in Proc. 1995 IEEE Ultrason. Symp., pp. 221-225.

12. V. P. Plessky and T. Thorvaldsson, "Periodic Green's function analysis of SAW and leaky SAW propagation in a periodic system of electrodes on a piezoelectric crystal", IEEE Trans. Ultrason., Ferroelect., Freq. Contr. 4 2 (1995) 280-293.

13. J . Koskela, V. P. Plessky, and M. S. Salomaa, "Suppression of the leaky SAW attenuation with heavy mechanical loading", IEEE Trans. Ultrason., Ferroelect., Freq. Contr. 4 5 (1998) 439-449.

14. A. Isobe, M. Hikita, K. Asai, "Propagat ion characteristics of longitudinal leaky SAW in Al-grating structure", IEEE Trans. Ultrason., Ferroelect., Freq. Contr. 4 6 (1999) 849-855.

15. C. Elachi, "Waves in active and passive periodic structures: a review", Proc. of the IEEE 6 4 (1976) 1666-1698.

16. H. A. Haus, "Coupled-mode theory", Proc. of the IEEE 7 9 (1991) 1505-1518. 17. R. M. White and F. W. Voltmer, "Direct piezoelectric coupling to surface elastic waves",

Appl. Phys. Lett. 7 (1965) 314-316. 18. Y. Suzuki, H. Shimizu, M. Takeuchi, K. Nakamura, and A. Yamada, "Some studies on

SAW resonators and multiple-mode filters", in Proc. 1976 IEEE Ultrason. Symp., pp. 297-302.

19. H. A. Haus, "Modes in SAW grating resonators", J. Appl. Phys. 4 8 (1977) 4955-4961. 20. H. A. Haus, "Bulk scattering loss of SAW grating cascades", IEEE Trans. Sonics

Ultrason. 2 4 (1977) 259-267. 21. H. A. Haus and K. L. Wang, "Modes of grating waveguide", J. Appl. Phys. 4 9 (1978)

1061-1069. 22. C. S. Har tmann, P. V. Wright, R. J. Kansy, and E. M. Garber, "An analysis of SAW

interdigital transducers with internal reflections and the application to the design of single-phase unidirectional transducers", in Proc. 1982 IEEE Ultrason. Symp., pp. 40-45.

23. C. S. Har tmann and B. A. Abbott , "A generalized impulse response model for SAW transducers including effects of electrode reflections", in Proc. 1988 IEEE Ultrason. Symp., pp. 29-34.

24. Y. Koyamada and S. Yoshikawa, "Coupled mode analysis of a long IDT" , Review of the Electrical Communication Laboratories, 2 7 (1979) 432-444.

25. D. P. Chen and H. A. Haus, "Analysis of metal-strip SAW gratings and transducers", IEEE Trans. Sonics Ultrason. 3 2 (1985) 395-408.

26. P. V. Wright, "A new generalized modeling of SAW transducers and gratings", in Proc. 43th Frequency Control Symp., 1989, pp. 596-605.

27. B. P. Abbot t , " A derivation of the coupling-of-modes parameters based on the scattering analysis of SAW transducers and gratings", in Proc. 1991 IEEE Ultrason. Symp., pp. 5-10.

28. S. V. Biryukov, G. Martin, V. G. Polevoi, and M. Weihnacht, "Derivation of COM equations using the surface impedance method", IEEE Trans. Ultrason., Ferroelect., Freq. Contr. 4 2 (1995) 602-611.

29. V. P. Plessky and C. S. Har tmann, "Characteristics of leaky SAWs on 36-LiTa0 3 in periodic structures of heavy electrodes" in Proc. 1993 IEEE Ultrason. Symp., pp. 1239-1242.

30. C. S. Har tmann and V. P. Plessky, "Experimental measurements of propagation, at-

75

Page 88: Advances in Surface Acoustic Wave Technology, Systems

942 V. Plessky & J. Koskela

tenuation, reflection and scattering of leaky waves in Al electrode gratings on 41°, 52°, and 64°-LiNb0 3 " , in Proc. 1993 IEEE Ultrason. Symp., pp. 1247-1250.

31. C. S. Hartmann, V. P. Plessky, and S. Jen, "112°-LiTa03 periodic waveguides", in Proc. 1995 IEEE Ultrason. Symp., pp. 63-66.

32. V. P. Plessky, "Two parameter coupling-of-modes model for shear horizontal type SAW propagation in periodic gratings", in Proc. 1993 IEEE Ultrason. Symp., pp. 195-200.

33. B. P. Abbot t and K. Hashimoto, "A coupling-of-modes formalism for surface transverse wave devices", in Proc. 1995 IEEE Ultrason. Symp., pp. 239-245.

34. S. Krasnikova, B. P. Abbot t , and Rodolfo C. Almar, " C O M parameter extraction for S T W resonator design", in Proc. 11th European Frequency and Time Forum, 1997, pp. 440-444.

35. V. P. Plessky, D. P. Chen, and C. S. Har tmann, "Pa tch improvements to COM model for leaky waves", in Proc. 1994 IEEE Ultrason. Symp., pp. 297-300.

36. V. I. Grigorievski, I. M. Kotelyansky, E. M. Mirgorodskaya, V. P. Orlov, and V. P. Plessky, "Resonance interaction between Rayleigh surface acoustic wave and Lamb modes in plates with periodically corrugated surface", Sov. Acoustical Physics 3 0 (1984) 192-198.

37. K. Hashimoto, Surface Acoustic Wave Devices in Modern Communication Systems and their Simulation Technologies, Springer-Verlag, 2000.

38. G. Tobolka, "Mixed matr ix representation of SAW transducers", IEEE Trans. Sonics Ultrason. 2 6 (1979) 426-428.

39. B. P. Abbott , C. S. Har tmann, and D. C. Malocha, "A coupling-of-modes analysis of chirped transducers containing reflective electrode geometries", in Proc. 1989 IEEE Ultrason. Symp., pp. 129-134.

40. N. Kimura and M. Takeuchi, "Direct numerical analysis of SAW coupling-of-modes equations and its applications to weighted single-phase unidirectional transducers and weighted reflectors", Proc. 1999 IEEE Ultrason. Symp., pp. 203-208.

41 . D. P. Morgan, "Cascading formulas for identical transducer P-matrices", IEEE Trans. Ultrason., Ferroelect., Freq. Contr. 4 3 (1996) 985-987.

42. B. K. Sinha and H. F . Tiersten, "Variational analysis of the reflection of surface waves by arrays of reflecting strips", J. Appl. Phys. 4 7 (1976) 2824-2832.

43. S. Dat ta , and B. Hunsinger, "First-order reflection coefficient of surface-acoustic waves from thin-strip overlays", J. Appl. Phys. 5 0 (1979) 5661-5665.

44. S. Dat ta , and B. Hunsinger, "Analysis of energy storage effects on SAW propagation in periodic arrays", IEEE Trans. Sonics Ultrason. 2 7 (1980) 333-341.

45. H. Robinson and Y. Hahn, "Electrical reflection coefficient and velocity shift for groove gratings", IEEE Trans. Ultrason., Ferroelect, Freq. Contr. 3 8 (1991) 305-310.

46. D. P. Morgan, Surface-Wave Devices for Digital Signal Processing, Elsevier, 1991. 47. C. M. Panasik and B. J . Hunsinger, "Scattering matr ix analysis of surface acoustic

wave reflectors and transducers", IEEE Trans. Sonics Ultrason. 2 8 (1981) 79-91. 48. R. C. Li and J. Melngailis, "The influence of stored-energy at s tep discontinuities on

the behaviour of surface-wave gratings", IEEE Trans. Sonics Ultrason. 2 2 (1975) 189-198.

49. J. Koskela, V. P. Plessky, and M. M. Salomaa, "SAW/LSAW COM parameter extraction from computer experiments with harmonic admit tance of a periodic array of electrodes", IEEE Trans. Ultrason., Ferroelect, Freq. Contr. 4 6 (1999) 806-817.

50. A. J. Slobodnik, E. D. Conway, and R. T . Delmonico, "Microwave acoustics handbook", Air Force Cambridge Research Laboratories, AFCRL-TR-73-0597, Oct. 1973.

51. G. Kovacs, M. Anhorn, H. E. Engan, G. Visintini, and C. C. W. Ruppel, "Improved material constants for L i N b 0 3 and L i T a 0 3 " , in Proc. 1990 IEEE Ultrason. Symp., pp. 435-438.

76

Page 89: Advances in Surface Acoustic Wave Technology, Systems

Coupling-of-Modes Analysis of SAW Devices 943

52. S. Matsumura, T . Omi, N. Yamaji, and Y. Ebata, " A 45°X cut L i 2 B 4 0 7 single crystal substrate for SAW resonators", in Proc. 1987 IEEE Ultrason. Symp., pp. 247-252.

53. O. Kawachi, G. Endoh, M. Ueda, O. Ikata, K. Hashimoto, and M. Yamaguchi, "Opt i mum cut of LiTaOs for high performance leaky surface acoustic wave filters", in Proc. 1996 IEEE Ultrason. Symp., pp . 71-76.

54. K. Hashimoto, M. Yamaguchi, S. Mineyoshi, O. Kawachi, M. Ueda, G. Endoh, and O. Ikata, "Opt imum leaky-SAW cut of LiTa03 for minimised insertion loss devices", in Proc. 1997 IEEE Ultrason. Symp., pp. 245-254.

55. H. Skeie, "Mechanical and electrical reflections in interdigital transducers", in Proc. 1972 IEEE Ultrason. Symp., pp. 408-412.

56. D. A. Simons, "Reflection of Rayleigh waves by strips, grooves and periodic arrays of strips and grooves", J. Acoust. Soc. Am. 6 3 (1978) 1292-1301.

57. K. Bl0tekjser, K. A. Ingebrigtsen and H. Skeie, "A method for analysing waves in structures consisting of metal strips on dispersive media", IEEE Trans. Electron Devices 2 0 (1973) 1133-1138.

58. K. Bl0tekjaer, K. A. Ingebrigtsen and H. Skeie, "Acoustic surface waves in piezoelectric materials with periodic metal strips on surface", IEEE Trans. Electron Devices 2 0 (1973) 1139-1146.

59. S. V. Biryukov, Yu. V. Gulyaev, V. V. Krylov, and V. P. Plessky, Surface Acoustic Waves in Inhomogeneous Media, Springer-Verlag, Heidelberg, 1995, pp. 163-174.

60. T. Thorvaldsson, private communication. 61. C. S. Har tmann, S. Jen, M. A. Domalewski, and J. C. Andle, "Improved accuracy for

determining SAW transducer capacitance and K2", in Proc. 1987 IEEE Ultrason. Symp., pp . 161-167.

62. P. V. Wright, "The na tura l single-phase unidirectional transducer: a new low-loss SAW transducer", in Proc. 1985 IEEE Ultrason. Symp., pp . 58-63.

63. C. S. Har tmann, P. V. Wright, R. J. Kansy, and E. M. Garber, "An analysis of SAW interdigital transducers with internal reflections and the application to the design of single-phase unidirectional transducers", in Proc. 1982 IEEE Ultrason. Symp., pp. 40-45.

64. M. Lewis, "Low-loss SAW devices employing single stage fabrication", in Proc. 1983 IEEE Ultrason. Symp., pp. 104-108.

65. J. M. Hode, J. Desbois, P. Dufilie, M. Solal, and P. Ventura, "SPUDT-based filters: design principles and optimization", in Proc. 1995 IEEE Ultrason. Symp., pp. 39-50.

66. K. M. Lakin, "Electrode resistance effects in interdigital transducers", IEEE Trans. Microwave Theory Tech. 2 2 (1974) 418-424.

67. K. C. Wagner, L. Reindl, and O. Manner, "Surface wave to bulk wave conversion in SAW-reflectors on strong coupling substrates", in Proc. 1993 IEEE Ultrason. Symp., pp. 209-213.

68. K. Honkanen, J. Koskela, V. P. Plessky, and M. M. Salomaa, "Parasit ic BAW excitation in LSAW transducers and resonators at high frequencies", in Proc. 1998 IEEE Ultrason. Symp., pp . 949-952.

69. J. L. Bleustein, "A new surface wave in piezoelectric crystals" Appl. Phys. Lett. 1 3 (1968) 412-413.

70. K. Yamanouchi and K. Shibayama, "Propagat ion and amplification of Rayleigh waves and piezoelectric leaky surface waves in LiNbOs", J. Appl. Phys 3 2 (1972) 856-862.

71. K. Nakamura, M. Kazumi, H. Shimizu, "Surface and Rayleigh-type surface waves on rotated Y-cut L i T a 0 3 " , in Proc. 1977 IEEE Ultrason. Symp., pp . 819-822.

72. A. E. H. Love, Some Problems of Geodynamics, Cambridge University Press, 1911; Dover, 1967.

73. B. A. Auld, J. J. Gagnepain, and M. Tan, "Horizontal shear waves on corrugated

77

Page 90: Advances in Surface Acoustic Wave Technology, Systems

944 V. Plessky & J. Koskela

surfaces", Electron. Lett. 1 2 (1976) 650-651. 74. Yu. V. Gulyaev and V. P. Plessky, "Slow acoustic surface waves in solids", Sov. Tech.

Phys. Lett. 3 (1977) 87-88. 75. B. A. Auld, and D. F . Thompson, "Temperature compensation of surface transverse

waves for stable oscillator applications", in Proc. 1987 IEEE Ultrason. Symp., pp. 305-312.

76. B. I. Boyanov, K. D. Djordjev, V. L. Strashilov, and I. D. Avramov, " C O M theory analysis of S T W resonator structures", in Proc. 1995 IEEE Ultrason. Symp., pp. 317-320.

77. V. L. Strashilov, K. D. Djordjev, B. I. Boyanov, and I. D. Avramov, "A coupling-of-modes approach to the analysis of S T W devices", IEEE Trans. Ultrason., Ferroelect., Freq. Control 4 4 (1997) 652-657.

78. E. Gavignet, S. Ballandras, and E. Bigler, "Theoretical analysis of surface transverse waves propagating on a piezoelectric substrate under shallow groove or thin metal strip gratings", J. Appl. Phys. 7 7 (1995) 6228-6233.

79. E. J. Danicki, "Propagation of transverse surface acoustic waves in rotated Y-cut quartz substrates under heavy periodic metal electrodes", IEEE Trans. Sonics Ultrason. 3 0 (1983) 304-312.

80. V. L. Strashilov, K. D. Djordjev, and V. M. Yantchev, "The coupling-of-modes approach to the analysis of S T W devices: pa r t II" , IEEE Trans. Ultrason., Ferroelect., Freq. Contr. 4 6 (1999) 1512-1517.

81. Y. Sakamoto, K. Hashimoto, M. Yamaguchi, "Behaviour of leaky surface acoustic wave propagation a t discontinuous region of periodic grating", Jpn. J. Appl. Phys 3 7 (1998) 2905-2908.

82. A. Ronnekleiv, "Anisotropy in surface transverse wave resonators", in Proc. 1987 IEEE Ultrason. Symp., pp . 325-329.

83. J . Koskela, V. P. Plessky, and M. M. Salomaa, "Theory for shear-horizontal surface-acoustic waves in finite synchronous resonators", in Proc. 1999 IEEE Ultrason. Symp., pp . 119-124.

84. C. S. Har tmann and R. T. Har tmann, "Software for multiport R F network analysis with a large number of frequency samples and application to 5-port device measurement", in Proc. 1990 IEEE Ultrason. Symp., pp. 117-122.

85. J. H. Hines and D. C. Malocha, "A simple transducer equivalent circuit parameter extraction technique", in Proc. 1993 IEEE Ultrason. Symp., pp . 173-177.

86. K. Hashimoto, G. Q. Zheng, and M. Yamaguchi, "Fast analysis of SAW propagation under multi-electrode-type gratings with finite thickness", in Proc. 1997 IEEE Ultra-son. Symp., pp. 279-284.

87. S. V. Biryukov and M. Weihnacht, "The effective permittivity in the complex plane and a simple estimation method for leaky wave slowness", in Proc. 1996 IEEE Ultrason. Symp., pp . 221-224.

88. S. V. Biryukov and M. Weihnacht, "Real-space field of surface sources and the problem of leaky wave generation in a piezoelectric half-space", J. Appl. Phys. 8 3 (1998) 3276-3287.

89. K. Hashimoto, J. Koskela, and M. M. Salomaa, "Fast determination of coupling-of-modes parameters based on the str ip admit tace approach", in Proc. 1999 IEEE Ultrason. Symp., pp. 93-96.

90. C. Thoma, "Fast COM parameter extraction from theoretically derived dispersion relations for SAWs propagating in periodic metallic gratings", in Proc. 1999 IEEE Ultrason. Symp., pp . 151-154.

91. Y. Zhang, J. Desbois, and L. Boyer, "Characteristic parameters of surface acoustic waves in a periodic metal grating on a piezoelectric substrate", IEEE Trans. Ultrason.,

78

Page 91: Advances in Surface Acoustic Wave Technology, Systems

Coupling-of-Modes Analysis of SAW Devices 945

Ferroelect, Freq. Contr. 4 0 (1993) 183-192. 92. S. Biryukov, private communication. 93. Private communication with Dr. Jean Desbois, whose careful analysis pointed out the

necessity for the inclusion of the sine factor, ignored in Ref. 49. 94. Z. H. Chen and K. Yamanouchi, "Theoretical analysis of relations between directivity

of SAW transducer and its dispersion curves", in Proc. 1989 IEEE Ultrason. Symp., pp. 71-74.

95. J. Koskela, V. P. Plessky, and M. M. Salomaa, "Analytic model for S T W / B G W / L S A W resonators", in Proc. 1998 IEEE Ultrason. Symp., pp. 135-138.

96. P. Wright, "Modeling and experimental measurements of the reflection properties of SAW metalic gratings", in Proc. 1984 IEEE Ultrason. Symp., pp. 54-63.

97. S. Kondratiev, private communication. 98. H. T. Davis, Introduction to Nonlinear Differential and Integral Equations, Dover

Publications, Inc., New Yourk, 1962, p . 57. 99. F. G. Marshall and E. G. S. Paige, "Novel acoustic-surface-wave directional coupler

with diverse applications", Electron. Lett. 7 (1971) 460-462. 100. C. Campbell, Surface Acoustic Wave Devices and Their Signal Processing Ap

plications, Academic Press, Inc., San Diego, 1989. 101. B. P. Abbot t and D. C. Malocha, "Closed form solutions for multistrip coupler op

eration including the effects of electrode resistivity", in Proc. 1990 IEEE Ultrason. Symp., pp . 25-30.

102. W. Soluch, "Application of a s topband multistrip coupler in a SAW resonator filter", in Proc. 1994 IEEE Ultrason. Symp., pp. 249-251.

103. M. Feldmann and J. Henaff, Surface Acoustic Waves for Signal Processing, Artech House, Norwood, 1989.

104. S. A. Doberstein, V. A. Malyukov, K. Nikolaenko, and V. Razgonyaev, "Using of self-resonance approach for high selectivity low loss SAW filters", in Proc. 1992 IEEE Ultrason. Symp., pp. 151-154.

105. L. Reindl, "Track-changing structures on YZ-LiNb0 3 " , in Proc. 1997 IEEE Ultra-son. Symp., pp. 77-82.

106. W. Pollock, J. Schofield, R. F . Milsom, R. J. Murray, and I. Flinn, "Low loss SAW filter using single-phase IDTs and no external tuning", in Proc. 1983 IEEE Ultrason. Symp., pp. 87-92.

107. E. Danicki, "Theory and applications of RMSC", in Proc. 1992 IEEE Ultrason. Symp., pp . 195-198.

108. S. A. Dobershtein and V. A. Malyukhov, "Comparat ive performances of 460 MHz low-loss SAW ring and dual-track image impedance connected niters", in Proc. 1995 IEEE Ultrason. Symp., pp . 81-84.

109. P. V. Wright, "A review of SAW resonator filter technology", in Proc. 1992 IEEE Ultrason. Symp., pp. 29-38.

110. W. Tanski, "Surface-acoustic wave resonators on quartz" , IEEE Trans. Sonics Ultrason. 2 6 (1979) 93-104.

111. V. P. Plessky, S. N. Kondratiev, R. Stierlin, and F. Nyffeler, "SAW tags: new ideas", in Proc. 1995 IEEE Ultrason. Symp., pp. 117-120.

112. L. Reindl, C. C. W. Ruppel, A. Kirmayr, N. Stockhausen, M. A. Hilhorst, "Passive radio requestable SAW water content sensor", in Proc. 1999 IEEE Ultrason. Symp., pp. 461-466.

113. E. Ash, "Surface wave grating reflectors and resonators", Digest of IEEE Microwave Theory and Techniques Symp., 1970, pp< 385-386.

114. P. S. Cross, W. R. Schreve, and T. S. Tan, "Synchronous IDT SAW resonators with Q above 10000", in Proc. 1979 IEEE Ultrason. Symp., pp. 824-829.

79

Page 92: Advances in Surface Acoustic Wave Technology, Systems

946 V. Plessky & J. Koskela

115. P. S. Cross, R. V. Schmidt, "Coupled surface-acoustic-wave resonators", The Bell system technical journal (1977) 1447-1482.

116. G. K. Montress, T. E. Parker, and D. Andres, "Review of SAW oscillator performance", in Proc. 1994 IEEE Ultrason. Symp., pp . 43-54.

117. D. P. Morgan, "Simplifications for analysis of SAW multipole resonators", in Proc. 1993 IEEE Ultrason. Symp., pp . 167-172.

118. T. Morita, Y. Watanabe, M. Tanaka, and Y. Nakazawa, "Wideband low loss double mode SAW filters", in Proc. 1992 IEEE Ultrason. Symp., pp. 95-104.

119. M. A. Sharif, C. Lambert , D. P. Chen, and C. S. Har tmann, "Network coupled, high performance SAW resonator filters", in Proc. 1994 IEEE Ultrason. Symp., pp. 135-138.

120. European Patent Application EP0845858A2, 1998, FUJITSU LIMITED, M. Ueda, O. Kawachi, G. Endoh, and Y. Fujiwara, "Surface acoustic wave device".

121. C. S. Har tmann, D. P. Chen, and J. Heighway, "Modeling of SAW transversely coupled resonator filters using coupling-of-modes modeling technique", in Proc. 1992 IEEE Ultrason. Symp., pp. 39-43.

122. C. S. Hartmann, D. P. Chen, and J. Heighway, "Experimental determination of COM parameters for SAW transversely coupled resonator filter", in Proc. 1992 IEEE Ultrason. Symp., pp. 211-214.

123. D. P. Chen, M. A. Scwab, C. Lambert , C. S. Har tmann, and J . Heighway, "Precise design technique of SAW transversely coupled resonator filters on quartz" in Proc. 1994 IEEE Ultrason. Symp., pp . 67-70.

124. H. F . Tiersten and R. C. Smythe, "Guided acoustic surface wave filters", in Proc. 1975 IEEE Ultrason. Symp., pp . 293-294.

125. M. Tanaka, T. Morita, K. Ono, and Y. Nakazawa, "Narrow bandpass filters using double-mode SAW resonators on quartz" , Proc. 38th Annual Frequency Control Symp., 1984, pp. 286-293.

126. G. Martin, "Transversely coupled resonator filters", in Proc. 1999 IEEE Ultrason. Symp., pp . 15-24.

127. C. S. Har tmann, B. P. Abbott , S. Jen, and D. P. Chen, "Distortion of transverse mode symmetry is SAW transversely coupled resonators due to natural S P U D T effects", in Proc. 1994 IEEE Ultrason. Symp., pp. 71-74.

128. G. Scholl, W. Ruile, P. H. Russer, "P-matr ix modeling of transverse-mode coupled resonator filters", in Proc. 1993 IEEE Ultrason. Symp., pp . 41-46.

129. Y. Xu and P. M. Smith, "A COM analysis of SAW waveguide-coupled resonator filters" in Proc. 1993 IEEE Ultrason. Symp., pp. 31-34.

130. S. V. Biryukov, G. Martin, V. G. Polevoi, M. Weihnacht, "Consistent generalization of COM equations to three-dimensional structures and the theory of the SAW transversely coupled waveguide resonator filter", IEEE Trans. Ultrason., Ferroelect, Freq. Contr. 4 2 (1995) 612-618.

131. G. Matrin and B. Wall, "Waveguide coupling of SAW resonators with different properties", in Proc. 1998 IEEE Ultrason. Symp., pp . 39-42.

132. M. Solal, "A mixed transverse modes and angular spectrum of waves model for the analysis of SAW transversely coupled resonators filters", in Proc. 1999 European Freq. and Time Contr. Forum and Freq. Contr. Symp., pp . 945-949.

133. O. Ikata, T. Miyashita, T. Matsuda, T. Nishihara, and Y. Satoh, "Development of low-loss band-pass filters using SAW resonators for portable telephones", in Proc. 1992 IEEE Ultrason. Symp., pp . 111-115.

134. O. Ikata, Y. Satoh, H. Uchishiba, H. Taniguchi, N. Hirasawa, K. Hashimoto, and H. Ohmori, "Development of small antenna duplexer using SAW filters for handheld phones", in Proc. 1993 IEEE Ultrason. Symp., pp . 111-114.

80

Page 93: Advances in Surface Acoustic Wave Technology, Systems

Coupling-of-Modes Analysis of SAW Devices 947

135. M. Hikita, N. Shibagaki, T. Akagi, and K. Sakiyama, "Design methodology and synthesis techniques for ladder-type SAW resonator coupled filters", in Proc. 1993 IEEE Ultrason. Syrup., pp. 15-24.

136. M. Ueda, O. Kawachi, K. Hashimoto, O. Ikata, and Y. Satoh, "Low loss ladder type SAW filter in the range of 300 to 400 MHz", in Proc. 1994 IEEE Ultrason. Symp., pp. 143-146.

137. J. Heighway, S. N. Kondratiev, and V. P. Plessky, "Balanced bridge SAW impedance element filters", in Proc. 1994 IEEE Ultrason. Symp., pp . 27-30.

138. J. V. Knuutti la, P. T. Tikka, T. Thorvaldsson, V. P. Plessky, and M. M. Salomaa, "Recent advances in laser-interferometric investigations of SAW devices", in Proc. 1997 IEEE Ultrason. Symp., pp . 161 164.

139. J. V. Knuutti la, P. T. Tikka, C. S. Har tmann, V. P. Plessky, and M. M. Salomaa, "Anomalous asymmetric acoustic radiation in low-loss SAW niters", Electron. Lett. 3 5 (1999) 1115-1116.

140. J. Koskela, J. Knuutti la, P. Tikka, M. M. Salomaa, C. S. Har tmann, and V. P. Plessky, "Acoustic leakage mechanism for leaky SAW resonators on lithium tantala te" , Appl. Phys. Lett. 7 5 (1999) 2683-2685.

141. J. V. Knuutti la, J . Koskela, P. T. Tikka, M. M. Salomaa, C. S. Hartmann, and V. P. Plessky, "Asymmetric acoustic radiation in leaky SAW resonators on lithium tantala te" , in Proc. 1999 IEEE Ultrason. Symp., pp . 83-86.

142. J. P. Laine, V. P. Plessky, and M. M. Salomaa, "Investigations of the power tolerance of ladder impedance-element SAW niters", in Proc. 1996 IEEE Ultrason. Symp., pp. 15-18.

143. L. N. Dworsky, "A comparison of band pass filter technologies for communications system applications", in Proc. 1991 IEEE Ultrason. Symp., pp. 241-250.

144. V. P. Plessky, Technical note, Micronas Semiconductor SA, 19.04.1996. 145. V. P. Plessky, "SAW design bridges technology gap" , RF Design (1998) 46-54. 146. C. S. Har tmann and P. V. Wright, US Patent 4,599,587, "Impedance element", July

8, 1986. 147. P. A. Lorenz and D. F . Thompson, "Wide bandwidth low cost SAW notch filters", in

Proc. 1998 IEEE Ultrason. Symp., pp. 51-55. 148. P. Ventura, J . M. Hode, M. Solal, J. Desbois, and J. Ribbe, "Numerical methods for

SAW propagation characterization", Proc. 1998 IEEE Ultrason. Symp., pp. 175-186. 149. G. Fischerauer, D. Gogl, R. Weigel, and P. Russer, "Rigorous modeling of parasitic

effects in complex SAW R F filters" 1994 IEEE MTT-S Digest, WE4A-3, pp. 1209-1212.

150. T. Makkonen, V. P. Plessky, S. Kondratiev, and M. M. Salomaa, "Electromagnetic modeling of package parasitics in SAW-duplexer", in Proc. 1996 IEEE Ultrason. Symp., pp . 29-32.

151. S. Lehtonen, J. Koskela, M. M. Salomaa, V. P. Plessky, M. Honkanen, and J. Turunen, "Surface acoustic wave impedance element niters for 5GHz", Appl. Phys. Lett. 7 5 (1999) 142-144.

81

Page 94: Advances in Surface Acoustic Wave Technology, Systems

This page is intentionally left blank

Page 95: Advances in Surface Acoustic Wave Technology, Systems

International Journal of High Speed Electronics and Systems, Vol. 10, No. 4 (2000) 949-1015 © World Scientific Publishing Company

THEORY AND APPLICATIONS OF GREEN'S FUNCTIONS

ALI R. BAGHAI-WADJI"

Materials Physics Laboratory, Helsinki University of Technology P. O. Box 2200 (Technical Physics), FIN-02015 HUT, Finland

In this chapter it is shown that three dimensional governing- and constitutive equations in transversally inhomogeous piezoelectric media can be diagonalized. A symbolic notation has been introduced which allows to perform the diagonalization simply by inspection. Diagonalized differential equations transform into eigenvalue forms in Fourier domain. Solving for the corresponding eigenpairs, the construction of various Green's functions has been demonstrated by several examples. In addition novel ideas for the calculation of self-actions in the boundary element method have been discussed. The work consists of four sections. Following a brief introduction in the first section, the diagonalization procedure is described in the second section. The presented methodology is a refinement of the author's ideas which were presented in various short courses. The third section on Green's function theory and the calculation of self-actions in the boundary element builds upon the author's lecture notes. The fourth section briefly summarizes our discussion and suggests directions for possible future research.

°The author is presently on leave of absence from Vienna University of Technology, Institute E366-B, GufihausstraBe 27/366B, A-1040, Wien, Vienna, AUSTRIA.

83

Page 96: Advances in Surface Acoustic Wave Technology, Systems

950 A. R. Baghai-Wadji

1. Introduct ion

For nearly two decades the boundary element method (BEM) has been the method of my choice for solving boundary value problems. 1 - 5 BEM alone or in conjunction with other popular numerical methods, such as finite difference method (FDM) or finite element method (FEM), can serve to tackle a large number of engineering problems, reliably and efficiently. As long as we are dealing with linear problems, BEM may offer distinct advantages compared with the FDM and FEM. Using BEM the dimensionality of the problems reduces by one, leading to smaller impedance matrices. However, for obtaining matrices with a reduced size we trade a number of drawbacks which can be challenging: (i) The resulting impedance matrices are dense as opposed to the corresponding matrices obtained from FDM and FEM. (ii) For the application of the BEM surface integral equations must be formulated, which involve certain fundamental solutions, called Green's functions.6 - 9

The construction of Green's functions and their spatial derivatives can be challenging, (iii) We will refer to the elements of the impedance matrices in the BEM as the (mutual) interaction elements. The diagonal elements will be called self-action elements. In most applications the calculation of the self-action elements is extremely tedious if not particularly hard. In Ref. 9 we presented a unifying procedure for the treatment of the problems mentioned in (ii) and (iii); some selected topics will be covered in Section 3 of this work.

The complexity of the piezoelectric equations in inhomogeneous media has been the driving force for the development of the symbolic notation which is presented in Section 2. The diagonalization is not a new technique. What is new is the proposed symbolic technique, and the fact that this technique applies to problems in three dimensions, and finally the fact that it covers the analysis of transversally inhomogeneous media.

Concerning the denseness of the impedance matrices mentioned in (i), it has been known that wavelets, or generally, locatized expansion functions, may provide some help. We also have looked at this problem, however, from a different point of view than those reported in literature, and obtained promissing preliminary results.10

Space limitation does not allow to discuss any of the results obtained more recently. The IEEE Ultrasonics Conference Proceedings are a good source to find further examples, and additional references to this author's work.

Concerning the diagonalization the reader might find useful information in Refs. 11-15. With regard to the application of Green's functions in device modeling and simulation the discussions in Refs. 16-22 may be helpful.

2. On the Diagonalization of Piezoelectr ic Equations in Transversally Inhomogeneous Media

There are two notations used for the manipulation of the governing and constitutive equations in piezoelectric media; the tensor- and matrix notation. While physicists commonly prefer to use the tensor notation, engineers mostly feel more comfortable with the matrix notation. In this section, building upon the matrix notation, we present a novel symbolic method for fast and convenient manipulation of the piezoelectric equations. Simplicity of calculations and the design of fast algorithms have been the driving force for the development of this technique.

It will be shown that the piezoelectric equations (like several other fundamental equations in mathematical physics) can be reduced to a structured system of partial differential equations (PDEs), which involves a matrix differential operator £ . In the Fourier domain £ transforms into an algebraic matrix L. For general piezoelectric media C and L are comparatively complex (8 x 8) matrices.

The author's efforts to simplify the manipulatory calculations have suggested the definition of three (6 x 3) matrices Nj (i = 1,2,3), which can be seen as a generalization of the conventional outward unit normal vectors n* (i = 1, 2, 3).

84

Page 97: Advances in Surface Acoustic Wave Technology, Systems

Theory and Applications of Green's Functions 951

The unique properties of Nj allow us to speed up the manipulatory calculations by orders of magnitude. The hand calculations can be performed simply by inspection.

In the following analysis we first define Nj and then establish their properties. Finally we use these matrices to diagonalize the piezoelectric equations.

Not only the piezoelectric equations but also every system of PDEs in mathematical physics we have investigated so far, possesses an equivalent diagonalized representation. This fact motivates the following statement:

Linearized partial differential equations, as mathematical models for physically realizable systems, can be diagonalized.

The existence and construction of diagonalized forms have been demonstrated for a variety of equations in Ref. 9; e.g. the Laplace's equation for electrostatic-and magnetostatic problems, the Maxwell's equations, the Newton's equations, the piezoelectric equations, and the piezoelectromagnetic equations?

In this work, however, we focus on the piezoelectric phenomenon and introduce a recipe for the conversion of the associated equations into an equivalent diagonalized form. The calculations will be carried out in three spatial dimensions.

Qualitative Description of the Diagonalization: Given a certain boundary value problem in terms of a set of PDEs, consider a point p, and associated with it, a distinguished direction in the space. Let the z—axis denote this direction? Assume a (tangent-)plane P passing through the point p, to be locally perpendicular to the z—axis. Denote the spatial variables in the P—plane by x and y. At point p traverse in z—direction across the P—plane, and determine the interface conditions on this plane. Determine all the irreducible {§) and derived variables (f) entering the interface equations. Call these variables the essential variables. Refer to all the remaining variables in the governing and constitutive equations as the nonessential variables.

The diagonalized form only involves the vector ( $ , ? ) ' . d

This fact can be exploited for performance enhancement of numerical methods1 1 . In the FEM the diagonalization automatically leads to the geometrical and natural boundary conditions; in the FDM the diagonalization suggests the development of novel stencils; and finally in the BEM the diagonalization offers numerous valuable possibilties for designing accelerated and robust numerical techniques.

Choosing the z—axis as our distinguished direction, the diagonalized form takes on the generic form in (1).

£(x,v)( f J (z, V, z) = — ( - )(x,y,z) (1)

The matrix differential operator L depends on the local transversal variables x and y. In addition £ may depend on the time variable t, and also on various material parameters. The material parameters may locally depend on transversal variables. According to (1) the rate of change of ( ^ , r ) * at a point p in the z—direction, is uniquely determined by the functional behavior of ( ^ f ) * on the P—plane in the neighborhood of this point. It is exactly this property that allows us to improve the performance of the numerical techniques and to design efficient algorithms.

Equation (1) in the Fourier domain reads

6This author is presently investigating the diagonalization of the heat-, mass transfer-, and the Schrodinger equation with spatially varying coefficients. cIn actual problems either the material inhomogeneity or the geometry of the problem suggests the choice of the distinguished direction. dThe superscript t denotes transposition.

85

Page 98: Advances in Surface Acoustic Wave Technology, Systems

952 A. R. Baghai-Wadji

i ( * i , * a ) ( * )(ki,h) = X(k1,k2) P 1 (ki,k2), (2)

which is an eigenvalue equations for the eigenvector ($?, T) ' and the associated eigenvector A. With reference to the isometry property of the Fourier transform, we may call the diagonalized forms differential eigenforms.

A three-step procedure allows the conversion of the governing and constitution equations into equivalent differetial eigenforms:

• identification of the essential field variables,

• partition of the irreducible and derived variables into the essential and nonessential variables,

• elimination of the nonessential variables.

In order to carry out these steps we have developed a simply-by-inspection symbolic multiplication and index operation technique. Wha t follows should provide the reader with the tools necessary for the manipulation of piezoelectric equations.

Similar ideas apply to many other PDEs 9 .

2 .1 . A symbolic notation for convenient manipulation of piezoelectric equations

Preparatory Considerations: Several definitions seem necessary in order to simplify our manipulations. We next establish these definitions.

2.1.1. Orthogonal unit vectors in R 3

In R 3 we define three unit column vectors rij (i = 1,2, 3)

n i

with the orthonormality relationship in (4).

" 1 ' 0 0

n2 = " 0 '

1 0

n3 = " 0 "

0 1

H"-j : $ a

(3)

(4)

Sij is the Kronecker delta symbol. Both sides of the correspondence sign in (5) convey the same information.

rii o (i) (5)

The subscript of a certain unit vector specifies this vector uniquely: The symbol (i) represents a 3 x 1 unit (column) vector with its ith component being unity. Similarly, the representation in (6) suggests itself.

n< o (i) ' (6)

The symbol (i)1 signifies a 1 x 3 unit (row) vector with its ith component being unity.

86

Page 99: Advances in Surface Acoustic Wave Technology, Systems

Theory and Applications of Green's Functions 953

2.1.2. Orthogonal unit vectors in R 6

In R 6 we denote the unit column vectors by hj (i has the form

= 1, . . ,6). I13, for instance,

h 3 = (7)

It turns out that for the symbolic multiplication and the index manipulation technique, which we are developing here, three 6 x 3 matrices N , (i = 1,2,3) play a crucial role. The following discussion details the structure and construction of these matrices.

In what follows we do not claim mathematically to be rigorous. However, considerable effort has been made to be consistent, and to provide plausible explanation for the symbols that we have introduced. I and O stand for the unity- and null matrix in R 3 x R 3 , respectively.

2.1.3. Construction 0 / N 1

The recipe for the construction of N i comprises five simple steps. Step 1: Decompose the Unity Matrix I Express I as the sum of two orthogonal matrices as shown in (8).

1 = 1 0 0 0 0 0

0 " 0 0

+ " 0

0 0

0 0 1 0 0 1

(8)

Step 2: Factorize Factorize the second matrix at the right-hand side of 8 as the product of a

symmetric matrix with itself. This factorization is unique.

0 0 0 0 1 0 0 0 1

Substituting (9) into (8) yields

1 = 1 0 0 0 0 0 0 0 0

+

0 0 0 0 0 1 0 1 0

0 0 0 0 0 1 0 1 0

0 0 0 0 0 1 0 1 0

0 0 0 0 0 1 0 1 0

(9)

(10)

with the orthogonality property in (11).

1 0 0 0 0 0 0 0 0

0 0 0 0 0 1 0 1 0

o (11)

We call the first matrix at the left-hand side of this equation the main, and the second matrix its orthogonal complement.

Step 3: Embed the Main Matrix in R 6 x R 3

87

Page 100: Advances in Surface Acoustic Wave Technology, Systems

954 A. R. Baghai-Wadji

In order to embed the main matrix in R 6 x R 3 , we append zeros to its constituent column vectors as indicated in (12).

(12)

augmented main matrix

By way of construction, we have the following relationship between the non-zero column vectors in the main matrix and the corresponding augmented matrix:

1 0 0

0 0 0

0 0 0

main matrix

1 0 0 0 0 0

0 0 0 0 0 0

0 0 0 0 0 0

^ i I main matrix H I augmented matrix

In particular we have in (12):

*l l |main matrix >' "11augmented matrix

Step 4- Embed the Complement Matrix in R 6 x R 3

In order to embed the orthogonal complement matrix in R 6 x R 3 , we add zeros to its column vectors as shown in (13).

(13) 0 0 0

0 0 0 1 1 0

complement matrix

0 0 0 0 0 0

0 0 0 0 0 1

0 0 0 0 1 0

augmented complement matrix

Here, we have the following relationship between the non-zero column vectors in the orthogonal complement matrix and the corresponding augmented matrix:

**i I orthogonal complement matrix '* " i + 3 I augmented matrix

In particular we have in (13):

H3|main matrix^^" h61 augmented matrix

^ 2 | m a i n matr ix 1—^ *^5 (augmented matrix

Step 5: Add the Augmented- Main and Orthogonal Complement Matrices Adding the augmented matrices in (12) and (13) completes the construction of

the matrix N i :

Page 101: Advances in Surface Acoustic Wave Technology, Systems

Theory and Applications of Green's Functions 955

N x

1 0 0 0 0 0

0 0 0 0 0 1

0 0 0 0 1 0

(15)

Technically, the construction of N i amounts to positioning the main matrix on the top of its orthogonal complement.

We may symbolize N i by [hi h,6 b.5], or even more compactly by (1 6 5). We summarize the steps which led to the representation ( 1 6 5) using the fol

lowing (self-explanatory) short-hand notation. Since there is no risk of ambiguity, 0 denotes in (16) a 3 x 1 as well as a 6 x 1 null vector, as the case may be.

I = [n 1 ,0 ,0] + [0,n2 ,n3] = [n 1 ,0 ,0] + [0,n3,n 2][0,n3,n 2]

I < + [ n i , 0 , 0 ] e [ 0 , n s , n 2 ] N i = [h 1 ,0 ,0] + [0 ,h 6 ,h 5 ] = [h 1 , h 6 , h 5 ] N 2 o (1,6,5) (16)

The notation a © b means: consider a and b. Each number in (1 6 5) signifies a 6 x 1 unit column vector, and carries two informations through its position in (1 6 5) and through its numerical value. Example: the number 6, being the second member in this set, and representing h6, indicates that we are addressing the second column, and that the sixth component of this column is unity.

2.1.4. Construction of N2 and N3

Similarly, the relationships in (17) can be established.

N 2

0 0 0 0 1 0 0 0 0

0 0 0 ' 0 0 0 0 0 1

N 2 and N

' 0 0 0 1 0 0 0 0 0 0 1 0

+

+

0 0 1

" 0 1 0

3 in (18)

0 " 0 0 1 0 0

0 1 " 0 0 0 0

1 0 " 0 0 0 0

" 0 0 1 0 0 0 1 0 0

" 0 1 0 1 0 0 0 0 0

is evident.

N 3 =

" 0 0 0 " 0 0 0 0 0 1 0 1 0 1 0 0 0 0 0

(17a)

(17b)

(18)

Analogously, we represent N 2 and N3 in the above-mentioned abbreviated form, and summarize our results as follows:

Page 102: Advances in Surface Acoustic Wave Technology, Systems

956 A. R. Baghai-Wadji

N i -H- (1 6 5) N 2 f> (6 2 4) N 3 o (5 4 3) (19)

For completeness we describe the steps leading to (6 2 4) and (5 4 3) in terms of the short-hand notation introduced earlier.

I = [0,n2,0] + [n 1 ,0 ! n 3 ] = [0,n2 ,0] + ^ 3 , 0 , ^ 1 ^ 3 , 0 , 1 1 ! ] I « [0,n2,0] e [ n 3 , 0 , n i ]

N 2 = [0,h2 ,0] + [h6 ,0,h4] = [h 6 ,h 2 ,h 4 ] N 2 o (6,2,4) (20a)

I = [0,0, n3] + [n i ,n 2 ,0 ] = [0,0, n3] + [ i^n^OHn^n^O] I o [ 0 , 0 , n 3 ] © [ n 2 l n i , 0 ]

N 3 = [0,0, h3] + [h 5 ,h 4 ,0] = [h 5 ,h 4 ,h 3 ] N 3 o (5,4,3) (20b)

Furthermore, we establish the following representations for the transposed matrices N ' :

N< *-> J 6 J N | o I 2 1 N | <* I 4 J . (21)

The numbers in these brackets signify 1 x 6 unit (row) vectors. In our construction the relationships in (22) are valid (i = 1,2,3).

N*Ni = I (22)

As will be clear shortly, the correspondences in (5) and (19) are the only information needed, to perform the symbolic multiplications and index manipulations announced earlier.

2.2. Differential operators

2.2.1. The nabla operator

Based on our convention from the previous subsection the nabla operator and its transpose can be written in the forms given in (23).

V = mdx + n2dy + mdz (23a)

V* = n i 8X + nl2dy+ n|flz (23b)

2.2.2. Auld's divergence-type operator

Auld's divergence-type operator23 , given in (24), considerably simplifies the manipulations of the piezoelectric equations.

90

Page 103: Advances in Surface Acoustic Wave Technology, Systems

Theory and Applications of Green's Functions 957

dx 0 0 0 0z 8y

0 By 0 dz

0 <9X

0 0 dz

dy dx

0

Using Nj , the following relationships are immediately obvious.

Y = N A + Naas + N3d2 (25a)

Y* = Ni ax + ~N2dy + N3<92 (25b)

Comparing (23) and (25) it becomes clear why we have referred to Nj as generalizations of Ilj.

2 .3. Field variables

We distinguish between irreducible, derived, essential and nonessential field variables.

2.3.1. Irreducible field variables

These are the field quantities from which all the other field variables can be derived. Adopting the quasi-static approximation23, the irreducible variables in the linear theory of piezoelectricity are the following: the scalar electric potential ip and the mechanical displacement vector u. In a conveniently chosen (x, y, z)— cartesian local reference frame the components of u will be denoted by Uj, U2 and U3.

2.3.2. Derived field variables

These variables can generally be obtained by a linear combination of the spatial derivatives of the irreducible variables. Examples for the derived variables are the components of the electric displacement vector and the elements of the stress tensor.

2.3.3. Essential field variables

We may speak of essential field variables with respect to a surface or a specific direction. As an example, consider the plane x = 0 in the local coordinate system (x, y, z). We pose the following question: which field varibles enter into the interface conditions if we pass through this plane along the x — axis? We find that the aforementioned irreducible variables, together with the components Z)1; T1 1 ; T21 and T31 enter the interface conditions. D\ denotes the component of the electric displacement vector in the ar-direction, while the remaining quantities refer to the stress components acting on the plane x = 0 and being in the x~, y—, and z— direction. Therefore, with respect to the z-axis, the essential variables are: tp, « i , U2, U3, £>i, T11, T21 and T31 in our interface conditions.

Analogously, considering the plane y = 0 in the same local coordinate system, we find that in addition to the irreducible variables ip, ui, U2 and U3, we have D2, T\2, T22 and T32. Therefore, the essential variables with respect to the y-axis are: <P, ui, «2, " 3 , Di, T12, T22 and T32.

Finally, we list the quantities which enter the interface conditions if we consider the plane z = 0. They are: tp, ult U2, U3, Dz, T13, T23 and T33.

V =

91

Page 104: Advances in Surface Acoustic Wave Technology, Systems

958 A. R. Baghai-Wadji

2.3.4. Nonessential field variables

Any variable which enters the governing and constitutive equations and is not essential will be referred to as a nonessential variable.

Remark: The reader should be reminded that diagonalization with respect to a direction

automatically determines the essential field variables on the tangent plane associated with this direction.

2.4. Constitutive equations

2.4.1. Dielectric displacement vector

D = rijZ?! + n2D2 + n3£>3 (26)

The projection of D onto the a;-axis is given by (27).

D1=n\D (27)

A relationship between D and the irreducible field variables can be established by using the constitutive equation in (28).

D = etS + gE = e%u - gVip (28)

e and e denote the (6 x 3) piezoelectric- and (3 x 3) dielectric matrix, respectively. In transition from the first to the second equation we have adopted Auld's

notation for the strain S, i.e. S = Vu, and the equation E = — V<y? for the electric

field E, assuming the quasi-static approximation.23 Substituting (28) into (27), we obtain (29).

£>! = n'je'Yw - n\gV<f (29)

Furthermore, substituting for V and V we arrive at the result in (30).

A = nie* [N1(9X + N2dy + lSS3dz} u

-n\g [ n A + n2dy + n3dz] <p (30)

The form in (30) suggests the definition of the hybride vector # according to (31).

* = u

Using (31) and appropriately collecting the terms in (30) we obtain (32).

(31)

£>i = [ n i e ' N i - n ^ i ] &•#

+ [n'1e*N2 - n i£n 2 ] d „ *

+ [11*^3 - n k n 3 ] a 2 f (32)

92

Page 105: Advances in Surface Acoustic Wave Technology, Systems

Theory and Applications of Green's Functions 959

It is instructive to consider the explicit forms of the terms n j e ' N i and n\§p.i as examples.

n J e ' N i = ( e r i ^ N i = ( N ' e i n ) ' (33)

At this point we demonstrate our symbolic multiplication and index manipulation, announced earlier. First the "hard" way:

N f e n i 1 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 1 0

1 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 1 0

en

e2i

e3i e4i

esi

e6i

en '

e-2i

e3i

e4i

esi

e6i

ei2

e22

e32

e42

e52

e62

=

ei3

e23

e33

e43

e53

e63 _

1 o o

" en

e6i (34)

Symbolic Multiplications and Index Operations: We associate with N ' the numbers 1, 6 and 5 and with n\ the number 1. We observe that the result in the last equation can be written immediately without performing the intermediate calculations. Upon "symbolically multiplying" the representations for N ' andrii we obtain the indices 11, 61 and 51 "index manipulations:"

(35)

Finally using (34) in (33) we obtain (36) by transposition.

n ' je 'Ni = [en e6i e51]

A similar reasoning leads to the result in (37).

(36)

n ' i £ m = (1)*£ (1) = (1 0 0) £ 0 = e n 0

(37)

with the obvious sysmbolic multiplication (index manipulation) given in (38).

( l ) f ( l ) = 11 (38)

Upon "symbolically multiplying" the representations for n ' and rii we obtain the index 11.

Finally, by following the above rules, we obtain the following results for the remaining terms in (32) simply-by-inspection:

93

Page 106: Advances in Surface Acoustic Wave Technology, Systems

960 A. R. Baghai-Wadji

n'je 'Na = [e6i e21 e41]

n^e 'Na = [e5i e4i e3i]

n k » j = tij

(39a)

(39b)

(39c)

The reader might appreciate the efficiency of this simply-by-inspection symbolic notation, already at this stage of calculations. However, in order to fully recognize the effectiveness of this technique we proceed to the derivation of the expressions for the stress components acting on the, say, x-plane.

2.4.2. Stress components on x-plane

Following Auld's concept23, the stress components can be arranged in a 6 x 1 "vector" f:

T=(T1,T2,T3,Ti,T5,T6)t. (40)

The components of T are defined as follows: Ti = Tu, T2 = T22, X3 = T33, T4. = T23 = T32, T5 = T13 = T31 and T% = T12 = T2\. Employing N 4 it is not difficult to see that (41) is valid.

T = N i f i 4- N2f2 4- N3f3

The quantities fj (i = 1, 2,3) are defined in (42).

n Ti

T6 T5

f2 = T6 ' T2

T4

T3 =

' T5

T4

T3

(41)

(42)

Using (40), and the far left equation in (42), recognizing the indices 1, 6, and 5, and associating them with the matrix N j , it is easy to see that the "projection" of T onto the a;-plane, i.e. f i , is given by (43).

N\T = n (43)

A relationship between f and the irreducible field variables may be established by using the constitutive equation in (44).

f = C S - e E = C ^ u 4- e V ^ (44)

C is the 6 x 6 elastic stiffness matrix. Using this result in (43) we obtain (45).

ft = N i C ^ u 4- N\ eVip (45)

Substituting for V. and V results in (46).

f! = N * C [Nifi, 4- N 2 a y 4- N 3 a z ] u

4-N^e [ni^x 4- n2dy + n39 z] tp (46)

94

Page 107: Advances in Surface Acoustic Wave Technology, Systems

Theory and Applications of Green's Functions 961

Rearranging and making use of the vector $ , defined in (31), lead to (47).

f ^ p M i C N i N i e n i ] 0 x *

+ [ N ' C N 2 N\en2]dy$

+ IN5CN3 N ' e n s j a ^ (47)

As an exercise we .consider the terms N j C N j and N ' e r i j (j=l,2,3) in greater detail.

N ' C N j : The matrices N*, C and N j have dimensions 3 x 6, 6 x 6 and 6 x 3 , respectively. For, say, j = 2, a brute force multiplication leads to (48).

N' jCNa Cl6 Ci2 Cn C66 CG2 C"64 C56 C52 C54

(48)

Let us interpret this result in the light of our symbolic notation. The term N1CN2 may be represented by

C ( 6 2 4 ) ,

where we realize that the "symbolic multiplication" of the indices already provides the indices of the elements in the matrix at the right-hand side of (48):

2 4 ) 16 12 14 66 62 64 56 52 54

(49)

Furthermore, we can easily convince ourselves that the result in (50) is valid.

1 N'en , - = 6 e (j) j = 1,2,3 (50)

The involved matrices in our calculations can be constructed simply by inspection.

2.4.3. Spatial variation of essential variables in direction normal to the tangent plane

We now combine the equations for fi and D\ to obtain (51).

-Di

+

+

N * C N ! N l e n j r i j e 'N i —rijerii

N i C N 2 N i e n 2

n ^ e ' ^ - n ^ g n 2

N i C N 3 N'jens n ^ e ' N 3 - n ^ n 3

a**

dy*

az* (51)

95

Page 108: Advances in Surface Acoustic Wave Technology, Systems

962 A. R. Baghai-Wadji

It is instructive to define the vectors f j and the matrices M y , as given in (52) and (53), respectively.

r4 = Ti

Di (52)

M y = N 'CN,- N'en,-

i,j = 1,2,3 (53)

Alone the ability to write down explicit forms of the various M y simply by inspecting the indices i and j , should convince the reader of the usefulness of this manipulatory technique.

Using (52) and (53), (51) can be written in the compact form given in (54).

Ti = M u d * * + M12dyV + M13dzV (54)

Analogously we can show that the following relationships hold true.

f i = M i i d x * + Mi2dv$ + Mi3dz$ i = 2,3 (55)

Using numerical calculations it can be shown that for commonly used piezoelectric materials the inverse matrices (i = 1,2,3) M ^ 1 ex i s t /

Multiplying the equations for r» from the left by M ^ 1 , and rearranging, results in the following equations.

9«* = M ^ f x - MY11M12dy$ - M ^ M w M

%$ = M£f2 - M ^ M a A * - M a 1 M 2 3 a z *

%$ = M ^ f 3 - M ^ M a i a . f - M i 31 M 3 2 a y *

(56a)

(56b)

(56c)

Focusing on (56a), we rewrite it in the form given in (57).

[-M^M12dv - M ^ M ^ a , ] * + [M-1] f1 = dj (57)

Inspecting (57), the introduction of the 4 x 4 matrix operators £ ° : and C\2 in (58) suggests itself.

£? ! = - M ^ M ^ - M - 1 M 1 3 a z

L\2 = M ^ 1

(58a)

(58b)

eFor nonpiezoelectric elastic materials and, dielectrics, the existence of the associated (reduced) inverse matrices M ~ x follows from the positive definiteness of the elastic stiffness- and the dielectric matrices. •fFor piezoelectric materials we can say that the existence ofMTT (i = 1,2,3) is a necessary condition for the construction of diagonalized forms. Therefore, we refer to the conditions which derive from the existence of M^~ as the realizability conditions for the piezoelectric materials. In other words, we may say that those materials for which the matrices M ~ (i = 1,2,3J do not exist, are not physically realizable.

96

Page 109: Advances in Surface Acoustic Wave Technology, Systems

Theory and Applications of Green's Functions 963

Using these definitions (57) results in (59).

£ n * + £ ? 2 f i = 0 * t f (59)

So far we have merely used the constitutive equations in piezoelectric media. The next two subsections complete our analysis by considering the governing equations. However, before proceeding further we interpret the result in (59).

Let x = 0 be a tangent plane in a local coordinate system. As pointed out earlier the essential variables on this plane are the components of the vectors $ and Ti. Our goal is to express the a;—variation of the vector ( ^ f i ) ' in terms of (^?,Ti)* and its derivatives with respect to the transversal spatial variables in the tangent plane: Obviously (59) is an expression for dx$. In what follows we derive the corresponding expression for dxVi.

2.5 . Governing equations in standard form

2.5.1. Laplace equation

V'-D = 0 (60)

Substituting for V ' we obtain the results given in (61a) and (61b).

[n\dx + n^dy + n\dz\D = 0 (61a)

dxDl + 0yD2 + dzD3 = 0 (61b)

In transition from (61a) to (61b) we have used the definitions in (62).

A = nlD (62)

2.5.2. Equations of motion

According to Auld's convention23, the equation of motion in a source free piezoelectric continuum can be written in the following form:

p stands for the mass density. We substitute for .V* to obtain (64a) and (64b):

[N\dx + Nldy + N | a z ] f = pfLu (64a)

dxfi + dyT2 + dzT3 = p 5 (64b) d2 _

In transition from (64a) to (64b) we have used the definitions in (65)

97

Page 110: Advances in Surface Acoustic Wave Technology, Systems

964 A. R. Baghai-Wadji

N ' T (65)

2.6. Governing equations involving essential variables

We define the 4 x 4 matrix p according to (66).

p 0 0 0 0 p 0 0 0 0 / 9 0 0 0 0 0

A combination of (64b) and (61b) results in (67).

(66)

+ 9y T2

. D 2 . + dz

7*3

. D 3 .

d2 U

(67)

Using ty and Ti this equation can be written in the following compact form:

d2

dxr! + dyr2 + dzr3 = £ ^ 2 *

Rearrangement leads to (69).

d2 -dyf2 - dzrz = dxf!

(68)

(69)

In this equation dxTi is expressed in terms of \P and the undesirable vectors f 2 and ?3 . In order to obtain an expression for dxTi in terms of $ and Ti , and their spatial derivatives with respect to the transversal variables y and z, T2 and I 3 must be rewritten. To this end we use (55) and substitute for dx^. Rearrangement yields

f i = [{Ma - MilM^1M12)dy + ( M i 3 - MilM^^M13)dz] #

+ [ M i i M r 1a ] f i t = 2,3. (70)

Using these equations for F2 and I 3 in (69) and defining the operators

£ 2 i =g^~dy t M 2 2 - M 2 1 Mr 11 M 1 2 ] dy

-dy [M 2 3 - M2 iMn 1 M 1 3 ] dz

- 3 , [M 3 2 - M 3 i M i i 1 M 1 2 ] dy

-dz [M 3 3 - M s i M ^ M w ] dz (71)

and

La22 = -dy [MaiMji1] - dz [MaiMJi1] (72)

98

Page 111: Advances in Surface Acoustic Wave Technology, Systems

Theory and Applications of Green's Functions 965

we obtain (73).

CZ1* + CA2T1 = dxT1 (73)

This equation has the required properties, and together with (59) builds the desired compact diagonalized form in (74).

ra -12 ra

£ a ra 21 -22 f i f i

(74)

Remarks:

• The material matrices constituting C"lf £2i' ^i2> a n ^ ^22 m a y depend on the transversal y and z coordinates, i.e. M j , = My( t / , z ) . It is exactly this property that makes this formalism particularly feasible for the analysis of wave propagation in corrugated periodic s t ructures . 2 4 - 2 5

• The results presented in this section are valid for two as well as three dimensional problems. The inhomogenity, three dimensionality, and the simple and consistent way for manipulating the piezoelectric equations are among the key features in this section.

• Conventionally the constitutive equations are substitute into the governing equations, leading to a system of higher order PDEs. As is obvious from our analysis, it is advantageous not to perform this substitution. Then, the calculations simplify, and we are automatically led to the desired diagonalized forms. To this end, the introduction of rij and N4 (i — 1,2,3) not only simplifies our calcualtions, but also leads us to our goal.

Analogously we may obtain

rb rb A-ll •'-12 rb rb L"l\ L'22

re r:

re r> ' - 2 1 *-:

fa

f3

f2

fs

(75a)

(75b)

which are diagonalizations of the constitutive and governing equations with respect to y and z, respectively.

The expressions for £*- and £?- (i,j = 1,2) can be constructed analogously, simply by inspection.

2.7. Properties of the diagonalized forms

We close this section by pointing out major computational properties of the diagonalized forms. To cover the most general case, we allow the material properties to change as an arbitrary function of the transversal variables, say, x and y, associated with the distinguished direction z. Therefore, we will consider the diagonalized equation in (75b), or, equivalently, the simplified representation in (76).

CX = dzX (76)

99

Page 112: Advances in Surface Acoustic Wave Technology, Systems

966 A. R. Baghai-Wadji

Decoupling: For non-piezoelectric matrials (76) decouples into two diagonalized forms: "purely" acoustic (steady state) and a "purely" electrostatic. When assuming isotropic elastic materials with inhomogeneity in only one transversal direction, i.e. x or y, the "purely" acoustic diagonalized form further decouples into two diagonalized forms describing the shear- and sagittal polarized wave propagation.

Piezoelectromagnetics: In constructing (76) we assumed that the electric field derives from a scalar electric potential. In Ref. 17 it is shown that (76) can be generalized by considering the electrodynamic equations coupled to the equations of motions. In this case the eigenvector X in (76) contains the components: U\, U2, «3, T5, T4, T3, Ei, E2, H\, and Hi. Et and Hi being, respectively, the components of the electric- and magnetic fields in transversal directions. This formulation remains valid for electromagnetically bi-anisotropic materials.9

Dispersion Equations: Assume a problem consisting of homogeneous layers. Then partial solutions of the form ePkxX eik*y eXz e~iwt transform (76) into the algebraic form LX = XX. The bar signifies variables in the (kx, fcj^-wavenumber domain. Given the values of kx, ky and the angular frequency u> we obtain eight

eigenvalues A'1) and the corresponding eigenvectors, X ; (i = 1, . . ,8). A general solution for the vector X can be obtained by superposing the partial solutions:

8

^2 a^X~{i)ejk*xejkyyexWze-j"t. (77) i=l

The unknown coefficients a ^ ' have to be determined from the interface and the boundary conditions. Assume that the entire medium, the interface planes included, are free of mechanical and electrical sources. Satisfying homogeneous interface conditions leads to a homogeneous system of equations, AS. = 0. The solvability condition, i.e. det{yl} = 0 leads to the dispersion relationship of the stacked problem.

It should be emphasized that with adequate modifications, this approach remains valid if C, e and e, in some or all layers, depend on x and y.9 The wave propagation in shallow and large amplitude gratings can be described elegantly and robustly.9

Generalized Diagonalized Equations: An important feature of the diagonaliza-tion is that it automatically leads to the homogeneous or inhomogeneous interface and boundary conditions. More specifically, assume a multilayered problem with N (in) homogeneous layers, with ith layer extending from Zj to Zj+i. Let z = Zi (i = 1, Af) be the coordinates of the (in)active interfaces. Using Heaviside's function H(z), the material parameters can be written in the form:

P(x, y,z) = J2 [H(z ~ Zi+i) " H^z ~ *)]?'(*. V) (78)

100

Page 113: Advances in Surface Acoustic Wave Technology, Systems

Theory and Applications of Green's Functions 967

pl(x, y) denotes an arbitrary material parameter in i t h layer. Then diagonaliza-tion leads to a super equation with N eigenforms (one for each layer) and N + 1 (homogeneous or inhomogeneous) interface conditions. Thereby, the eigenforms and the interface conditions, respectively, appear multiplied by H(z — Zi+i) — H(z — Zj) and S(z — Zi) (i = 1,N). Written symbolically we obtain the form in (79).

N

y ^ \H(z — zi+i) — H(z — Zi) (ith diagonalized equation) + i = l JV + 1

] P $(z - Zi)(ith interface condition) = 0 (79) i = l

The occurence of Heaviside's- and delta functions in (79), and the involved orthogonality conditions allow us to easily identify the eigenforms valid in N layers, and the interface conditions on N + 1 interfaces.

Green's Functions: Assume that mechanical and/or electrical sources exist on some or all the interface planes. Furthermore, assume that a few or all the layers are transversally inhomogeneous.

The field response to a Dirac delta function excitation is called the Green's function associated with the poblem. In a general piezoelectric medium there are four independent fundamental mechanisms to excite waves: One electrical point-(or line) charge and three mechanical point- (or line) forces directed along the x—, y—, and z axes. The number of the irreducible variables defines the number of Green's functions associated with each of the independent fundamental excitations. In piezoelectric media, assuming quasi-static approximation, the irreducible variables are ip, Ui, U2> W3- This leads to 16 Green's functions ( 4 x 4 dyadic Green's functions). However, not all of these Green's functions are independent. The reciprocity principle dictates certain relationships between the Green's functions. Put simply, this condition states that by interchanging the positions of the excitation (s: source) and observation (f: field) points we measure the same reaction, field response. The application of this principle leads to the relationships in (80).

G « ( r / - r , ) = G « ( r , - r / ) ( 8 0 a )

Gij(rf - r . ) = Gji(r. - rf) (80b)

To understand these equations in the Fourier domain consider the simple case where the source- and field points reside on, say, the z = 0 plane. Denote k|| = (kx,kyY, Eqs.(80) read

S « ( k | | ) = S « ( - k | | ) (81a)

G 0 - ( k | | ) = ^ ( - k | | ) . (81b)

Near-field Asymptotic Limits: One of the major advantages of using diagonalized forms is their utilization for determining the asymptotic limits of the Green's functions near the source region in the spatial domain.9 Green's functions may have singularities. The occurance of these singularities may make the field analysis in terms of surface integral equations a challenge, since generally the near-field asymptotic expansions of the Green's functions are needed. The above eigenform in the wavenumber domain offers an interesting possibility to find these asymptot-ics. Instead of first constructing the Green's functions and then determining their near-field asymptotics we may alternatively proceed as follows:

101

Page 114: Advances in Surface Acoustic Wave Technology, Systems

968 A. R. Baghai-Wadji

• Calculate the eigenpairs for large values of the wavenumbers (corresponding to the near-field in the spatial domain).

• Satisfy the boundary and interface conditions by the resulting asymptotic eigensolutions.

These steps lead to asymptotic expensions for the Green's functions in the wavenumber domain.

Generally these "low frequency" limits for the Green's functions can be transformed into the real space simply by inspection.9

The resulting functions in the spatial domain represent the asymptotic limits of the Green's functions near-source region.

In the next section we investigate these properties in greater detail by studying several examples. (For details see Ref. 9.)

3. A Discussion on Green's Functions

In the singular surface integral method Green's functions and/or their spatial derivatives link solutions in the interior of a domain to the values on the domain's bounding surface, and possibly to the sources within the domain as well. The key steps in the application of the singular surface integral method to boundary value problems are the derivation of Green's functions, and the calculation of self-actions.

This section is devoted to familiarizing the reader with details regarding these steps. We will focus on four types of problems.

• Electrostatic problems in semi-infinite media: 2D electrostatic problems in anisotropic media with a jump discontinuity in material parameters along a plane surface. This type of problem is interesting for the following reasons:

1. The underlying algebraic manipulations are tractable and the calculations can be carried out entirely in analytical form; this is useful for gaining physical insight into the problems.

2. The results can be directly implemented to solve a variety of modern engineering problems.

3. Finally, and most importantly, we wish to emphasize the following motivation: dealing with dynamic problems, in the ultimate proximity of the source region, static results represent asymptotic limits of solutions for dynamic solutions; many examples will illustrate this fact. We will employ this property for calculating self-actions arising in BEM. In fact, it turns out that self-actions are static in nature. This property leads to a technique with a promising applicability in practice. Important features of this technique will be shown by comparing the static results with those obtained from asymptotic limits of the scalar wave equation. It should be mentioned that only in connection with vector wave equations do the whole aspect of the underlying relations become clear.

• Acousto-electric problems in infinite media: we will continue by considering the acousto-electric dynamic equations in the simplest possible form. It turns out that the calculations here can also be performed entirely in analytical form. This makes possible the investigation of the asymptotic nature of solutions in the ultimate proximity of the source points, in analytical form. The close relation with static solutions will be demonstrated.

• Elastic problems in infinite media: the third type of problem involves the dynamic equations of motion in purely elastic isotropic media, Refs. 24 and 25. The isotropy assumption leads to Green's functions in analytical form with the above mentioned advantages.

102

Page 115: Advances in Surface Acoustic Wave Technology, Systems

Theory and Applications of Green's Functions 969

• Acousto-electric problems in semi-infinite media: Examples considering piezoelectric half-spaces conclude our discussion.

Here also we will start with the corresponding diagonalization equation. Having determined the resulting four eigenvalues and the corresponding eigenvectors, along with our results from the section on electrostatic problems in semi-infinite media, we will be in a position to solve the following semi-space problems:

1. Free space (z > 0)/piezo-electric semi-space (z < 0)-configuration. A line charge and a line force embedded in the piezo-electric substrate will excite the medium.

2. Free space (z > 0)/piezo-electric semi-space (z < 0). A line charge in the free space will act as the source.

3. Piezo-electric semi-space (z > 0)/piezo-electric semi-space (z < 0). A line charge and a line force located in the upper half-space will excite the media.

4. Piezo-electric semi-space (z > 0)/piezo-electric semi-space (z < 0). A line charge and a line force embedded in the lower semi-space will excite the media.

Although we will restrict ourselves to 2D problems in this chapter , the solution schemes are also applicable to 3D problems, see chapters two and three in Ref. 9.

Furthermore, periodic boundary value problems, and the derivation of the associated periodic Green's functions are briefly discussed in this section. (Regarding the calculation of the self-actions in these problems the reader is referred to Ref. 9.)

3 .1 . Green's functions in anisotropic dielectric media with a jump discontinuity of material parameters

Statement of the problem: We consider the following problem which is illustrated in Fig.(l) . The region z > 0 is occupied by an anisotropic dielectric which is specified by a symmetric, positive definite matrix ef-u\ Region z < 0 is filled with a dielectric being characterized by e}1'. The superscripts " u " and " 1 " refer to the upper and lower medium relative to the (z = 0)-plane, respectively. In the upper medium we assume that a line charge with co-ordinates x = a and z = c excites the system under consideration. Thus for the source function p(x, z) in our problem we can write p(x, z) = 5(x — a)S(z — c). Our goal is the calculation of the resulting potential function in the entire (x, z)-plane. In mathematical terms, we are interested in the solution of a potential problem subject to boundary conditions at infinity, and to certain interface conditions, with a delta-function "source." The resulting potential distribution will be called the Green's function associated with our boundary value problem.

Solution: Technically it is useful to subdivide the (x, 2)-plane into three regions; z > c (region I), 0 < z < c (region II), and z < 0 (region III), Fig.(2). In the following we will construct solutions in each region which satisfy homogeneous differential equations. The unknown coefficients involved will then be determined by imposing the boundary and interface conditions. In this construction, eigenvalues and the corresponding eigenvectors associated with the underlying differential operator will play a central role.

3.1.1. Diagonalization

For non-piezoelectric, homogeneous, anisotropic, and source free dielectrics di-agonalized equation (75b) simplifies to the equation in (82).

103

Page 116: Advances in Surface Acoustic Wave Technology, Systems

970 A. R. Baghai-Wadji

e(u)

i

JB(1)

7 k

X

d

a line charge

f C

|

Fig. 1. Geometry of interest.

_e (u)

_e (u)

_e(1)

region I

region II .

region III

z i

X

a

aline charge

f C

|

Fig. 2. Subdivision of the (x, z)-plane into three regions; z > c (region I), 0 < z < c (region II), and z < 0 (region III).

104

Page 117: Advances in Surface Acoustic Wave Technology, Systems

Theory and Applications of Green's Functions 971

£13 9 £33 9x

££. d2

£33 8x'J

L. \ £33 \

_ £ i a ^ . / £33 9x '

( V "

U3, \ - d( )~dz(

<p D3 (82)

Here, we have used the symmetry property of £, and assumed a two-dimensional

analysis by requiring | - E 0 . In addition we used e\> for £116:33 — £i32 . The form in (82) is well-structured: it is a diagonalized equation with only those

field variables which are relevant to the interface conditions. We substitute for <p and D3 the solution ansatzes of exponential form, i.e., <p, D3 oc e>kxeXz.

Our diagonalized equation transforms into the following algebraic eigen value equation

I £33 J £33

I —^k2 -z&jk \ £33 £33

For the eigenvalue A we obtain the two solutions in (84)

(83)

£33 £33

with the corresponding eigenvectors given in (85).

X~{k) " { eP)k\) *+<*>" ( - * i | * | ) (85)

Superposition%ads to the following result for (ip, D3)*:

(^,)-a"> w("1w)e ,-+"m w(- i i* i )* i* ' m

Remark: The reader may say that "layered" boundary value problems (specified by inter

faces z = zW = const) represent a small subclass of boundary value problems, and that, in practice, the boundary shapes are much more complicated, and thus the above-described concepts can no longer be applied. Our answer is that in the case of boundary shapes other than planes, the underlying singular integral equations involve, in the majority of cases, infinite-domain Green's functions (and possibly their spatial derivative). Due to the fact that infinite domains can be composed from two semi-spaces, we can apply the above concept. Furthermore, it should be noted that many engineering problems can be modeled by a combination of layered structures, and subdomains with fairly complicated boundary shapes. In these cases, the Green's functions used are field solutions in layered structures sandwiched between two semi-spaces with proper material constitution. These kinds of Green's functions can easily be constructed by the theory developed here.

3.2. Boundary conditions

The boundary conditions on an interface z = z^ = const are as follows: 9In Ref. 9 these ideas are generalized and it is shown there that this concept applies with the same simplicity to fields in inhomogeneous media (eij(x,z)).

105

Page 118: Advances in Surface Acoustic Wave Technology, Systems

972 A. R. Baghai-Wadji

(i) the electric potential is a continuous function.

(ii) the normal component of D has a jump discontinuity equal to the charge density function p(x) in this plane.

Fourier transforming the boundary and interface conditions, and solving for the involved unknown coefficients oq (k), a.^ (k), a\2'(k) and ctjjjik), in regions I, II, and III we obtain closed-form expressions for <pi(x, z\k), f>n{x, z\k) and <pm(x, z\k). Remember we have assumed a single (isolated) line charge on the plane z = c at a distance x — a from the z-axis, with its Fourier transformation p(k) given by: p(k) = e""jfca. Therefore, by substituting e_ j f c a for p(k) we can write: <pa(x,z\k) —• Ga(x,z\k), where a represents / , II, and III.

This step is equivalent to recalling the definition of Green's functions in our present problem: Green's function is the potential response to an isolated line source excitation of the medium under consideration. We summarize our results in Eqs. (87-89).

GAX m -J-J. U?-$r%^k\y&'-*A G ' ( a ! ' z |* )- 2 eM|*|^W+ eW e + e J

j[-(x-a)+-jK{z-c)]k xe '33 (87)

xe e33; (88)

1 1 ("ft"2—foc)l fcl J '[-(x-a) + (-^Z—^yc)]fc Gm(*,z | fc) = - r a ( n r r j e ^ «ss e Ss <33 (89)

e p + £ p I I

We find that Gi(x, z\k) and Gu(x, z\k) have the same analytical form, except for

the second exponential terms, i.e., exp(—£p / e ^ (z — c)\k\) and exp(ep / e ^ (z — c)|fc|), which seem to be different. However, the validity range of Gi(x, z\k) is z > c and thus the equality z — c = \z — c\ follows. We can therefore write the following equation for the second exponential term in Gi(x, z\k):

.(») e(«) —fo-(z~c)lfcl —fe-l*-<=ll*l

e *W =e 'as' (90)

Gu(x, z\k) is valid in the range 0 < z < c and thus we have z — c = — \z — c\ in this region. Consequently, we can write the following for the second exponential term in Gu(x, z\k):

106

Page 119: Advances in Surface Acoustic Wave Technology, Systems

Theory and Applications of Green's Functions 973

e 33 -c)|fc|

= e °33 -c||fc|

(91)

Thus, by introducing \z—c\, we cannot distinguish between the analytical expressions for Gi(x, z\k) and GJI(X, z\k). This is not surprising, because the introduction of the plane z = c and thus the subdivision of the upper semi-space into regions J and 77 was merely conceptual, and was not based on a physical necessity. Regions / and II are both specified by e ^ and together they build a semi-space into which a

line charge has been embedded. In the following we will write G^{x,z\k) in order to refer to Gi(x, z\k) as well as to Gu(x, z\k). The superscript (u) denotes that the validity range of G^u\x, z\k) is restricted to the "upper" half-plane (z > 0).

Similarly, as the validity range of Giu(x,z\k) is the lower half-plane (z < 0), we write G^(x,z\k) for Giu(x,z\k). By adding to the Green's function a second superscript "u" or "1", we can make clear on which side of the interface plane (z = 0) the line charge is located, "u" also refers to the upper half-space here, while "1" signifies the lower one. According to this convention, in the present case we can write G^u'u\x,z\k) and G^'u\x,z\k).

G(u'u'(x,z\k) is the electric potential in the upper half-plane as the response to a line charge which is located in the upper half-plane.

G"'u>(x, z\k) is the electric potential in the lower half-plane as the response to a line charge which is located in the upper half-plane.

So far we have used the constants a and c as the co-ordinates of the line charge. The choice of the "constants" a and c makes it possible to consider the position of the line charge and consequently the location of the upper interface plane to be fixed. However, having already constructed the formulae for the Green's functions, we can perform the substitutions a -> x' and c —> z' in order to emphasize that the derived formulae are valid for any choice of x' and z' for a line charge with z' > 0.

Performing these substitutions, we now extend the list of arguments of Green's functions from (x, z\k) to (x, z\x', z'\k) in order to emphasizing the dependency of Green's functions on the co-ordinates of the line charge:

G (*.*l f l ! .a ! l*)-2 eW| f c |^W+ e» e + e J

x e 'ss (92)

,, , , , 1 1 (-&*—fey2')!*! j[-(*-*')+(4fy*--JV')]* G^\x,z\x',z'\k)= (u)

l ( ! ) ^ 1 4 ? e 4 7 4 7 (93)

Discussion: Non-oscillatory exponential terms involved in the expression for £(".«). We first consider the exponential function: exp(—£p /e^ (z + z')\k\).

The positive-definiteness of e implies that £33 and Ep are positive. Furthermore, we have z 4- z' > 0, because the conditions z > 0 and z' > 0 hold, and thus the equality z + z' = \z + z'\ is valid. Consequently, this exponential function decays

107

Page 120: Advances in Surface Acoustic Wave Technology, Systems

974 A. R. Baghai-Wadji

for any value of z and z', chosen from the definition range, and thus we can write

for it the form: exp(—Sp /e3g \z + z'\\k\).

Our next concern is the exponential function: exp (—Sp /e^ \z — z'\\k\). The appearance of the magnitude sign in \z — z'\ ensures that this exponential function decays for any values of z and z'.

Non-oscillatory exponential function appearing in (?('•"); that is: exp (sp /e^\z—

£p /e^ z')\k\). By definition we have here the equations z — —\z\ and z' = \z'\. (Remember that the definition range of G^l'u^ is the lower half-space, and that the line charge is located in the upper half-space.) Thus this term becomes

exp(—(sp /egg \z\ + £p /S33 \z'\)\k\), which is an exponentially decaying function. For a line charge source located in the lower half-plane we denote the resulting

potentials in the upper and lower half-planes, respectively, by (?("'') and G^l,l\ The reader can verify that (?(">') and G^l'V> have the forms:

G < ^ ( s , z | ^ z ' | A ) = - ? - ^ - ? j T _ c <_">+e<i>l*

(94)

MID, 1 ' ' , M 1 1 ( 4 ° - ^ - l 1 ^ 1 1 ' 1 -$\*-*'\w\ G Hx>zlx>zlk)^w\[t^e +e )

j[-(x-x') + -tfr(z-z')]k

Xe *33 (95)

Arranging the above four Green's functions in matrix from, we can define a matrix Green's function G as

/ G^u\x,z\x',z'\k) G^l\x.z\x',z'\k) \ a(x,z\x',z'\k)=\ . (96)

\ G^u\x,z\x',z'\k) G^(x,z\x',z'\k) J

3.2.1. Properties of G_

The multiplicative factor l/\k\, which is an even function of k, appears in all elements G^a'b^ with a = u,l and b = u,l. Note that ±|fc| are the eigenvalues of the Laplace operator in isotropic media.

The non-oscillatory exponential functions involved depend on \k\, and thus are even functions in k.

In order to carry out further properties of G^a'b\ we consider their integration over k to transform them into real-spacei*

''•Strictly speaking, the analysis in the remaining of this subsection and in the following two subsections is not mathematically rigorous. A more careful analysis based on the theory of distributions would be outside the scope of this elementary treatment. The final results are, however, correct and extensively tested.

108

Page 121: Advances in Surface Acoustic Wave Technology, Systems

Theory and Applications of Green's Functions 975

—e oo

G^bHx,z\x',z')=\im\ f ^G^b\x,z\x',z'\k) + [ ~G^b\x,z\x',z'\k)\ e—>0 I J 2 f J Z7T J

—oo e

oo

= -f-^G^b\x,z\x',z'\k) (97)

—oo

Because of the first two of the above properties, we recognize that only the real parts of the oscillatory exponential functions contribute to the integrals. Then, by writing

we have

1 ~(«) _ J O + o o 1 -'-E-r\z+z'\k G^u\x,z\x',z')= , . £ f . ^ ^ r f f c f e ' »

e(«) x c o s | ( x - a : ' ) - - ^ - ( z - z , ) l &

£ 33

,(") 1 +°° 1 —^iylz—z'tA

£ («) + ^4-dk-e 'M c o s i ^ - a ; ' ) . 13 ( Z _ / ) | A ; (99)

2weP o -- G33

Gil'u\x,z\x',z') = , . ... 4-dk-e ^i 4 F

(0 («) x c o s l ( s - s ' ) - ( % * - % * ' ) ! * (100)

s33 e33

, n , 1 + ~ 1 -(-&yl2l+-frlz'l)fc

7r(ep + £ p ) 0 K

J«0 JO xcosKx - x') - (e-f-)Z - £-f)Z')\k (101)

£33 e33

109

Page 122: Advances in Surface Acoustic Wave Technology, Systems

976 A. R. Baghai-Wadji

(0 xcos|(a: - a;') ~{z - z')\k

-33

l 4 - ^ 1 - ^ | 2 " Z ' fc JO £ i

+—'-^-f-dkye 'as' ' c o s K z - z O - ^ - f z - * ' ) ! * (102) 2 ^ 0 * - ^ -F u c33

+oo where the symbol -f- has to be understood in the sense that

oo + o o /•

-A-dA; • • • = l i m / dk • • •. o -W

o

+oo

From these representations the reader can immediately deduce further facts and properties of the Green's functions:

The above integrals do not exist (even in the Cauchy sense ), as we will soon see. This is a consequence of assuming a single (isolated) line source for our problem. In the subsequent discussion it is shown that the above integrals allow a meaningful interpretation if, and only if, we consider a collection of line charges which is charge neutral. Considering a group of N lines with charge magnitudes per length (in y—direction) being denoted by <&, we obtain for the charge neutrality condition

N

J J dxdzp(x, z) = J f dxdz V J qi8(x — Xi)8{z — Zi) = —oo —oo — oo—oo ^ = 1

* oo oo N

= ] L * / / dxdzS(x-xi)s(z -zi) = J2Qi=°- (103)

Eq.(103) can alternatively be called the balance-law, the conservation law or the regularization condition.

Interchanging the locations of the source and the observation points (a;', z' o x,z), G'"'™) and G^*^ remain unaltered,

G{u'u\x',z'\x,z) = G(u'u\x,z\x',z') (104a)

G(l>l\x',z'\x,z) = GV'l)(x,z\x',z'). (104b)

The substitutions x', z' <-» x, z, however, transform G^'u ) into G("'Z) and G*"'^ into G('-")

G{l'u)(x', z'\x, z) = G(u'l\x, z\x', z') (105a)

GM(x',z'\x,z) = G^u\x,z\x',z'). (105b)

110

Page 123: Advances in Surface Acoustic Wave Technology, Systems

Theory and Applications of Green's Functions 977

These two properties result from the validity of the reciprocity property in the boundary value problem under consideration. A formal proof of these facts is omitted and is left to the reader.

3.3. Real-space Green's functions

3.3.1. Preparatory calculations

Let us consider the following table integral

oo e-ak _ e-/3fc i b2 + /32

{dk k COSbk = 2 l n6^W (1°6)

Re[/?] > 0; Re[a] > 0. (Formula 3.951/3 of Ref. 27.) In the special case that a is zero, Eq.(106) becomes

c» 1 __ e-?k 1 h2 4- B2

Jdk=-~ cosbk = j-ln Zf . (107) Q K Z 0

We now consider integrals of the following type which arise in the expressions for G^a'b\x,z\x',z'\k):

f e~0k f e~^k

I = 4-dk—-—cosbk = lim / dk——- cosbk = lim 7£. (108) J k e-+o+ J k £->o+ 0 £

The subsequent steps are self-explanatory.

00 00 00

f e~l3k f 1 - e~Pk f 1 Ic = dk—-—cosbk= — / dk cosbA; 4- / dk—cosbk

e e e 00 00

= — J dk-—^ cos&A: + J dk^^~. (109)

0 £

Using (107) we can write

1, b2 + (32 f „ cosbk h= — ~ m — r ^ 1- / dk-

1 b 2 ) k

OO

= - i l n ( 6 2 + /32) + l n | 6 | + y ^ ^ . (110)

e

Now let us focus on the integral on the right-hand side. By employing the variable transformation bk = t, this integral becomes

00 00

/„ cosbk f , cost .

dk-k~ = J dt~ ( U 1 )

111

Page 124: Advances in Surface Acoustic Wave Technology, Systems

978 A. R. Baghai-Wadji

Then based on the relation1

/, cost , f , cost - 1 ,

dt = - 7 - lnz - / dt (112) (|arg{z} | < 7r) we come to the result

oo eb

/„ cosbk , , ,,, r cost — 1 ,

dk—— = - 7 - lne - ln|6| - / dt . (113) £ 0

Using this formula, we obtain for It (as given by the second equation in (110)) the following:

eb 1 , ,.o. . „ • > * . , , , , f cost- 1 h= - i l n ( 6 2 + /32) + ln|6| - 7 - lne - ln|6| - f

t 0

eb

= - i l n (6 2 + / 3 2 ) - 7 - l n e - | d t ^ L l i . ( 1 1 4 )

0

At the limit e —>• 0 + the integral on the right-hand side vanishes and we obtain

I = -hn(b2 + j32) - 7 - lim lne. (115) 2 e-»0+

Using the definition equation for / , Eq.(108), we obtain the following formula which plays a central role in our analysis:

T e-P" 1 -/- dk——cosbk = - 7 - lim lne - - ln |6 2 + (52\. (116) J K e—•() J, 0

By considering the fact that the constituent integrals of the various Green's functions G^a,b\x,z\x',z') are of this type, and collecting together the singular terms, it is not difficult to obtain the expression for the Green's function G^u'u\x.z\x' ,z') in real-space:

G{u'u\x,z\x',z')= p-. 7 7 r ( - 7 - lim lne)

1 » _ ,,(0 > ) -(«)

rln{[(* - *') " % ( * - -')]2 + fe(* + z')}2} •*7T£:p £ p i - £p e 3 3 e 3 3

1 ' "•" /N £ 1 3 /-, , / \ l 2 , f g P /•„ ^ / M 2 W K * - *') - ^ ( z - z ')]2 + F £ y ( * - ^ O H - (117)

4™#> ^ l4«>

1 Th i s formula has been derived by combining t h e formula (5.2.27), pp.232 wi th t h e formula (5.2.2), pp.231 from Handbook of Mathemat i ca l Funct ions , Abramovics and S tegun . 2 7 Here 7 , being equal t o 0.577256649, denotes t h e Euler cons tant .

112

Page 125: Advances in Surface Acoustic Wave Technology, Systems

Theory and Applications of Green's Functions 979

The expression for G{l'u)(x,z\x',z') can readily be written in the form

G^\x,z\x>, z>) = l ( - 7 - £Hm In.) (118) ir(ep' +£p) £_"J

2lT(£p + £p ) £33 £33 £33 e 3 3

Remark: We have added the symbol e to these functions in order to emphasize the exis

tence of the e-dependent terms in their expressions.

As pointed out earlier, by substituting £ ( u ) <-> £( '}, G^'u) (x, z\x', z') transforms

into G{l'l)(x,z\x',z') while G(!'u) (x,z\x',z') transforms into G(^'l) (x,z\ x',z').

G^Hx,z\x',z') = * m ( - 7 - Um Inc) (119) 7 r ( e F ' + e p ) £^-°

(«) JO _(«) JO

MK* - *') - ^ T * - %*')]2 + few + ^rl-'l)2} , . _ / > ) , J 0 / U V ' > ) JO ;J ' v > > JO Z7T^£p + E p J £33 C33 t 3 3 £33

1 p(') _ p(«) F m J O

^7U^ln{[ix ~ x>) - 7*{z ~ z')f + l7i{z + z')]2}

t / i e p gp -t-5p s33 e 3 3

1 JO JO ]n{[(x - x') - % ( z - z')f + fer{z - z')f] (120) ( I ) u v - ~J ( J ) v ~ - / J . 1 ( 0 »

* 7 r e P e 3 3 e 3 3

These forms allow the recognition of further properties of Green's functions. All the four Green's functions possess a common constant singular term. Thus

we can write

G{a'»\x,z\x',z') = } ( - 7 - hm lne) + G^b\x,z\x',z'). (121) n{eP +ey) £-+0+

This fact is crucial and plays an important role together with the charge neutrality condition: We show that for charge-neutral systems (physically realizable systems) the above mentioned singular term vanishes. Consider in the upper and lower semi-spaces, respectively, charge distributions p(u\x,z) and p^l\x, z) in such a way that the charge neutrality condition holds

00 00 00 00

/ / dxdzp(u\x,z)+ J J dxdzp(l\x,z) = 0. (122)

113

Page 126: Advances in Surface Acoustic Wave Technology, Systems

980 A. R. Baghai-Wadji

Based on the linearity of our problem, and on the definition of Green's functions Ge (x,z\x',z') as responses to a single line charge, we can write the following equations for tp(u\x, z) and tp(l\x,z):

V?(p)(x,z)= / Jdx'dz'GiP'u^(x,z\x',z')p^(x',z') —oo—oo

oo oo

+ J J dx'dz'G<f'l\x,z)x',z')p^{x',z') + C (123)

(p = u, I.) The functions ip^(x, z) and l\x, z) have the following meaning: <f>(u>(x,z) is the electric potential distribution in the upper half-space when both

p(u\x,z) and p^l\x,z) excite the medium.

<pV\x,z) is the electric potential distribution in the lower half-space when both p(u\x,z) and p(l\x,z) excite the medium.

C denotes a constant. Substituting for G<f'b\x,z\x',z') from (121) and collecting the singular terms we obtain

OO OO OO OO

x[jf f dx'dz'p(u\x',z')+ f J dx'dz'pM(x',z')] — OO —OO — OO — OO

OO OO

+ / / dx'dz'G^u)(x,z\x',z')pM(x',z') — OO — OO

OO OO

+ / / dx'dz'G^'l\x, z\x', z')pV\x', z') + C (124)

(p = u, I.) We see that if, and only if, the charge conservation law Eq.(122) is valid, that the terms carrying (—7 — lim lne) vanish and the above equations

make sense. We further recognize the relevance of the singular terms appearing in

a common form in the pairs Ge (x, z\x', z') and Ge {x, z\x', z') and in the pairs

G[l'u\x,z\x',z') and G{IJ)(X,Z\X',Z').

Therefore, under the assumption that the charge neutrality condition holds, we can omit the singular terms and operate with G^a,b\x,z\x',z').

3.4. Periodic Green's functions

3.4.1. Construction of periodic Green's functions using nonperiodic Green's functions in real space

We assume a sequence of infinite number of line charges which are located on the plane z = z', Fig. (3). The line charges are assumed to be positioned in a parallel fashion, at a distance P from each other. The charge magnitude per unit length is taken to be unity. The surrounding medium is an isotropic dielectric with a dielectric constant e. We refer to the strip defined by - P / 2 < x < P/2 as the fundamental cell.

114

Page 127: Advances in Surface Acoustic Wave Technology, Systems

Theory and Applications of Green's Functions 981

a periodic array of line charges

an isotropic infinite-space characterized by

8

Fig. 3. An infinite number of line charges.

The Green's function associated with a line charge with co-ordinates (x',z') in the fundamental cell has the form

G £ (x ,z | z ' , z ' ) = - - r - ( - 7 - lim \ne) - ~ln[(x - x')2 + (z - z')2]. (125) 47re e->o+ Aire

By considering the fact that in physically realizable systems the fundamental cell will contain a charge-neutral system, we omit the singular term to obtain

G(x, z\x', z') = ^-— ln[(z - x1)2 + (z- z')2]. Aire

(126)

We now come back to our line-charge array and superpose the associated potentials to obtain the periodic Green's function GpeT(x,z\x' ,z'), which characterizes the aforementioned line charge array. Using (126), we readily obtain

oo GpeT(x,z\x',z')= £ G(x,z\x' -nP,z')

n=—oo I

47T£ £ ln{[x - (x' - nP)]2 + (z- z')2}. (127)

n=—oo

We see that whenever the Green's function associated with a single line charge (point charge in 3D problems) is available in real space in closed form, it is an easy

115

Page 128: Advances in Surface Acoustic Wave Technology, Systems

982 A. R. Baghai-Wadji

task to construct the corresponding periodic Green's function. Note that in practice the series involved have to be truncated, by letting the dummy index n run from —N to TV. Numerical calculations indicate that in electrostatic problems, for values of N of the order of 10, we obtain satisfactorily results.28

The construction of GpeT(x,z\x',z') relying on the real-space Green's function G(x, z\x', z') of a single line charge is one of the two alternatives. In the following discussion we will be acquainted with a different way which merely requires the Green's functions in wave number domain.

3.4.2. Construction of periodic Green's functions using Green's functions in wave-number domain

We consider again the line array from the previous section. In order to construct the associated periodic Green's function, we consider first the Green's function in the wave number domain of a line charge located in the fundamental cell. The latter function is

G(x,z\x',z'\k) = -l-I-<J<*-*'>fcc-l*-*'ll*l. (128)

Using this equation, we easily obtain the Green's function associated with the n t h line in the wavenumber domain, simply by changing x' to x' — nP, that is

G(X,Z\X' -nP,z'\k) = Ll-e3[x-(x'-nP))ke-\z-z'\\k\, ( 1 2 9 ) 2iZ ]rC|

Summation over n, ranging from —oo to oo, yields the wave number domain representation of the periodic Green's function we are looking for:

oo Gper(x,z\x',z'\k)= V ±±-eJ[x-(*'-nP)]ke-\z-z'\\k\_ ( 1 3 Q )

^—' 2e \k\ n=—oo ' '

Integration over k yields the Green's function in real space

oo J t

Gpel(x,z\x',z') = / — Gpel{x,z\x',z'\k) _ ™ 27T

f _ V ^ }_J_e3[x-{x'-nP)]kp-\z-z'\\k\ J 9-rr Z ^ Or |£.|

n~—oo

= 7— — — e3(x-x')k -\z-z'\\k\ y ^ gjnPfc Q 3 1 N _J

0027r2e|fc| ^ • \ i 0 0 ' ' 72 = — OO

By using the relation

oo oo

_L J2 e~^Pk = ± £ S(k-Kn) (132) n=—oo n=—oo

where Kn is defined by Kn — n2ir/P we obtain

116

Page 129: Advances in Surface Acoustic Wave Technology, Systems

Theory and Applications of Green's Functions 983

G(x,z\x',z')= j d k ~ S ' - ' >ke^-* Nfcl-i J2 S(k~Kn) — °° ' ' n=—oo

1 °° = p E G(x,z\x',z'\Kn). (133)

n=—oo

Remark: Once the Green's function in wavenumber domain, that is G(x,z \x',z'\k), is

available, it is an easy task to construct its periodic counterpart in spatial domain: we essentially have to sample G(x, z\x', z'\k) at discrete points k = K„ and to add the sampled data. Remember that the derivation of G(x, z\x',z'\k) is an algebraic calculation and basically demands the eigenvalues and eigenvectors associated with the partial differential equations under consideration.

The above series representation is a convenient form for further manipulations involved in BEM-applications. This results from the facts that the variables x and the z are separated in the expression of Gper(x,z\x', z'), and that the functions involved are exponential in nature. These properties make differentiation and integration easy tasks. We know that these properties also hold true if we consider the Fourier representation of G(x, z\x', z'), associated with a single line charge, i.e.

G(x,z\x',z')= f —G(x,z\x',z'\k). (134) -oo27T

The reader may ask what is peculiar about the series representation. The answer can be found in the last tliree chapters in Ref. 9, where we are concerned with self-action calculations.

It is worth mentioning that the above-mentioned properties get lost in the spatial domain: the Green's functions in spatial domain are not generally "variable-separated" , and their functional structures are fairly complicated in the majority of cases.

In one particular case the transformation of non-periodic Green's functions into real space becomes very easy. This is the case when we transform into real space the asymptotic of a non-periodic Green's function in wavenumber for k ^> 1.

As mentioned above, in BEM applications the self-action calculation is essential. By definition, self-action determination demands that the observation point coincides with the source point (x —• x' and z —» z'). For reasons of simplicity, let us assume that the source point resides at the origin of the co-ordinate system; thus we can assume that x,z -C 1. Then, in order to calculate the self-action, we have to investigate the field behavior in the neighborhood of the origin of the co-ordinate system. For this purpose we recall the property that the Fourier transformation projects the vicinity of the origin of the (x, z)-plane into the far zone in the /c-plane. Thus, self-action calculation requires the asymptotic of Green's functions for k S> 1. The last section in this chapter is devoted to this technique. Calculations will be performed entirely in analytical form, and thus the reader will be provided with many useful details in connection with self-action analysis.

Phased Periodic Green's Functions: For completeness it might be mentioned that in a variety of applications it is necessary to construct Green's functions associated with periodic phased-line arrays. The latter are periodically arranged, localized sources, that are driven in such a way that the potential of n t h line charge is given by exp(.;'A:oa;n). Here xn is the a;-co-ordinate of the n t h line charge and ko is assumed to vary from —w/P to ir/P. The Green's functions associated with phased arrays are closely connected with the aforementioned "ordinary" periodic Green's functions,

117

Page 130: Advances in Surface Acoustic Wave Technology, Systems

984 A. R. Baghai-Wadji

1ioe spate

a piezoelectric semi-space supporting SHW

X

- * • •

a line charge + a line force

Fig. 4. A piezoelectric semi-space with a line charge and a coinciding line force located at point (x1, z') beneath the surface plane. Above the surface is free space.

and from a theoretical point of view, provide no significant contribution to BEM theory. For this reason we will not be explicitly concerned with phased periodic Green's functions in this work. For details the interested reader may refer to Refs. 9 and 11.

3.5. Infinite-domain Green's functions associated with Bleustein-Gulyaev wave problems

The treatment of Green's functions in this section provides the reader with further information concerning the construction of elemental solutions of partial differential equations.

Statement of the Problem: We consider a piezoelectric semi-space with a line charge and a coinciding line force located at point (x', z') beneath the surface plane. Above the surface is free space, Fig. (4).

It is known that under certain circumstances «i and U3 decouple from u^ and if, and it is possible to excite surface waves («2, f) which propagate along the interface z = 0. This type of waves are called Bleustein-Gulyaev Waves, Refs. 29 and 30.

3.5.1. form

Construction of infinite-domain Green's functions using 2D Fourier trans-

The analysis in this section is devoted to the construction of the infinite domain Green's functions. For this purpose we assume a line charge and a line force be located at the point (a/, z'), and find the resulting elastic displacement component M2 and the electrical potential ip, associated with an unbounded piezoelectric medium. The simplest possible equations for describing this type of motion are the following:

118

Page 131: Advances in Surface Acoustic Wave Technology, Systems

Theory and Applications of Green's Functions 985

d2 d2 d2 d2 d2

{-pW + Ci4d^ + C"^)U2 + ( e i 5 ^ + e i 5 5 ^ = ° ( 1 3 5 a )

d2 d2 d2 d2

( e ^ + e ^ ) u 2 - ( e n - ^ + en^)<P = 0 (135b)

Here, only one single piezoelectric constant, that is eis, couples the Newton equation of motion for 1*2 and the Laplace equation for tp. In the following we will assume a harmonic time dependence according to e~J"'', define a transversal bulk velocity ct (c2 = Cu/p), and use the Laplace operator A = d2/dx2 + d2/dz2.

In this section we have the problem of demonstrating a way for directly constructing infinite domain Green's functions, and of making the reader familiar with k ;» 1—asymptotics of dynamic Green's functions, and their relations to static solutions.

Whenever we are interested in 2D infinite domain Green's functions, it is preferable to use a 2D Fourier transform. This is the way the calculations are carried out here. The definition equation for the infinite domain Green's functions Gu, G21, G\2 and G22 associated with Eqs.(135) is

We use a 2D Fourier representation for the Green's functions in the form

OO OO ,1U AU

Gmn(x,Z\x',z')= J J ^^g m n ( k i ,k z y k ^~*V f c »<*-* '> (137) - 0 0 - 0 0 ™ ^

(m,n = 1,2). Transforming (136) into the Fourier domain we obtain

pu? - c44(k2 + k2) - e15(k

2 + k2) \fgu 012 \ _ ( 1 0 \ -e15(k

2 + k2) en(k2 + k2) ){g21 G22)-\Q I)' ( 1 3 8 )

Using the notation k\\ = kf + k2 and solving for Qmn, we obtain

Gu G12 \ = J_ ( sukl ei5*f \ / 1 0 G21 G22 ) det \ e15k\ poj2 - CiAk\ / I 0 1

(139)

where det stands for the determinant of the far left matrix in (138), and is thus det = (snk2)(puj2 — C^k2), where we have defined the stiffened elastic constant C44 using the equation: C44 = C44 + e\5/e\\. From this we define the transverse velocity ct thus: c2 = C44/P, as the characteristic bulk velocity in a stiffened elastic medium specified by C44 and the mass density p. Note that the term e^k2

represents the Laplace operator in a dielectric specified by e n , while the term pus2 — C44&M corresponds to the Helmholz operator in a stiffened elastic medium characterized by C44 and p. From (139) we then obtain

119

Page 132: Advances in Surface Acoustic Wave Technology, Systems

986 A. R. Baghai-Wadji

&i(*l l)= 2 ^ , 2 (140a)

g21(ftii)=g12(feii)= : i 5 / y , 2 (nob)

fea(*i)=rJgr+ (e2

15/y )2,2- <140c)

We notice that the functions Qmn are built up from the following two fundamental types:

r i ( f c l l ) = 2 V 1.2 a n d ^ l l ) = T H f l ( 1 4 1 )

Before we proceed with the transformation of these functions into real space, we will discuss the asymptotic properties of 0 n , Q21 Q12 a n d 022 for k\\ ~> 1. These asymptotics are of importance because they describe the behavior of the fields in the immediate vicinity of the line sources, and are thus of major importance in self-action calculations.

The appearance of only one characteristic velocity, that is Zt, simplifies the calculation, and we obtain

lim 0 i i ~ - = - T 2 - - I r - r - j L 2 ( 1 4 2 a ) fc||»l O44 «|| O44 £llK||

lim g21 = lim g12 ~ - ^ ^ = -^r-^rs (U2h) fc||»l *||-K» C44 k\\ C 4 4 ^ H « |

lim fe - -5-4 - (ei5-/£ll)2^ = £±* (142c) *ii»i e n kf, C44 K Cuenki

3.5.2. Transformation into real space

We have found out that the functions 7i(ky) and 72(A;||) (Eqs.(141)) are building blocks of Green's functions Qn, g21, 012 and 022- Thus, in order to construct these functions in real space, it suffices to calculate T\(x, z\x',z') and T2(x,z\x',z') as their inverse transforms. In Ref. 9 it is shown that we have:

T^xMx',y') = -3-r±-H™(±Rq) (143a) 4 G44 c

T2(x,y\x',y') = - — L - b x R H + ^ - { l n 2 - 7 - lim lnc} (143b)

Remembering the charge neutrality condition, the singular term on the right-hand side can be omitted, and we are left with

T2(x,y\x',y') = --^—lnR]]. (144)

120

Page 133: Advances in Surface Acoustic Wave Technology, Systems

Theory and Applications of Green's Functions 987

Having calculated Tx(x, z\x', z') and T2(x, z\x',z'), we can set up the desired Green's function matrix in the following form:

/ Sn{x,y\x',y') Q12{x,y\x',y') \

(145) \ S2i(x,y\x',y') G22(x,y\x',y') )

_( - ^ ^ ( f i ? , , ) -i^H^ifR^ \

3.6. Infinite-domain Green's functions associated with scalar wave equation in purely elastic media

3.6.1. Construction of infinite-domain Green's functions using ID Fourier transform

Preparatory considerations: In BEM applications we are dealing with surface integrals of the form

i>(xux3)= / dx^n's dJ X^.4)

+ J dx^^^hiA^ (146)

n\ and 713 denote, respectively, unit normal vectors parallel to the x\- and x3-axis, and G(a;i,ar3|a^,a^) is defined by

G(X1,XM,4) =_/ - i L - j (147)

with a t(&) = (&2 — (u,/ct)2)1^2- Because our interest is the investigation of the results for k 3> 1, we have chosen this branch of the square root for at(k). ct is a characteristic transverse velocity and u> is the angular frequency.

We consider the spectral component

ejk(xi-x[)e-at(k)\x3-x'3\

-2ut(k)

in the integral representation of G(xi,x3\x\, x'3). Apparently the symmetry with respect to (xi — x\) and (£3 — x'3) has been broken. However, adding together all the "^-components" we obtain in real space a function which is invariable with respect to an interchange of (xi — x\) and (2:3 — x'3). The validity of this statement is obvious in the present cases: as we know, the integral in (147) is the Fourier

representation of the Hankel function HQ '(f-R\\)

" * " < ! * . > - / 2TT -2at{k)

121

Page 134: Advances in Surface Acoustic Wave Technology, Systems

988 A. R. Baghai-Wadji

which is a function of R\\ (Euclidian distance between (a;i,a;3) and (x^x^)), and is therefore symmetric in (xi — x^) and (2:3 — x'3). Thus we can write

G(x1,x3\x,1,x3) = H^(^Ri)= [

-I

dk e>k^-Xl~x'^e~at^k^Xi~x^

2K -2at(k)

dk e - Q ' ( f c ) l x i - 3 : i l e J* : ( x 3- x 3)

27r -2at(k) ' (148)

This fact is important in the further development of our ideas in the BEM applications.

3.7. Near-field asymptotic limits of Green's function G and of its spatial derivative with respect to x\ and x3

There are two alternative ways to calculate the near-field asymptotic limits of G(x\,x3\x\,x3) and of its spatial derivatives.

• standard procedure: This is the way we performed our calculations in the proceeding section. The method is based on the Green's function G(xi,x3\x[, x'3) in real space. Having constructed G(xi,x3\x'1,x3), we can find the asymptot-ics of G, dG/dx[ and dG/dx'3 directly at the limit R\\ <C 1.

• A more attractive and intriguingly simple alternative is the following:

1. find G(xi,x3\x'1,x'3\k) in k-dornain

2. determine the limit of this function for k 3> 1

3. extrapolate the resulting function in the entire range of k

4. calculate the inverse Fourier transformation of the extrapolated function. The resulting function represents the near field asymptotic of the Green's function in real space.

As we will immediately see, all these steps are extraordinarily simple and can be performed in a straightforward manner. In the following we will perform these steps by concentrating on scalar waves.

In the next three subsections we will be concerned with the analytical details of the above-mentioned steps by considering the functions G, dG/dx\ and dG/dx'3.

3.8. Near-field behavior of Green's function G

Our goal in this section is the investigation of the near-field behavior (i?y -C 1) of G{x-i, x3 \x\, s 3 ) by considering the asymptotic limits of the Green's functions in the wave number domain for k 3> 1.

For this purpose consider the integral representation of G{xx,2:3la/j,x'3) as given in (147), and perform successively the above mentioned steps.

We find that at(k) behaves like |fc| for k » 1, that is at(k) ~ |fc|. Consequently we can write

ejk(x1-x'l) -at(k)\xs-x'3\ eJk(xl-xi)e-\k\\x3-x<i\

-2at(h) -2|fc|

Let us now construct a function S(xi,x3\x'1,x3) in real space by using the function on the right-hand side of the above O (order)-equation, that is

122

Page 135: Advances in Surface Acoustic Wave Technology, Systems

Theory and Applications of Green's Functions 989

1 +00 ejk{x1-x'l) e-|fc| |Z3-x3l S(x1,x3\x[,x'3) = --+dk . (149)

The imaginary part of this integrand is an odd function of k and thus its contribution to the integral vanishes. With

Tle{ejk{xi-X'l)} = cosk{xl - x\) = cos^llx! - x'x\

we then obtain

S(x1,x3\x[,x'3) = - — ^dk ! ji . (150)

We compare this integral with the integral in (147): the variable k appears in the oscillatory part and in the decaying part in Eq.(150) as it stands, and, unlike the case in (147), not as a function of k. Further notice the polynomial appearance of k in the denominator in (150), which is much simpler than k in at(k) as is the case in (147). Recalling the result

+00 e-0k 1 -fdk——cosbk = - 7 - lira lne - - ln |6 2 + /32 | (151) Q k e—fO"*" 2

we can write

5(a;i,a;3|a; '1 ,4)= ~ ^ ~ { - 7 - " m lne - - ln[ (x! - x[)2 + (x3 - x'3)2]}

This is the near-field representation for the Hankel function HQ (fR^) and thus the near-field representation of our Green's function G(xj,x3\x'1, x'z).

Gix^x^x'^x's) _hxR , . + ( 7 + i i m i n € ) . (153) ~ Z7T 2.TT e->-0+

In the light of the above derivation it is instructive to summarize the relevant steps:

• Consider the representation: G(xi, x3 \x\ ,x'3) o G(xi, x3 \x[, x'3 \k).

• Find the asymptotic limit of G{x-l,x3\x'1,x'3\k) for k > 1: G{x1,x3\x'1,x'2i\k) ~ S(xi,x3\x'1,x'3\k).

• Extrapolate S(x1,x3\x'1,x'3\k) over the whole definition range of k, that is ( -00,00): which means letting S(x1,x3\x'1,x3\k) be valid for any value of k.

• Calculate the inverse transform of S(xi,x3\x'1,x'3\k)

°° dk S(x1,x3\x'1,x3) = / —S(x1,xs\x'ux'3\k).

- m 2-K

123

Page 136: Advances in Surface Acoustic Wave Technology, Systems

990 A. R. Baghai-Wadji

• The function S(x\x') is the near field representation of G(x\x')

G(x1,x3\x'1,x3) " S(x1,x3\x'1,x'3).

3.9. Near-field behavior of the ^-derivative of Green's functions

3.9.1. Real-space analysis

Using the relation (d/dx)H^\x) = -H^ix) we have

flgfri,xalx'^x'z) w (Xl - x\) (1) w

^ ~ ~3*~c fl„ F l {7R^ (154)

At the limit R« <C 1, by retaining the dominant term only, we can write

frl^Rl) i ? ' l « 1

Then (154) gives

dG(x1,x3\x'1,x3) fin < 1 a;i - x[

dx\ ~ *~RJP' (155)

This technique requires the Green's function G(xi,x3\x'1,x'3) in real space to find the asymptotics of its spatial derivatives for R •< 1.

In the following we show an alternative procedure with a promising applicability range.

3.9.2. Wavenumver domain analysis

By using Fourier integral representation of G(xi,x3\x'l,x'3) we can write

dG(x1,x3\x'1,x'3)_ d °? dkeM^-Oe-0*™**-*'^

dx\ ~ 'dx~{J002ir -2at(k)

°° dk jke:>kl-x'i~x'^e'~at<'k^X3~x'^

= ! * ; 2Mk) ( 1 5 6 )

Again with at(k) ~ \k\ for k ~^> 1 we can determine the asymptotic of the above integrand which is

AUf,jk(x/,—x/,) -at(k)\x3-x'3\ _-r. •7fee e ^ JJL.eJH<-x'1)e-\k\\x3-x'3\

2at(k) 2\k\

Denoting this limit by W(a;i,a;3|a;i,a^|fc) we can write

°° dk Wix^x^x'^x'^ J —W(x1,x3\x'1,x'3\k)

= J dk-^-reSM-'ih-Wte-^. (157)

124

Page 137: Advances in Surface Acoustic Wave Technology, Systems

Theory and Applications of Green's Functions 991

In contrast to the previous case the imaginary part of ePk^x^~x^> here contributes to the integral and we obtain

1 °° / Wix^x^x'x'z) = - — fdksiakix! - x'^e'^3-^. (158)

27T0

We use the table integral

°° 1 Jdxe pxsin(qx + A) = —2 j (QCOS^ + psinA) (159)

(p > 0.) (Formula 3.893/1 of Ref. 27.) which in the special case A = 0 reads

oo a

fdxe-pxsm(qx) = 0- 0. (160) o P2+Q2

Employing this result we obtain

(161)

(162)

which gives the near-field behavior of dGjdx'x

dG_ i?|| » 1 _ 1 xi - x\ dx[ ~ 2?r ijy2

This result proves that the processes

• spatial domain differentiation with respect to x'x

• wave number domain integration over k

• spatial domain asymptotic order-calculation (R^ -C 1) and

• wave number domain asymptotic order-calculation (k\\ S> 1)

commute in the following way:

Asymp . d °? dk _,. , , , , , . ,

-Is 7" i^-*"*»i

3.10. Asymptotic behavior of dG/dx'3

Consider the following self-explanatory calculations in the wave number domain:

125

Page 138: Advances in Surface Acoustic Wave Technology, Systems

992 A. R. Baghai-Wadji

dG(x1,x3\x'1,x'3)_ d °? d f c e J f c ^ i - < ) e - t t ' W N - ^ l

dx'3 "d^J^Tr -2at(k) 1 OO

= -— t agn(x 3 - 4 ) /d*cos* | a ; i - a;' |e-«t(*)|*s-*sl 2lT 0

1 °° ~ - — sign(z3 - x'3)Jdkcosk\xi - a^ le - * 1 * 3 -^! (164)

2-K 0

Using the table integral

oo an a Sdxx^e-f'coBbx = { - V T W ¥ E - ( i 65)

(Re/3 > 0; b > 0) in the special case n = 0, that is

oo a

JdxcoBbxe-P" = -^SJ (166) o b2 + P2

we obtain

0G(a;i,a:3|a:i,a:'3) 1 12:3-2:3 ~ - ^ s i g n ( a : 3 - 2:3) 0*3 2-K s v" i ; (Xl - x\f + {x3 - 4 ) 2

1 2:3—2:3

~ _ 2 ^ r iJ,,2

3.11. Calculation of self-action in BEM

In certain BEM calculations we are concerned with integrals of types

, aG(a:i,2;3|2:i,4)

(167)

TI \ t , ,dG{x1,x3\j^1^ > I(x1,x3)=n1 dx3 i — J - J - + (168a)

J(x1,x3) = -n3 / dx\ (168b)

which for coinciding observat ion a n d source poin ts deserve special a t t en t ion .

3.11.1. Calculation of I-type integrals

Substituting x'3 = x3 + u and x\ = x\ + e the following relations are valid:

2:3 — x'3 = — u xi — x\ = —e

In the ultimate proximity of the observation point (2:1,2:3) we can employ the asymptotic expansion of dGjdx\ from the previous subsection. Thus we can write

126

Page 139: Advances in Surface Acoustic Wave Technology, Systems

Theory and Applications of Green's Functions 993

2 3 - A 3 / 2 ,dG(x1,x3\x'1,x'z)

c

X3-A3 /2

dx[ X3+A3/2

1 f , , si - x\ '27T J ^ ( x . - x ^ + ixz-xtf

X3+A3/2

- A 3 / 2 A3 /2

= — / du-x 7; = — / du-r. , . (169) 2ir J e2 + u2

2TT J e2 + u2

A 3 / 2 - A 3 / 2

By substituting u = ev and thus du = edv (169) becomes

(170)

A 3 / 2c A 3 /2e

2TT J e2 + e2?;2 2n J 1 + ^2

- A 3 / 2 e - A 3 / 2 e

At the limit of e -4 0 we can write

1 °° 1 1= lim h = — f du- ~. (171)

e-+o+ 27r_i0 1 + u2 v '

By using the table integral

we obtain

7 = i ; a r c t a n ( , ) | - 0 0 = i - ^ - ( - f ) ] = i , (172)

which is valid for any order of A3. (This results from an implicit assumption that the surface in the vicinity of the observation point is flat.)

3.11.2. Calculation of J-type integrals

In a similar fashion we can write the following relations:

X\ — x\ = —u X3 — x'3 = —e

The following steps are self-explanatory:

127

Page 140: Advances in Surface Acoustic Wave Technology, Systems

994 A. R. Baghai-Wadji

zi+Ai/2

Jt= -Tl3 J dx\ , dG{x1,xz\x'1,x'z)

xi-Ai/2 x1 + A1/2

xz -x3 = _J_ f dx> J. 27T J 1 (Xl - X[

H-Aa/2 - A t / 2

2 y d v r ^ = 2^ y

)2 + (13 - 4 ) 2

A t/2

<iu-

-Ax/2

(173)

3.12. Semi-infinite domain dyadic Green's functions for wave propagation in piezoelectric media with semi-space inhomogeneity: shear horizontal waves

We again focus our attention on the propagation of shear horizontal polarized waves which are specified by the transversal mechanical displacement component 112 and the electrical potential tp. As excitation sources we consider both line charges and line forces. The following problems will be covered:

a piezoelectric half-space excited by a line charge and a line force. The sources are embedded in the piezoelectric medium. Our concern will be the determination of the mechanical displacement distribution U2(x, z) in the substrate and the potential distribution <p(x, z) in the substrate as well as in the free space.

a piezoelectric half-space excited by a line charge situated above the piezo-electric substrate. The problem is the determination of 1*2(3;, z) in the substrate, and <p(x, z) in the substrate and in the free space.

a piezoelectric medium with a semi-space inhomogeneity (two different piezoelectric semi-spaces with a plane interface). Here, we will consider a line charge and a line force in one of the semi-spaces and calculate the resulting mechanical displacement «2 and the electrical potential tp(x, z) in both media.

Consider a (A = 0°, p. = 90°, 0 = 0°)-rotated CdS. In this case the diagonalized equation (75b) simplifies to the equation in (174).

0

0

_C,._2L_,v..2 44557 - pu) -ei5Q^s

_1 ei5_/en \ C4_

C44

C4

C44

0

0 /

/ «2 \

f

T23

\ D 3 J

dz

( «2 \

f

T23

A staightforward calculation shows that the corresponding eigenpairs are:

\ D 3 J (174)

128

Page 141: Advances in Surface Acoustic Wave Technology, Systems

Theory and Applications of Green's Functions 995

A = \k\&

( 0 \

1

e15|fc|

V -£ i i | * l /

A = - | & | <=>

/ 0 \

1

-e is l^ l

V e n | * | /

(175)

Xt<=>

( 1 \

e i s /e i i

C44A4

0

- A t <=>

/ 1 \

e i5/ei i

—CnXt

0

(176)

Having the eigenpairs, we can set up the solution ansatz for the field quantities which will enter the interface and boundary conditions

/ u2(x,z\k) \

<p(x,z\k)

T23(x,z\k)

\ D3(x,z\k) )

a^\k)

W3)(*0

I 0 \

1

e15|fc|

V - £ u | * | /

/ 1 \

Ca\t

0

/

ejkxe\k\z+a(2)(kj

e>«xeMZ +a^>(k) W(

0 \

1

-e1 5 | fc |

V £n\k\ J

t 1 \

C15A11

— C ^ \ t

0

e>kxe-

g j fc ig -Ajz

(177)

The unknowns ay\k) will be determined from the interface conditions.

3.13. Semi-infinite dyadic Green's functions for piezoelectric half-spaces

We are now prepared to derive Green's functions associated with a semi-space piezoelectric substrate which is capable of supporting shear horizontal waves along the substrate surface.

Based on the piezoelectricity assumption, there are two ways of exciting the semi-space: we can excite the substrate either by an electrical line charge or equally

129

Page 142: Advances in Surface Acoustic Wave Technology, Systems

996 A. R. Baghai-Wadji

lice spate

X a piezoelectric substrate with

material parameters

£ 1 1 , e i 5 , ^ 4 4

- * • a line charge + a line force

Fig. 5. A line charge and a line force which coincide at the point {i' = a,z' = c) within the substrate in the lower half plane (z < 0).

well by a mechanical line force. While the location of the line force is limited to the substrate region, the line charge can reside everywhere in the space.

In this subsection we focus our attention on sources which are located within the substrate. To cover problems arising in practice, the next subsection will be concerned with the medium excitation by a line charge above the substrate? Results from these two sections will allow the investigation of the properties of the involved Green's functions. However, as the reader will see, it is necessary to consider the excitation of two welded piezoelectric semi-spaces, in order to investigate the underlying reciprocity properties of the Green's functions involved. Two welded piezoelectric semi-spaces will be analyzed in the final part of this section.

3.13.1. Line source excitation: sources are located in the lower half space

We consider a line charge a at {xa, za) and a line force r positioned at (xT, zT) within the substrate (in the lower half plane (z < 0)), Figs. (5) and (6).

We obtain the following results for the Green's functions.

The electric potential response in the upper semi-space (z > 0):

i A piezoelectric substrate enclosed in a metallic package is the basic building block of most micro-acoustic devices. Under the assumption of ideal electric conductivity the metallic parts in the devices can be regarded as a collection of line charges. In an analogous way mechanical loading of the substrate and the mechanical tensions between the substrate and the package can be modeled by a collection of line forces with a •priori unknown strengths.

130

Page 143: Advances in Surface Acoustic Wave Technology, Systems

Theory and Applications of Green's Functions 997

region I

liee\p.u.e Z i i

region II

£ 1 1 , e i 5 , ^ 4 4

region III

£ 1 1 , e i 5 , ^ 4 4

x !

C

a line charge + 1 a line force 1

1 1

• 1 .. .k ' •

Fig. 6. A plane z = c together with the interface plane z = 0 divides the geometry into three homogeneous regions.

^*tp,a \^i Z\%ai Z01 Za\k)

1

£0 + £11

e15

1

1*1 1

^ 4 4 ^

°44 | f c | ~

1

£0

eo+e n

1

e n

ejk(x-

£ l l £0 + £ l l |fc| Q At e o _ £ ^

jfc(a;-xff) -|fc| |z-^„

eJfc(a;-xa)e-(|fc||z|+At|2,

ffcf eo+en e n

(178)

G<£\x,z\xT,Zr\k) 1 1

= - e i 5 £o + £ n | f c | C 2«__£n_£Li

* * | f c | e o + e n e n

pjHx-xT) -(\k\\z\+Xt\zT\) (179)

The electric potential response in the lower semi-space (z < 0):

131

Page 144: Advances in Surface Acoustic Wave Technology, Systems

998 A. R. Baghai-Wadji

G<$(x,z\xa,za\k) = J - ^ e J ^ - ' ^ e - l * ! ! * - ^ ! 2en |*|

•• •, g p - g n g . . ^ t , -J £2 £15. 1 -*• e o + e n 4 4 | f c | e p + e n e n 7fe(a-z„) - | fc | | z+z„l

5. fc| e o + e n ei

2en |*| c44n?r - - S o - S u . 4 4 |fe| e o + c n etl

P2 1 C 1 5 _ x _ Y f c ( a - x ^ 1 c - A , l z - z „

2 e n 2 C 4 4 At

1 ^ T ^ r + _£fl £lfi. fc| e 0 + £ l l e i l . , - fc(x-x„1_-A,lz+z. , l

2e i i 2 C 4 4 At c- ^ _ _ ^ a _ £ n 44 | fc| eo+en en

I e 1 5 £ ° 1 I e j f c ( x - x a ) e - ( A , | z | + |fc|zt7|)

E l l 2 £0 + e n | * | CAA& ^— &• 4 4 1*1 e o + e u e n

+ _ e | ^ — e o — 1 1 M*-x„)e-m\z\+xtu„\) ( 1 8 Q )

4 4 | f c | E O + E I I e n

G^(x,z\xT,zT\k) = _ _ £ " _ l e * < * - ^ > e - A ' l * - ^ 2 e i i G 4 4 At

„2 1 / ^ .Ai_ 4- eo e i 5

eis_ 1 44 |fc| 1- e o + £ l l e u cJ fc (3 ;_XT)c_At |z+zT | 2 £ n C 4 4 At £ A, £ 0 _ £ L L

4 4 | f c | e o + e n e n

+ £i£_i2_J I eJ*(*-*i-)e-(|fc||z|+At|z,-|) Q 8 1 S e n eo + £11 1*1 £ , , £ . S2—&

4 4 W £o+£l l e i l

The elastic displacement response in the lower semi-space (z < 0):

G^%(x,z\xa,za\k) = _ _ ! ^ _ l e i * ( * - x . ) e - A t | z ^ | 2 e n C 4 4 At

. 2

eis^ 1 W4]fc[ -r £ Q + e i i £ l l c7-fc(a!-a;<T)c-At|z+z<r|

2 £ n C 4 4 At £ A. £ a _ £ L 4 4 | fc[ e o + e n e n

I e l 5 £ o 1 L____ e J^ -^ ) e - (A t | z | + |fc||z„|) ,182) £n eo + C11 1*1 CAA& ^ — ^

4 4 |fc| eo+e i i £11

G^r(x,z\xT,zT\k) = - - L - U i*(*-x T ) c -* . l*-^ ZU44 At

J . 1 644ffi + e0+£ii^e .7fc(x-xT)e-A t |z+zT |

2C4 4 At cA. 2± - g" £k °44 | f c | e o + e i l £ l l

(183)

132

Page 145: Advances in Surface Acoustic Wave Technology, Systems

Theory and Applications of Green's Functions 999

1 ree sp.it. e

a line tliarye

X a piezo-electric substrate with

material parameters

£ 1 1 , e ! 5 , C-44

Fig. 7. A piezoelectric half-space when the exciting line charge with the cartesian co-ordinates a and c is located above the substrate surface.

3.13.2. Line charges reside outside the substrate

In this section our concern is the derivation of Green's functions associated with a piezo-electric half-space when the exciting line charge with the cartesian co-ordinates (xa,za) is located above the substrate surface, Figs. (7) and (8).

The electric potential response in the upper semi-space (z > 0):

1 1 G^\x,z\xa,za\k) = J_J_ei*<*-*->e-l*H*-2-l ,„>(T , . , - , „ „ , - „ , . . , . 2 e o ( J f e p

+•

1 .. en-en /-» A, 1 1 eo+eii 44l*=

_£fl £ l i I eo+cii e iLgj'*:(3:-3:^)e-|fc||^+z<T

T/ie electric potential response in the lower semi-space (z < 0):

(184)

G^(x,z\xa,za\k) 1 1 C*4]k\

' ° 4 4 | f c | eo+e i i en

e j fc(x-x a ) e - |* : | |2-z„ |

1 1 eMx-xa)e-(Xt\z\ + W\z,\)

£lie0 + £ii\k\ Q At £ f l_£ i i

77&e elastic displacement response in the lower semi-space (z < 0):

(185)

133

Page 146: Advances in Surface Acoustic Wave Technology, Systems

1000 A. R. Baghai-Wadji

region 1

tree space a line charge

1 region 11 c

tiee spate ' k J

region III

£ 1 1 , e i 5 , *~-44

X !

Fig. 8. Subdivision of the above geometry.

1 1 ejk(x-xa)e-(\t\z\ + \k\\za\) G^x,z\xa,za\k) = e i 5 _ — — _ - _ - -j (186)

£O + £II\K\ CAAH £fi_£ia

3.13.3. Dyadic Green's functions for two semi-infinite piezoelectric substrates supporting stonely waves

Consider two semi-infinite piezo-electric substrates which occupy the regions z > 0 and z < 0, Figs. (9) and (10). Let the semi-space z > 0, the upper region, be characterized by parameters C 4 4 , e"5 and e"j , and the semi-space z < 0, the lower

^ . . region, be characterized by the parameters C 4 4 , e15 and e n . Consider first a line charge and a line force within the upper half-plane, and then a combination of a line charge and a line force which are both located in the lower half-plane. (The reader may find interesting details regarding the derivation of the associated dyadic Green's functions in Ref. 9.) Here, we merely give two representative examples for the Green's functions.

134

Page 147: Advances in Surface Acoustic Wave Technology, Systems

Theory and Applications of Green's Functions 1001

a piezo-electric substrate with material parameters

a line charge + a line force

o ( u ) p(u)

C l l , e 1 5 , <-44

X a piez.o-electric substrate with

material parameters

eO) ~« r ( 1 )

C l l , C 1 5 , ^ 4 4

Fig. 9. Two piezoelectric semi-spaces.

region I

o ( u ) p(u)

C l l , e 1 5 ,

region II

o ( u ) p(u)

C l l , e l 5 ,

region III

C l l , e 1 5 ,

<-44

r ( u ) C44

n0) L.44

Z

X

a line charge + a line force

1 (

1

f

Fig. 10. Subdivision of the above geometry.

135

Page 148: Advances in Surface Acoustic Wave Technology, Systems

1002 A. R. Baghai-Wadji

+

G^Hx,z\X<T,za\k) = _ l_±e**(*-* .> e - l* l l* -* . l

i I g n + e n £ i i £ i i ( £ i i + £ n )

C 4 4 T ^ + G 4 4 ] ^ - 'i J " ii f e i i e i i v e i i + e i i )

xeJ'fc(a:-a:a)e-|fc||z+z^|

(e15) 1 ik(x-x„) - A ? | z - z J

(e?s)2 1 ^4 | f c | -g44 | f c | + £ j ]1

£ g ( £ j ; £ y )

o 4 4 | f c | + o 4 4 | f c | e« i,e{;' (ev;er i )

xeJk(x-xa)e-\f\z+za\

pjk(x~xa)p-(\k\\z\ + XY\z^\) e i 5 g n e i 5 - £ i i e i s x

(£?i)2 £ n+ £ i i 1*1 7 ^ , 7 ^ (efreJB-e^efr)' 1 1 £ l l £ l l ( £ l l + £ l l )

ejfe(»-^)e-(AJ'|zH-|fe||z<7 |)

„ \M ; \l lru J. rl pu >2 7J« *£. _i_ n ±L _ t £ U e 1 5 ~ £ U e 1 5 )

e?5 £y i e ' 1 5- £ ' ey 5 i eJ-fc(«-*g)e-(Ayizi+|fc||z.i)

(£?i)2 £ £ + 4 i 1*17^ A? ^ A] - « ^ J - - l ;

i ( £ i i + £ i i )

G & J W l * * >**!*) = 1 £ i 1 eyr , - £ ne ' R 1 e**(*-*,)e-(A?W+|k||z,|)

^ £ 'n £ n + £ n |*| ^ & +c" £ _ ( £ ' i ^ s - £ n e ' 5 ) 2

W4| fc | + ^ 4 |fc| ^ ^ ^

£ n 1*1 7T* A, T7« A, ( £ i i e i 5 - £ n e 1 B ) 2

C 4 4 | 4 + G4 4- i^ - ." '" j ' l '" £ i i £ i i ( £ i i + £ n )

(188)

3.14. Infinite-domain dyadic Green's functions for the analysis of sagittal polarized wave propagation

Considering a line force embedded in an isotropic elastic half-space, the derivation of Green's functions for sagittal polarized wave propagation has already been discussed in Ref. 24. We denote the distance of the line force in the interior of the domain from the surface of the semi-space d. By letting d go to infinity, we can then obtain the infinite-domain Green's functions from the half-space Green's functions.

This section is devoted to a direct derivation of the aforementioned infinite-domain dyadic Green's function. The derivation is based on eigenvalues and associated eigenvectors of the governing and constitutive equations.

136

Page 149: Advances in Surface Acoustic Wave Technology, Systems

Theory and Applications of Green's Functions 1003

Statement of the Problem: We assume the entire space to be filled with an elastic medium characterized by the elastic constants C\\ and C44 and the mass density p. Furthermore, we assume that a line force located at the point (x',z'), and oriented in x-direction (TIS(X — x')S(z — z')e"x), oscillates in time according to exp(ju;i), and excites the medium. The excitation of the medium is uniquely determined by the resulting elastic displacements, i.e., Ui(x,z) and u3(x,z) functions. In order to signify the localized, delta-function-like nature of the source, we use G\{x,z) and G3(x,z) instead of U\(x,z) and u3(x, z). In addition, in order to indicate the direction of the force, which in the present case is the rc-axis, we write Gu(x, z) and Gzi{x,z). Finally, by writing Gn(x,z\x',z') and G3i(x,z\x',z'), we also provide the co-ordinate values of the above-mentioned line force. Analogously we speak of a line force T3<5(a; — x')S(z — z')e"z and of the associated elastic displacement fields which we denote by G-j,3(x,z\x',z') and Gs3(x, z\x', z').

Defining the longitudinal and transversal bulk velocities Q and ct to be c2 = C\\/p and c2 = Cu/p, and the quantities X^t = (k2 — ui2/c2 J 1 / 2 we obtain the following eigenpairs:

/

K*> 5k

2c2 A;

V -^tJk - ft /

\ <* jk

-2c2 A;

V -2<fr*-& /

A + o

.ih.

2k2£ - if

\ -2c2tjk )

\ *> At

-2k2^ + if

\ -2c2jk J

The eigenvector in our diagonalized form is (Ui(k), U3(k), T13(k), T33(k)Y. Using these eigenpairs and satisfying the interface conditions on z = z' we obtain

the Green's functions in (189).

G11 (3:3 -x'3\k) = - -ai\xx-x'<,\ , at -a t | x3-x^ | 2u;2a, + 2UJ2

jk

G33(x3 - x'3\k) = ^ e ~ a ^ - ^ k2

2w2at

a-at\x3-x'3\

(189a)

Gl3(x3 - x'3\k) = - ^ s i g n ^ s - z ' sXe-" ' !* 3"^! _ e - « t | * 3 - 4 l ) (189b)

(189c)

Notice that in these expressions the multiplicative exponential term e^Xl~x^ has been suppressed for the sake of simplicity. The decaying constants in 2-direction, i.e., the eigenvalues aitt are defined as a^t = (k2 — w 2 / ^ ) 1 / 2 , where ci and ct, respectively, denote the longitudinal and transversal bulk wave velocities.

137

Page 150: Advances in Surface Acoustic Wave Technology, Systems

1004 A. R. Baghai-Wadji

3.15. Asymptotic limits of the Green's functions in the far field in the wavenumber domain k > 1

3.16. Self-action analysis in vector field problems

In preceding subsections, which dealt with horizontal scalar waves, we found that the self-interaction calculation can be performed either in the spatial domain or in the wavenumber domain. Furthermore, we have demonstrated that the calculation in the wavenumber domain is significantly simpler. This is an encouraging result and gives rise to the next question: is it possible to extend our ideas to include vector fields?

The main objective in this subsection is to show that our solution concept, proposed in the foregoing section for scalar waves, is also valid for vector fields. However, it turns out that , in contrast to scalar waves, more than one term must be retained in the asymptotic series in order to adequately reflect the fine scale structure of the problem.

In many engineering problems we will be concerned with the interaction analysis of sagittal polarized waves with surface disturbances, formed as ridges or grooves, on the surface of a piezoelectric semi-space. The analysis employs the BEM and involves infinite domain Green's functions associated with the problem. It should be emphasized that the underlying Green's functions have to be known in real space. Generally, while the analysis of mutual interaction is fairly simple and straightforward, the self-interaction calculation is rather cumbersome. The major steps in calculating the self-interaction using real-space Green's functions are given below.

Standard Procedure:

• Transform the underlying system of inhomogeneous partial differential equations from the spatial domain into the wavenumber domain.

• Calculate the Green's functions in the wavenumber domain by inverting the inhomogeneous algebraic system of equations (derived in the first step).

• Transform the Green's functions into real space.

• Calculate the derivatives of the Green's functions with respect to the spatial variables.

• Calculate the asymptotic limits of the derivatives of the Green's functions in the near field in real space.

• Integrate the asymptotic limits to obtain the self-interactions.

Assuming an isotropic elastic medium (characterized by the velocities c; and ct), and denoting the asymptotic limits of the infinite domain Green's functions Gij(x\x') in the near field (R\\ < 1) by 5 y ( x | x / ) , we obtain the following result:

138

Page 151: Advances in Surface Acoustic Wave Technology, Systems

Theory and Applications of Green's Functions 1005

dx[ dx\

3 an - a^ , 2 ( j ! - a^)3 h i - » ; 2 ( g l - a;;)3

~ „2 T->2 "•" .2 p4 + r2 n>2 .2 p4 ylyU>

. aGn(x|x') d S n ^ x ' ) 47T ^^ 47T =

_lx3-x(i 2{x3-x'3)3 3 i 3 - 4 , 2 (a3 - x'3)

3

h-j 53 (,j.yi; itf c? flJ c2 i?2 ^ c 2 j *

4 , ^ 3 ^ „ ^ f t i W .9a;; dx\

1 x3 — x'z 2 (0:3 — x'3)3 1 13 - X3 2 (a?3 — x'3)

c .2 fl2 c2 Ri c2 JJ2 - c 2 # + 72 D4 ( 1 9 2 )

l j r0G13(x|x /) ^ l 7 ra513(x|x') =

9a:3 da:3

1 zi — a;i 2 (a;i — x^)3 l i i - a^ 2 (a^ — a^)

c; ,2 #j C? i t f C2 R2 ^ 2 R4 + 3 r>*' (193)

. dG33(x|x') a533(x|x0 47T ~ 47T =

da^ Sajj

_ l i i - i j 2 (si - a^)3 S i i - i i 2 (a?i - a;;)3

C2 i?2 C2 * c ? ^ 2 + c ? ^ 4 ^

lT0533(xl^) ^ l r ra533(xlxQ =

dx'3 dx'3

3 x3 - x'3 2 (13 - a/3)3 , I 1 3 - 4 2 (x3 - x'3)

3

~ r2 R 2 + „2 p4 "+" „2 R 2 „2 p4 U y { V

Preparatory Calculations: Based on the Taylor power expansions

\ / l - 1 2 p

1

V I - a ; 2

a:2

a;2

x < 1 (196a)

a; < 1 (196b)

139

Page 152: Advances in Surface Acoustic Wave Technology, Systems

1006 A. R. Baghai-Wadji

and

ex « 1 + x x < 1 (197)

which are valid for vanishingly small values of the argument x, the following manipulations are self-explanatory:

„ , l w 2 1 ,

^ " ^ ' - ^ j * ! ( 1 9 8 a )

i _ i

ai,t * |fc| ' 2 c\t \k\ + o — T u 3 (198b)

l w 2 1 e - a , l t | * 3 - ^ 3 l „ e-|A=ll-3-.T3 | (1 + ±!%--L\x3 - X'3\). (198c)

2 c ; , t I'M

Substituting these asymptotic expressions for aij, l/<*z,t and exp(—&ij\x3 — x3\) in the expressions for the Green's functions in Eqs.(189), we obtain their asymptotic limits for k » 1. We start with G\\. Substituting (198), performing the multiplications, neglecting higher-order terms, and rearranging, we obatin

S i i ( z 3 - x'3\k) = \(-~ + 4 )1*3 - 33|e-lfcN*»-*sl

-k$+&w\e~Wi"~*- (199)

Similarly, we obtain

S13(x3 - x'a\k) = - h \ - \)(x3 - x'3)jSign(k)e-^x^x'^, (200) 4vcf ct

and

S33(x3 - x'3\k) = T ( — 2 + -5)\x3 - 4|e-lfcH*3-*3l * ct H

- 7 ( 4 + 4)^TeHfc||X3'"41- (201) 4 c? 4 \k\

So far we have determined the asymptotics of the Green's functions in the far field in the wavenumber domain (k >• 1). Our next concern is the transformation of the above results into real space. As is obvious from the above results, the functional structure of the asymptotics of the Green's functions is much simpler than that of the Green's functions themselves. This property significantly simplifies the transformation into real-space. The following integrals will play an important role in further discussion:31

140

Page 153: Advances in Surface Acoustic Wave Technology, Systems

Theory and Applications of Green's Functions 1007

oo

/

I

dke-pkcosqk = 0P „ (202a)

o

dke-pksinqk = 0 9 „ (202b)

oc

/ e pkcosqk 1 f 2 2\

dk j - ^ - = --HP2 + qf) (202c)

(For the conditions under which the third equality is valid please refer to the exhaustive discussion in the previous section.)

Based on these integrals, the transformation of S\\(xz — x'3\k), 513(2:3 — x'3\k) and 533(2:3 — x'3\k) into real space is an elementary task.

Note that in the process of this transformation the oscillatory exponential term

ejk(x-L-xi) m u s t be accounted for. We omit the details, and summarize our results:

J_ JL_ _ 1 (x1 -x'Jjxa - x's) ^3(x|x') = ^ ( 3 - 4 ) V 1 X)T~" (203b)

1 1 l.(x3-x'3)2 1 1 1 1

« =^-3 + ^-"nf^ + T^ + ^ 2 l n R i (203c)

3.17. Real-space near-field asymptotics of the spatial derivatives of Green's functions

In order to find the spatial-domain near-field asymptotics of the spatial derivatives of Green's functions an important property can be utilized: the asymptotic limit-process and the process of derivation are commutative in real space.

Thus, simply by calculating the Xi and £3 derivatives of the asymptotic expressions S'ii(x|x /), 5i3(x|x ' ) and 533(x|x /), we find the required asymptotics. For the details the reader is referred to Ref. 9.

3.18. Calculation of i?<^Cl—asymptotic limits of the Green's functions based on k^$>l—asymptotic limits of the underlying eigenvalues and eigenvectors

The primary objective of this section is to show that the near-field behavior (R -C 1—asymptotic limits) of the Green's functions in real-space can be obtained from the far-field behavior (k ~^> 1—asymptotic limits) of the underlying eigenquantities in wavenumber domain in the following way:

• find the k 3> 1—asymptotic limits of the eigenvalues and eigenvectors.

• Construct the relevant field variables by superposing the limits obtained from the first step. This results in the k 2> 1—limits of the field variables. (A certain

141

Page 154: Advances in Surface Acoustic Wave Technology, Systems

1008 A. R. Baghai-Wadji

number of unknown coefficients enter into our calculations, which have to be determined from the boundary conditions.)

• Using the k S> 1—limits of the field variables fulfills the problem's boundary conditions by assuming a delta function excitation. This leads to the values of the unknown coefficients from which the k 3> 1—limits of field variables can be calculated. As will be seen in this section, the resulting field variables are the k » 1—asymptotic limits of the Green's functions which we are seeking. This fact will prove the following commutativity property: the process of satisfying the boundary conditions, and the k 3> 1—process are commutable.

• Once we have found the k » 1—asymptotic limits of the Green's functions, simply by inspection a Fourier-transformation yields the R -C 1—asymptotic limits of the Green's functions (in the near field in real space). Remember that they are exactly these limits which play an essential role in the self-interaction analysis in BEM-applications*

3.19. Line force source excitation of an unbounded, elastically-isotropic medium for sagittal polarzied wave excitation: calculation of i? <C 1— asymp-totic limits of the Green's functions

We assume that a mechanical force (per unit mass density) excites an infinite-domain isotropic elastic medium. Furthermore, we assume that the line force oscillates in time according to exp(ju>t) and that it acts at the point (x',zf) in a :r2-co-ordinate system. There is variation in y-direction (d/dy = 0). We denote the components of this force vector in x- and ^-direction by TJ and T%, respectively. The problem is to find the resulting elastic-displacement functions Ui(x, z) and 1/3(2:, z) (which are already our Green's functions, due to the particular J-function excitation) in the ultimate vicinity of the line force. Thus, our goal is the presentation of an alternative way for the determination of the R -C 1—asymptotic limits of the Green's functions.

3.20. Solution strategy

The analysis follows the exact same line as for the construction of the infinite-domain Green's functions in the previous sections. The only difference is that here we fulfill the boundary conditions with k 3> 1—asymptotic limits of the field variables, instead of with the field variables themselves.

For this purpose we proceed as follows:

• Subdivide the (a;, z)-infinite domain into two semi-spaces z > z' and z < z' by the plane z = z'. (Note that z' is the z-coordinate of the line force considered.)

fcIt is possible to convert a linear system of governing partial differential equations, together with the constitutive equations, into an eigenvalue equation for a reduced number of field variables.9

The solution of the resulting eigenvalue equation leads to a certain number of eigenvalues and the associated eigenvectors. In terms of equivalent relationships we can say that:

1. partial differential equations (PDEs), together with the constitutive equations, can be equiv-alently transformed into an eigenvalue equation.

2. The information contained in an eigenvalue equation is equivalent to the information carried by its eigenvalues and eigenvectors.

Therefore we infer that the information contained in a given system of PDE and constitutive equations is uniquely determined by the system-characterizing eigenquantities. The boundary conditions merely dictate which of the eigenquantities and how strong in compete-tion with each other they are present in our formulae.

142

Page 155: Advances in Surface Acoustic Wave Technology, Systems

Theory and Applications of Green's Functions 1009

In the following, we call the half-space z > z' region I, while the semi-space z < z' will be refered to as region II.

• Find the k » 1—asymptotic limits of the eigenvalues and the associated eigenvectors.

• Use the k ~S> 1—asymptotic limits of the eigenquantities to construct the field variables in regions I and / / . (A certain number of unknown coefficients enter into our calculations.)

• Impose the boundary conditions so as to obtain the unknown coefficients involved. This leads to the k 3> 1—asymptotic limits of the Green's functions involved.

• Use inverse Fourier transformation to obtain the R <C 1—asymptotic limits of the Green's functions.

3.21. k 3> 1—Asymptotic limits of the eigenvalues and eigenvectors

Having A;,t = (k2 — w 2 / c 2t )

1 , / 2 , using asymptotic expansions which are valid for k 3> 1, and restricting ourselves to two leading terms, we can find the k ~S> 1—limits of the above-mentioned eigenvectors.

/

A+*>

\

Sf-i^M fc?!*!-^

^ -2<&*-£ J

{

\ «*

\

-Sf + i ^ M -2c2\k\ + ^ ^

V -2<&* - £ J

\+4> Sf + ^ M

2c? 1*1 i M -2c2jk

\ «•

/ 1

|fc| _ I J1 I I

jk 27[jk\k\

-2c t | fc | + 2^[JT\W

-2c2jk

\

3.22. k 3> 1—Asymptotic limits of the field variables

The reader should be reminded that the components of the eigenvectors stand for Ui(k), U3(k), Tiz(k)/p and T33(k)/p. We denote the k > 1-asymptotic limits of these field variables by Sx(k), S3 (A), t\z(k)/p and tzz{k)/p, respectively. Then, in region I (z > z'), we can write the following relationships:

143

Page 156: Advances in Surface Acoustic Wave Technology, Systems

1010 A. R. Baghai-Wadji

S{(x,z\k) = A(k)ejkxex> z + B(k)ejkxe^ z (204a)

2

jk ~ 2cf jfc|fcj 1 V _

A. z

+ B^-fk-\^Tk^kx^ ^ t^^=A(k)(-2cm + p^)e^

-i 1*1 < l u 4 l 1

* 3 3 ( M * ) _ „ W o-2.- t "2\„ikXeXl

+ B(k)(- 2c2\k\ + _ ^ _ _ ) e ^ A - * (204c)

A(k)( -2c2jk- — \elkxe \ jk J

+ B(k) ( - 2c? j * ) ePkxex*z (204d)

Terms associated with A; and \f are omitted here, in order to satisfy the Sommerfeld's radiation condition at infinity {z —• +oo).

Analogously, by using terms associated with Xf and A+, we obtain the limits in region II (z < z').

3.23. Interface conditions applied to the k 3> 1— asymptotic limits of the fields

The involved coefficients can be determined from the interface conditions on the plane z = z'. Thereby we use the (k 3> 1) asymptotics for the displacement and stress components, rather than these components themselves. A straightforward calculation gives the following result:

S{(x-x',z-z'\k) =

-_]tLTlP3Hx-x') -X,(z-z') _ I_L_LTlPJ*(z-a:')p-A,(2-z') _ 2u?1 4 C 2 | * |

_J^T3e3Hx-x')e-\l(z-z') + JtLTie}k(x-x')e-Xt{z-z')

2UJ2 2ui2

_ll.-LTieJHx-x')e-*t(z-z') , J^_n(Jk(x-x') -\t(z-z') /205) Ac2\k\l + 2 ^ 2 T 3 e (M0>

The appearance of (x — x') and (z — z') in the second equation in (205) suggested the introduction of the form S[{x — x',z — z'\k), instead of S{(x, z\k).

As the inequality z — z' > 0 holds true in region / , we can write z — z' = \z — z'\. Using this relation, substituting the k ^> 1—asymptotics of exp(—\i\z — z'\) and exp(—Xt\z — z'\), and reordering, and arranging the terms associated with T\ and T3 into two separate groups, we obtain:

144

Page 157: Advances in Surface Acoustic Wave Technology, Systems

Theory and Applications of Green's Functions 1011

S[(x-x',z-z'\k)

= [ - !<£ - ^>i 'I -\^^)UeM'"%^"'^ + f- l(\ - \)jsign(k)\z-Z'\]e^x-x\-^z-2\3 (206)

L 4 cl ct J

Identification of the terms multiplied by T\ and T3 : The term multiplied by Ti represents the k » 1—asymptotic limit of the Green's

function Gn(x — x',z — z'\k) in region I (z — z' > 0). Likewise, the term multiplied by T3 is the k 3> 1—asymptotic limit of the Green's function Gi3(x — x',z — z'\k) in the aforementioned region. Denoting these limits by 5f1(x — x',z — z'\k) and S{3(x — x',z — z'\k), we can write:

Sl^x - x \ z - z'\k) = [ - i ( 4 - 4 ) | z - A L * cl ct

- l ( \ + 1) ±_\eM*-x')e-\k\\z-z'\ (207a) 4 xcf cf \k\l

Si3(x -x',z- z'\k) = - \ { \ - \)jsign(k)\z - z'\eM—')e-\k\\z-z'\ 4 Cl Ct

(207b)

In order to investigate the behavior of the limits Gu(x — x', z — z'\k) and G\3(x — x',z — z'\k) in region II (z — z' < 0), we consider the term S[! (x,z\k). A similar analysis leads to the results

SU(x-X',z-z'\k)=\--(-s--3)\z-z'\

- i ^ + ^ l S i ] ^ ' ^ " 1 " " " " ' 1 (2°8a)

SU(x -x',z- z'\k) = \ ( \ - \)jsign{k)\z - z>\eJKx-x')e-\k\\z-z'\_ 4 C; Ct

(208b)

The expressions in (207) and (208) can be unified by using the fact that \z -z'\ = z - z' in region I and that \z - z'\ = -(z - z') in region II. The k > 1-asymptotic limits of the remaining Green's functions, i.e., G3i and G33, can be found analogously.

Summarizing our results we can write:

145

Page 158: Advances in Surface Acoustic Wave Technology, Systems

1012 A. R. Baghai-Wadji

Sll(x-x',z-z'\k)=\-\(±-±)\z-z'\

~ l ( \ + \)^l}eMx~X V l * " * - * ' ! (209)

S13(x-x',z-z'\k) =~\(^ ~ ^)jsign{k)(z - z')ejk<-x-^e-^z-z'^

=S3i(x-x',z-z'\k) (210)

SS3(x-x',z-z?\k)= \j{-s - ~s)\z - A L * ci ct

- 1 ( 4 + L) J_]e^(*-*')e-l*llW| ( 2 U )

Eqs.(209-211) constitute the matrix £_, which is the k » 1—asymptotic limit of the dyadic Green's function Q_.

The elements of £ can be transformed into the real domain simply by inspection, to obtain the real-space near-field asymptotics of the Green's functions involved. This transformation, however, has already been performed in a previous section and will not be repeated here. There the elements of <S were derived directly from the Green's functions and not, as was the case here, from the k 2> 1—asymptotic limits of the eigenquantities.

This completes our proof of demonstrating that the process of satisfying the boundary conditions and the k 3> 1—process are commutable.

4. Summary

In this chapter we have shown that the governing equations in anisotropic, and transversally inhomogeneous piezoelectric materials can be diagonalized. Details regarding a newly developed symbolic notation, and a recipe for the construction of diagonalized forms have been discussed in Section 2, following a brief introduction in Section 1. Although the presentation of the diagonalized form in Section 2 is self-contained, it remains restricted to the piezoelectric media. Further complementary discussions on the diagonalization of Maxwell's equations in anisotropic media can be found in Ref. 9. The reader is also referred to the Refs. 32 and 33 which are devoted to the diagonalization of Maxwell's equations in bi-anisotropic inhomogeneous media and Laplace's equation in the anisotropic dielectric and magnetic media, respectively. The latter forms have been developed to analysis waves and fields in large amplitude corrugated periodic structures. Furthermore, the reader may find additional applications of the diagonalized forms in Ref. 34. There, among others, the propagation of electromagnetic waves in photonic crystals with defects has been addressed. Diagonalized forms in Fourier domain represent standard or generalized algebraic eigenvalue equations, which lead to the eigenvalues and eigenvectors corresponding to the underlying differential operator. An efficient algorithm for the calculation of higher-order derivatives of eigenvalues and eigenvectors is discussed in Ref. 35.

146

Page 159: Advances in Surface Acoustic Wave Technology, Systems

Theory and Applications of Green's Functions 1013

Section 3 has been devoted to a brief discussion on Green's functions. Two methods for the construction of Green's functions in infinite and semi-infinite media have been presented. The first method, based on the inversion of the underlying differential operator, is suitable for infinite-domain Green's functions. The second method, utilizing the diagonalized forms from section 2, can be chosen to construct both the infinite doamin and the semi-infinite domain Green's functions. Several boundary value problems have been considered, as useful examples, to demonstrate the details pertaining the construction of Green's functions. Much attention has been devoted to the Green's functions associated with Laplace's operator because of their far-reaching significance. The charge neutrality condition, as a regularizing balance law, has been emphasized. These considerations are followed by two recipes for the construction of periodic Green's functions. Many useful applications of periodic Green's functions can be found in Ref. 28. Section 3 closes with a discussion on the self-action calculation which arises in the boundary element method applications. Although here the discussion on self-action analysis seems exhaustive, it only scratches the surface of this pre-eminent research topic. The material presented is an adaptation of my ideas compiled and discussed in Ref. 9. Space limitation has prevented the inclusion of any of my results have been obtained since 1995. Selected topics concerning the self-action calculations, regularization of singular surface integrals, near-field calculations around the edges, wedges, and corners can be found in my articles in the IEEE Ultrasonics Conference Proceedings published in the years 1995-2000.

As a possible future research direction I would like to emphasize the construction of Green's functions-based wavelets.10

A c k n o w l e d g m e n t s

It is my privilege to thank Professor Martti Salomaa, Director of Materials Physics Laboratory at Helsinki University of Technology, for inviting me and initiating a Visiting Professorship (Oct. 1999 through Dec. 2000), which has been sponsored jointly by TEKES, a National Technology Agency, and, the Nokia Research Foundation. It is also my pleasure to extend my thanks to all the department members for excellent support and for making my stay in this distinguished pedagogical and research environment an invaluable and enriching experience.

Furthermore, it is my pleasure to thank the editors Prof. Tor A. Fjeldly and Dr. Clemens C.W. Ruppel for their kind invitation to author this chapter.

Refe rences

1. R.F. Harrington, Field Computation by Moment Methods, Macmillan, New York, 1968.

2. C.A. Brebbia, J.C.F. Telles, and L.C. Wrobel, Boundary Element Techniques, Springer Verlag, 1984.

3. E. Stein and W.L. Wendland (Editors), Finite Element and Boundary Element Techniques From Mathematical and Engineering Point of View, Springer Verlag, 1988.

4. N.I. Muskhelishvili, Singular Integral Equations, P. Noordhoff N.V. - Groningen -Holland, 1953.

5. S.G. Mikhlin, Multidimensional Singular Integrals and Integral Equations, Perga-mon Press, 1965.

6. R.E. Collin, Foundations for Microwave Engineering, McGraw-Hill International Editions, 1966, Electrical & Electronic Engineering Series.

7. G.F. Roach, Green's Functions, 2nd ed., Cambridge University Press, 1967.

147

Page 160: Advances in Surface Acoustic Wave Technology, Systems

1014 A. R. Baghai-Wadji

8. I. Stackgold, Green's Functions and Boundary Value Problems, A Wiley-Inter-science Series of Texts, Monographs & Tracts, John Wiley & Sons, 1979.

9. A. R. Baghai-Wadji, A Unified Approach for Construction of Green's Functions. Habilitation manuscript (lecture notes), Vienna University of Technology, Vienna, 1994.

10. A. R. Baghai-Wadji, G. Walter, "Green 's Function-Based Wavelets." Accepted for presentation a t the IEEE International Ultrasonics Symposium, San Juan, Puer to Rico, Oct., 2000.

11. A. R. Baghai-Wadji, Bulk Waves, Massloading, Cross-Talk, and Other Second-Order Effects in SAW-Devices (a short-course manuscript) . IEEE International Ultrasonics Symposium, San Antonio, Texas, Nov., 1996.

12. A.H. Fahmy, and E.L. Adler, "Propagat ion of Acoustic Surface Waves in Multilayers: A Matrix Description." Appl. Phys. Lett., vol. 22, pp. 495-497, 1973.

13. E.L. Adler, "Analysis of Anisotropic Multilayer Bulk-Acoustic-Wave Transducers." Electron. Lett, vol. 25, pp. 57-58, Jan. 1989.

14. E.L. Adler, "Matr ix Methods Applied to Acoustic Waves in Multilayers." IEEE Trans. Ultrson. Ferroelec. Freq. Contr., vol. UFFC-37, no. 6, pp. 485-490, 1990.

15. E. Langer, PhD Dissertation. Vienna University of Technology, Vienna, 1986. 16. R.F. Milsom, N.H.C. Reilly, and M. Redwood, "Analysis of Generation and Detection

of Surface and Bulk Acoustic Waves by Interdigital Transducers." IEEE Trans. Sonics Ultrson., vol. SU-24, pp. 147-166, 1990.

17. A.M. Hussein, and V.M. Ristic, " T h e Evaluation of the Input Admit tance of SAW Interdigital Transducers." J . Appl. Phys., vol. 50, no. 7, pp. 4794-4801, July 1979.

18. K. Hashimoto, and M. Yamaguchi, "Precise Simulation of Surface Transverse Wave Devices by Discrete Green Function Theory." Proc. IEEE Ultrason. Symp., pp. 253-258, 1994.

19. P. Ventura, J .M. Hode, and B. Lopes, "Rigorous Analysis of Finite SAW Devices with Arbitrary Electrode Geometries." Proc. IEEE Ultrason. Symp., pp. 257-262, 1995.

20. P. Ventura, J .M. Hode, and M. Solal, "A New Efficient Combined FEM and Periodic Green's Function Formalism for the Analysis of Periodic SAW Structures Characterization." Proc. IEEE Ultrason. Symp., pp . 263-268, 1995.

21. R.C. Peach, " A General Green Function Analysis for SAW Devices." Proc. IEEE Ultrason. Symp., pp. 221-225, 1995.

22. V.P. Plessky, and T. Thorvaldsson, "Periodic Green's Function Analysis of SAW and Leaky SAW Propagation in a Periodic System of Electrodes on a Piezoelectric Crystal ." , IEEE Trans. Ultrson. Ferroelec. Freq. Contr., vol. UFFC-42, pp. 280-293, 1995.

23. B.A. Auld, Acoustic Fields and Waves in Solids, vol. I and II, John Wiley & Sons, 1973.

24. N.E. Glass, R. Loudon, and A. A. Maradudin, "Propagat ion of Rayleigh Surface Waves across a Large-Amplitude Grating." , Physical Review B, vol.24, no.12, 1981.

25. A.R. Baghai-Wadji, and A.A. Maradudin, "Shear Horizontal Surface Acoustic Waves on Large Amplitude Grat ings", Appl. Phys. Lett, 59 (15), 7 October 1991.

26. R.P. Kanval, Generalized Functions, Series on Mathematics in Science and Engineering, vol. 171, Academic press, 1983.

27. M. Abramowitz and LA. Stegun (editors), Handbook of Mathematical Functions, Dover Publications, Inc., New York.

28. A.R. Baghai-Wadji, H. Reichinger, H. Zidek, and Ch. Mecklenbrauker, "Green 's Function Applications in SAW Devices." Proc. IEEE Ultrason. Symp., pp . 11-20, 1991.

29. J.L. Bleustein, Appl. Phys. Lett., 13, 412, 1968. 30. Yu.V. Gulyaev, Pisma v ZhTF, 9, 63, 1969. 31. I.S. Gradshteyn and I.M. Ryzhik, Table of Integrals, Series, and Products , Academic

Press, 1980.

148

Page 161: Advances in Surface Acoustic Wave Technology, Systems

Theory and Applications of Green's Functions 1015

32. B. Jakoby, and A.R. Baghai-Wadji, "Analysis of Bianisotropic Layered Structures with Laterally Periodic Inhomogeneities - an Eigenoperator Formulation." IEEE Trans. Antenn. Propag., vol. AP-44, no. 5, pp. 615-621, May 1996.

33. M.T. Manzuri-Shalmani, A.R. Baghai-Wadji, and A.A. Maradudin, "Noise-free Static Field Calculations in Corrugated Periodic Structures." Proc. IEEE-AP, Antenn. Propag. Symp., pp. 1089-1092, 1993.

34. A. R. Baghai-Wadji, Photonic Crystals, lecture notes, Helsinki University of Technology, Helsinki, Fall 2000.

35. S. Ramberger, and A.R. Baghai-Wadji, "Calculation of Higher-order Derivatives of Eigenvalues and Eigenvectors." (In preparation), IEEE Trans. Antenn. Propag.

149

Page 162: Advances in Surface Acoustic Wave Technology, Systems

This page is intentionally left blank

Page 163: Advances in Surface Acoustic Wave Technology, Systems

International Journal of High Speed Electronics and Systems, Vol. 10, No. 4 (2000) 1017-1068 © World Scientific Publishing Company

NEW PIEZOELECTRIC SUBSTRATES FOR SAW DEVICES

JOHN A. KOSINSKI U.S. Army Communications-Electronics Command,

AMSEL-RD-IW-S, Fort Monmouth, NJ 07703-5211, USA

Recent developments in single crystal piezoelectric materials have focused on the search for "ideal" materials with zero temperature coefficient of frequency orientations featuring jointly high piezoelectric coupling, high intrinsic Q, zero power flow angle, and minimized diffraction effects. In addition, the desired materials should have no low temperature phase transitions, and a physical chemistry conducive to repeatable, low cost growth and wafer scale device production. As difficult as it might seem to find such "ideal" materials, three completely different but strong candidate materials have emerged recently: the quartz homeotype gallium orthophosphate, the quartz isotype calcium gallo-germanates (langasite, langanite, langatate, etc.), and diomignite (lithium tetraborate). The current state-of-the-art and prospects for future development of these materials are considered.

1. Introduction

To date, single crystal quartz (oc-Si02) and lithium niobate (LiNb03) are the most widely used single crystal piezoelectric substrates for SAW devices. Each of these materials has certain properties that make it attractive for specific applications. In the case of quartz, the most interesting features are the zero temperature coefficient of frequency jointly with zero power flow angle, high Q, and non-zero piezoelectric coupling for the ST-cut; these characteristics lead to widespread use in narrowband filters and precision resonators in the VHF and UHF range. In the case of lithium niobate, the most interesting feature is large piezoelectric coupling leading to widespread use in broadband and low insertion loss filters. However, the lack of a zero temperature coefficient of frequency is a serious limitation for the use of lithium niobate.

Recent developments in single crystal piezoelectric materials have focused on the search for and development of "ideal" materials. Traditionally, "ideal" materials were considered to be those featuring jointly high piezoelectric coupling, zero temperature coefficient of frequency orientations, and high intrinsic Q. However, in the context of substrates for SAW devices, additional criteria have been imposed. The zero temperature coefficient of frequency orientations must also feature zero power flow angle jointly with minimized diffraction effects, no low temperature phase transitions, and the physical chemistry of the material must admit repeatable, low cost growth and wafer scale device production.

As difficult as it might seem to find such "ideal" materials, three completely different but strong candidate materials have emerged recently: the quartz homeotype gallium orthophosphate, the quartz isotype calcium gallo-germanates (langasite, langanite, langatate, etc.), and diomignite (lithium tetraborate). Gallium orthophosphate and the calcium gallo-germanates both belong to trigonal symmetry class 32, and hence have much in common with ct-quartz. Diomignite belongs to tetragonal symmetry class 4mm as do certain piezoelectric ceramics. The current state-of-the-art and prospects for future development of these materials are considered.

151

Page 164: Advances in Surface Acoustic Wave Technology, Systems

1018 J. A. Kosinski

2. Quartz Homeotypes - Gallium Orthophosphate

2.1. General comments

Alpha-quartz is unquestionably the most successful single crystal piezoelectric material, with nearly "ideal" characteristics in many respects. It follows naturally that quartz homeotypes should be investigated for similar or potentially superior characteristics, and in fact it has been found that berlinite (a-AlP04) demonstrates superior piezoelectric coupling as compared to quartz. Unfortunately, extreme difficulty has been experienced in growing high quality berlinite crystals of commercially viable size.

Recently, gallium orthophosphate (oc-GaP04) has been proposed as another quartz homeotype of interest. This new material belongs to the same family of M3+X5+04

crystals as berlinite, constructed by the alternate replacement of half of the silicon atoms by trivalent gallium and the other half by pentavalent phosphorous atoms.1 Preliminary results indicate similar good characteristics for gallium orthophosphate, with the coupling coefficient of the GaP04 AT-cut larger than that of berlinite, and approximately twice that of quartz.2 Further, gallium orthophosphate demonstrates a superior thermal stability, transitioning directly to a p-cristobalite form at 933°C as compared to the o>P phase transition near 580°C for quartz and berlinite.3

2.2. Crystallography

As a quartz homeotype, gallium orthophosphate belongs to symmetry class 32, characterized by a single three-fold symmetry axis and three equivalent two-fold symmetry axes as illustrated in Fig. 1.4 The three-fold axis is also a screw axis, leading to right- and left-handed enantiomorphs belonging to space groups P3i21 and P3221 respectively,1 hence both electrical (Duaphine) and optical (Brazil) twins are possible. As noted, the crystal structure is similar to that of a-quartz,5 with half of the silicon atoms replaced by trivalent galjium and the other half replaced by pentavalent phosphorous atoms. Consequently, the unit cell extent along the c-axis is twice that of quartz. Measured values of lattice constants are listed in Table 1. There are three formula weight per unit cell. Analysis of the data near room temperature lead to values of a=4.901+0.003A and c=11.046±0.008A at 25°C corresponding to an x-ray density of 3571±7 kg/m3 which is consistent with the previously reported values as listed in Table 2. Thermal expansion data are presented in Table 3.

Published data on the thermal stability of the a-phase of gallium orthophosphate are listed in Table 4. There is substantial variation in the reported phase-transition temperature data. The phase relations in gallium orthophosphate are illustrated in Fig. 2.12

The behavior of gallium orthophosphate is distinctly different than that of quartz and berlinite. The material transitions directly from the a-phase to a P-cristobalite form at 933°C, whereas quartz and berlinite undergo an intermediate a-p phase transition near 580°C.3 In consequence, gallium orthophosphate devices may be processed or operated at significantly higher temperatures than comparable quartz or berlinite devices.

152

Page 165: Advances in Surface Acoustic Wave Technology, Systems

New Piezoelectric Substrates for SAW Devices 1019

Ski Ek AT

X,X i

£!!:: . . . >® x

• • • • ^5"^®

\ : : \

• © • •

V: AS

Fig. 1. Class 32 symmetry elements and matrices for equilibrium properties [Nye, 1960].

Table 1. GaP04 Lattice Constants.

6 2 2 2 0

_! 13

a (A) 4.874±0.002 4.899+0.001 4.901±0.001 4.897+0.001 4.934±0.002 4.973+0.002 4.90 4.905 4.901±0.003

c(A)

11.033±0.004 11.034±0.002 11.048+0.001 11.021+0.002 11.075+0.005 11.105+0.006 11.05 11.050 11.046+0.008

To(°C) -100 20 20 100 500 750 r.t.

— 25

Reference 6 7 1 7 1 1 8 9 this work

Table 2. GaP04 Mass Density.

Mass Density (kg/mJ) 356x 357x 3570 361x 358x 3570 3571+7

Method measured x-ray

— x-ray x-ray

— x-ray

To(°C) r.t. r.t.

— -100 20 25 25

Reference 8 8 1 7 7 10 this work

Table 3. GaP04 Thermal Expansion.

aj1/ (ppm/°C)

17.9 5.3 10.52 9.02 10.15

a f f (ppb/°C2)

35.4 35.4 14.58

a ^ (ppm/°C)

4.6 1.2 1.70 3.38 3.34

a g } (ppb/°C2)'

....

2.0 2.0 2.52

T„(°C)

.... r.t. 25

27

Reference

11 12 13 14 15

153

Page 166: Advances in Surface Acoustic Wave Technology, Systems

1020 J. A. Kosinski

P-phase P6222

thermal decomposition

(1687 °C)

933 °C

>1327°C

P-cristobalite F43m

578 °C 533 °C

a-phase P3t21

a-cristobalite C222!

Fig. 2. Phase relations in gallium orthophosphate.12

Table 4. GaP04 Critical Temperatures.

Transition Point (°C) 1077 1000<T,<1100 976 933±4 900<T,<950 933 *980

Melting Point (°C)

— — — 1670

— — —

Reference 16 11 17 3 18 10 18

2.3. Crystal growth

The growth of gallium orthophosphate has been described in detail by several researchers.81019"24 Gallium orthophosphate crystals have been grown hydrothermally in silica, pyrex, and teflon,24 and tantalum-lined19 autoclaves using sulfuric, phosphoric, and hydrochloric acid solutions. The material features a retrograde solubility in all acids; nutrient material is dissolved in the cold region and crystal growth occurs in the hot region of the autoclave.

19,20,25 Starting materials have been prepared by solid state reaction of Ga203 and NH4H2P04 with thermal treatment in H3P04 , ' reaction of gallium hydroxide with phosphoric acid, and reaction of gallium metal first with nitric then phosphoric acid.19 Early results reported the use of berlinite seeds;19'20 large size, defect-free gallium orthophosphate seeds have been reported recently.23

Variations of hydrothermal growth reported for gallium orthophosphate include the slow heating (SHT),19'22 horizontal composite gradient (HTG),8'19 and vertical reverse temperature gradient (VTG) methods.19 SHT and HTG growth typically occur in phosphoric acid over the temperature range of 150°C to 180°C with a temperature gradient of 1°C-6°C. VTG growth typically occurs in sulfuric acid at a temperature near 230°C with a temperature gradient also of 1°C-6°C. The growth habit varies markedly

154

Page 167: Advances in Surface Acoustic Wave Technology, Systems

New Piezoelectric Substrates for SAW Devices 1021

with the solvent used. Growth in hydrochloric acid exhibits a favorable Vx/Vz ratio, but an overall slow growth rate on the order of less than 0.05 mm/dxface. Growth in sulfuric acid occurs at higher temperatures and hence results in reduced OH content of the grown crystals, but the Vx/Vz ratio is always undesirably high. Growth in phosphoric acid exhibits a favorable Vx/Vz ratio and an overall good growth rate, however with elevated OH content due to the reduced growth temperature as compared to growth in sulfuric acid. All solvents yield growth rates Vy less than 0.01 mm/dxface. At present, growth in phosphoric acid is recommended as most suitable for commercial production.23 An alternative technique using direct solubility in orthophosphoric acid above 335°C has also been reported.26

The perfection of as-grown gallium orthophosphate crystals has been studied by IR spectroscopy819'22 and X-ray topography.1019'2127 A solution of 5% HF and 10% HC1 has been used as an etchant to reveal twins.19 Both Dauphine and Brazil twins have been observed. An absorption band at 3167 cm"1 has been associated with the OH content of the as-grown crystal; the absorption coefficient is then calculated as

a : ^ 8 0 0

V"cm J log

V T 3167

(1)

where d is the sample thickness in centimeters and T represents the infrared transmission at the specified wavenumber.19 The correlation between a and material Q has yet to be established for gallium orthophosphate. Phosphoric acid has been used as an etchant to reveal etch pits.22

2.4. Material properties

The form of the elasto-piezo-dielectric constants matrices (augmented by the entropy-temperature relations) for symmetry class 32 are shown in Figure l.4 The material constants of primary interest for piezoelectric device design are the dielectric, piezoelectric, and elastic constants of the material, along with their temperature coefficients. Reported values for these constants are listed in Tables 5 and 6, 7, and 8 respectively. The dielectric constant data are separated into two tables to emphasize the difference between the values sT at constant traction and the values ss at constant strain. The dielectric constants are typically measured using a capacitance bridge at a frequency of 1 kHz; these values correspond to sT. However, the commonly used constitutive relations require the values of es. These values are calculated from the measured sT in conjunction with the elastic and piezoelectric constants as

s ' W - e x ^ x f e J 1 ' (2)

E where e and c represent respectively the piezoelectric and isagric elastic constants matrices and [e]T represents the transpose of the piezoelectric constants matrix. The reported values of the elastic and piezoelectric constants have been obtained from a variety of methods, including Brilloin scattering, pulse-echo, and resonator methods.

155

Page 168: Advances in Surface Acoustic Wave Technology, Systems

1022 J. A. Kosinski

Table 5. GaP04 Relative Dielectric Permittivities at Constant Traction.

E T E l l 7.8 6.5 5.2±7%

E T

E33 10.3 6.7 5.1 ±7%

Frequency

10 kHz 1 kHz 1 kHz

T„(°C)

r.t. — r.t.

Reference

24 16 28

Table 6. GaP04 Relative Dielectric Permittivities at Constant Strain.

•fl 7.8 6.3 5.154

E s E33 10.3 6.7 5.818

Frequency

1MHz — —

ToCC)

r.t. — 25

Reference

24 29 13

Table 7. GaP04 Piezoelectric Constants (C/m2).

q i 0.20 0.209 0.2238

ei4

0.03 0.107 0.1235

To(°C)

— 26 25

Reference

16 30 13

Table 7(a). First Order Temperature Coefficients of GaP04 Piezoelectric Constants (ppm/°C).

e l l 49.52

ei4 -170.0

T„(°C)

25

Reference

13

Table 8. GaP04 Elastic Constants (GPa).

«fl 66.7 70.7 66.58 ±0.37 67 66.36

66.35 69.09

<f2 -12.9 6.6 21.81 ±0.70 21

21.65 21.97

<f3 -22.5 6.6 24.87 ±0.60 25

14.56 19.10

<1E4

-3.5 -17.8 3.91 ±0.33 -4 6.56 4.2 4.20 4.66

CE c33 103.8 58.3 102.13 ±0.55 102 101.24

101.31 106.96

c44 62.5 41.9 37.66 ±0.27 37 37.80

37.80 39.33

4s 39.8 32.1 22.38 ±0.32 — 22.35

22.35 23.56

T„(°C)

— — 26°

— 27 27 25 25?

Reference

31 29 30

16 15 15 13 32

156

Page 169: Advances in Surface Acoustic Wave Technology, Systems

New Piezoelectric Substrates for SAW Devices 1023

Table 8(a). First Order Temperature Coefficients of GaP0 4 Elastic Constants (ppm/°C).

•fl -37+1 -51.2

-54

-63 -65 -17

<,E2

— -277 -242

-257.2

-143

«& — -27.8

-180

459.6

-44

<£ — 520

487 -156

825 200.4

414

, E c 33

-118+10

-123.0

-147

-135

-103.0

-65

c44

-— -4.4

-6.3

-62 -14.1

2

«fc -31+10

62.7 47.2

29

69 28.1

41

T„(°C)

— 26 26 27

25 25?

Reference

29 33 33 15 15 14 14 32

Table 8(b). Second Order Temperature Coefficients of GaP0 4 Elastic Constants (ppb/°C2).

<E1 -47

-62

-88 -158

«£ -123 -198

-497

<E3 -37

-105

5

<1E4

-196

350.4

-34 -1550

2000

197

CE c 3 3

-51

-151

-84 -243

°44 -40

9.4 -48

320 -64

«& -9.5

27.1

34.7

-12

-207

0

T„(°C)

26 26 25 27

25?

Reference

33 33 33 15 15 14 3.2

Table 8(c). Third Order Temperature Coefficients of GaP0 4 Elastic Constants (ppt/°C3).

C,E1

85 4 283

<1E3

-121 «£ 25

C E C33

89

C44

-13 4e -7

TofC)

25?

Reference

32

The variations in the reported constants arise from three distinct sources: 1) limitations imposed by the quality of the measured data, 2) limitations in the data analysis, and 3) real variations in the quality and properties of the material samples. Material quality has been related to variations in the reported dielectric constants and all of the temperature coefficients,34 whereas measurement peculiarities have been related to variations in the reported piezoelectric constants. In particular, it has been observed that the piezoelectric constants appear to be underestimated.23 Measured values of BAW velocities for selected orientations are listed in Table 9. The differences between the various sets of measured velocities illustrate the magnitude of experimental errors in the underlying data. It is unclear which set of room temperature constants is "best". Detaint indicates a preference for the constants determined by Palmier for BAW calculations but provides no supporting data.34 In contrast, Briot demonstrates best agreement between calculations using the constants determined by Wallnofer and measured SAW data for X-axis propagation on rotated Y-cuts.35 Perhaps less disagreement is found with respect to the "best" set of temperature coefficients. Detaint demonstrates best agreement between BAW calculations and measurements over a broad range of orientations using the temperature coefficients from Wallnofer,34 while other temperature coefficients provide

157

Page 170: Advances in Surface Acoustic Wave Technology, Systems

1024 J. A. Kosinski

good results only near the AT-cut. Briot shows good agreement for SAW calculations using the temperature coefficients from Palmier near the AT-cut, but not for the Y-cut.35

Table 9. GaPQ4 BAW Wave Velocities (mis).

Direction

X X X Y Y Y Z Z

(YXl)+45° (YXl)+45° (YXl)+45° (YXl)-45° (YXl)-45° (YXl)-45°

Polarization

long fast shear slow shear long fast shear slow shear long shear long fast shear slow shear long fast shear slow shear

Ref. 30 T„ = 26°C 4352±3 3280±5 2440±7 4333±3 3228±9 2550±11 5348+2 3242.5±4.5 5051 3118 2766 5195

— 2779

Ref. 36

—-— — 4344 3220 2527 5344 3220

Ref. 15 r.t. 4337 3241 2417 4358 3228 2546 5327 3254

Some caution is in order when reading the literature on gallium orthophosphate material constants. First, there are varying standards and sign conventions used by different authors. The magnitudes of the constants may be compared directly, but the sign of c14 is different between the convention used by Bechmann for quartz,37 and the recent IEEE standard.38 Second, the measurement conditions for the constants are not always specified. For example, many of the elastic constants are listed simply as "c" without clarification as to whether they are cE (required for calculations of device properties) or cD (measured from piezoelectrically stiffened BAW modes). Similarly, the dielectric constants are often not clearly presented as either ss or sT but simply as "e". Lastly, the reported values of temperature coefficients are only valid with respect to the specified reference temperature, and must be translated to a common reference temperature for any proper comparison.

2.5. Device properties

Gallium orthophosphate displays good device characteristics in terms of large piezoelectric coupling and zero temperature coefficient of frequency orientations for both BAW and SAW. Calculated values for zero temperature coefficient orientations are listed in Table 10. Measured properties for BAW devices are listed in Table 11. Analagous to quartz, a gallium orthophosphate AT-cut exists in the vicinity of (YX1)-12.5° and a BT-cut exists in the vicinity of (YXl)-79°.32'33 These data anticipate favorable STW characteristics near (YX1)77.5° with a zero temperature coefficient of frequency and piezoelectric coupling larger than that of STW on quartz. A number of temperature compensated SAW orientations have been calculated by Briot; these are listed in Table 12.35 Measured properties of SAW devices are listed in Table 13. The metallized-

158

Page 171: Advances in Surface Acoustic Wave Technology, Systems

New Piezoelectric Substrates for SAW Devices 1025

unmetallized Rayleigh wave velocity difference for the ST-cut of gallium orthophosphate at u= 57° is nearly three times that of the ST-cut of quartz.33 Note that most data on GaP04 devices, including the data in Tables 10-13, are reported using IEEE 176-1987,38

wherein the AT-cut of quartz is at 9 = -35.25°. The reported values of the Qxf product are listed in Table 14. These are

distinctly the lowest of the three candidate materials and are only 1% of that observed for quartz. Improved material growth with reduced OH content may lead to an increased Qxf product, but it is unclear whether the Qxf product of this material will ultimately meet or exceed that of quartz.

Table 10. GaP04 Calculated Zero Temperature Coefficient BAW Orientations.

Mode shear shear shear shear

Orientation (YX1)-16° (YXl)-73.3° (YX1)-11.8° (YXl)-85°

Coupling 12.4%

— — "low"

Reference 33 33 32 32

Table 11. Measured Properties of Significant GaP04 BAW Orientations.

Orientation (YX1)-17.4°

(YX1)-16°

(YX1)-15°

(YX1)-12.5°

(YX1)-11.8°

(YX1)-15.77°

(YX1)-13.1°

Polarization slow shear

slow shear

slow shear

slow shear

slow shear

slow shear

slow shear

Properties k=12.4% Turnover = 40.5°C Parabola constant = -18.28 ppb/°C2

k=12.4% Turnover = 25°C Parabola constant = -18 ppb/°C2

v=2548.2 m/s k=15.97%

T ^ = -2.39 ppm/°C

v=2539.4 m/s k=15.98%

T£> = 3.37 ppm/°C

v=2540 m/s k=13% Turnover = 25°C Parabola constant = -10 ppb/°C2

v=2540 m/s k=13% Turnover = 25°C Parabola constant = -10 ppb/°C2

v = 2540 m/s k = 16.7%

fr fr

T^3)=-100ppt/°C3

Reference 16

33

20

19

32

34

2

159

Page 172: Advances in Surface Acoustic Wave Technology, Systems

1026 J. A. Kosinski

Table 12. GaP04 Calculated Zero Temperature Coefficient SAW Orientations Featuring Zero Power Flow Angle.

Orientation (YXwlt)0°,-25°,0° (YXwlt)10°,-35°,0° (YXwlt)20°,-45°,10° (YXwlt)30°,±50°,20° (YXwlt)40°,50°,10° (YXwlt)50°,40°,0° (YXwlt)-10°,-40°,0° (YXwlt)-50°,40°,0°

Velocity (m/s) 2360 2390 2440 2490 2450 2400 2400 2400

k2 (%) 0.30 0.30 0.24 0.20 0.30 0.30 0.30 0.30

Reference 35 35 35 35 35 35 35 35

Table 13. Measured Properties of Significant GaP04 SAW Orientations.

Orientation \i. = 5T

Z-cut

(YX1)-15°<9<5°

Propagation X

^=15

X

Properties "ST-cut" k2 = 0.29%

T^2) = -50 ppb/°C2

k' = 0.06% Turnover = 75°C Parabola constant = -25 ppb/°C2

temperature compensated

Reference 33

15

35

Table 14. GaP04 Qxf Product.

Type BAW BAW BAW

Direction

(YX1)-12.5° (YX1)53° (YX1)-13.1°

Polarization slow shear slow shear slow shear

Frequency

fa

f. fr

Qxf(xlOn) 0.0064 0.0488 0.0375

Reference 19 33 2

3. Calcium Gallo-Germanates - Langasite, Langanite, and Langatate

3.1. General comments

The calcium gallo-germanate family of materials has been the focus of intense development during the past decade. Materials belonging to this family have been found to possess moderately high piezoelectric coupling jointly with zero temperature coefficient of frequency orientations,39 and extremely low acoustic loss. Of highest interest for piezoelectric devices are langasite (lanthanum silicon gallate La3Ga5Si014), langanite (lanthanum niobium gallate La3Ga5 5Nbo50i4), and langatate (lanthanum tantalum gallate La3Ga5 5Ta0.5Oi4). These materials are a-quartz isotypes in that they belong to symmetry class 32. However, they are not a-quartz homeotypes and exhibit a completely different internal structure.41 It is particularly important to note that none of these three materials are proper single crystals.41 They are, instead, disordered structures wherein one of the cation sites is occupied either by gallium or respectively silicon,

160

Page 173: Advances in Surface Acoustic Wave Technology, Systems

New Piezoelectric Substrates for SAW Devices 1027

niobium or tantalum. On a macroscopic level, the cation distribution in the shared site is 50:50 between the two species. However, on a microscopic level, the distribution from unit cell to unit cell is entirely random, and hence there are significant issues to be resolved in defining homogeneity and uniformity for these materials.

The mythology of the calcium gallo-germanate family provides an interesting footnote to the history of the Cold War. These materials went largely unnoticed in the West until the presentation of two papers on langasite devices at the 1991 Frequency Control Symposium.24,27 To some, this represented the piezoelectric version of a Soviet "secret weapon" that had been deliberately hidden and hence triggered a "materials race" as the West rushed to catch up. In reality, however, the development of the calcium gallo-germanates had not been hidden,41 and the article "A New Piezoelectric Material, Langasite (La3Ga5SiO!4), with a Zero Temperature Coefficient of the Elastic Vibration Frequency" by Andreev and Dubovik was readily available in the West.

3.2. Crystallography

As quartz isotypes, langasite, langanite, and langatate belong to symmetry class 32, characterized by a single three-fold symmetry axis and three equivalent two-fold symmetry axes as illustrated in Fig. 1. However, unlike quartz, the three-fold axis is not a screw axis, resulting is a single form belonging to space group P321.44 The lack of handedness eliminates the possibility of optical (Brazil) twins, but electrical (Duaphine) twins remain possible. The internal structure of the calcium germano-gallates has been studied in detail.41 It should be noted that langasite differs from langanite and langatate with respect to the location of the disordered site. In the former, Ga and Si randomly occupy the 2d site featuring 4-fold oxygen coordination, while in the latter the Ga and Nb or Ta occupy the la site featuring 6-fold oxygen coordination. Measured values of lattice constants of langasite are listed in Table 15. There is a single formula weight per unit cell. Analysis of the data near room temperature lead to values of a=8.1685±0.0054A and c=5.0920±0.0100A at 25°C corresponding to an x-ray density of 5742.4±18.8 kg/m3

which is consistent with the previously reported values as listed in Table 16. Langasite thermal expansion data are listed in Table 17.

Table 15. LajGasSiOw Lattice Constants.

a (A) 8.162 8.162+0.005

8.168+0.003 8.16656 8.16787 8.1662 8.1783 8.1684±0.0001 8.1685+0.0054

c(A) 5.087

5.087±0.005 5.095+0.001 5.0964 5.0970 5.074 5.1014

5.0975±0.0001 5.0920±0.0100

M°C) — — — 0 25 — — — 25

Reference

44 41 41 45 45 46 47 48 this work

Page 174: Advances in Surface Acoustic Wave Technology, Systems

1028 J. A. Kosinski

Table 16. La3Ga5SiOi4 Mass Density.

Mass Density (kg/mJ) 5754 5743 5737 5751 5764 5764 5742.4118.8

Method x-ray hydrostatic weighing measured x-ray

— — x-ray

T„(°C)

— 17

— — — 20 25

Reference 41 49 50 51 52 53 this work

Table 17. La3Ga5SiOi4 Thermal Expansion.

a® (ppm/°C)

5.11 5.84 5.15 5.07 5.08 5.046

a\f (ppb/°C2)

3.2 .... .... .... —

a 3 3 (PPm/°C)

3.61 4.03 3.65 3.60 3.49 3.455

4 f (ppb/°C2)

— 2.7 .... .... — —

To(°C)

20 27 .... 20 25 20

Reference

54 55 56 57 58 53

Published data on the thermal stability of langasite are listed in Table 18. All of these compounds are phase stable up to their melting points at or above 1470°C. In consequence, devices fabricated using these materials may be processed or operated at significantly higher temperatures than comparable quartz devices.

Table 18. La3Ga5SiOi4 Critical Temperatures.

Transition Point (°C) None None

Melting Point (°C) 1470+20 1470

Reference

44 59

3.3. Crystal growth

The calcium gallo-germanates of interest here are congruently melting compounds in the ternary oxide systems of La203-Ga203-Si02, La203-Ga203-Nb205, and La203-Ga203-Ta205. The phase relations for langasite and langanite have been determined. ° The phase relations for langatate have not been determined, but are expected to be similar to those of langanite.50 The homogeneity range of these compounds is rather narrow. Congruently melting compounds are appropriate for melt growth techniques. To date, only the

, • , I ^ j x- , , e 1 v „ 39,41,44,47-49,51,59-68 x v

Czochralski method has been reported for growth of langasite. Ine growth of langanite or langatate is largely similar to that of langasite, with small accomodations for the slightly higher melting points.65

162

Page 175: Advances in Surface Acoustic Wave Technology, Systems

New Piezoelectric Substrates for SAW Devices 1029

Langasite crystals have been grown using several different types of pulling apparatus and feed materials synthesized by different methods. Langasite feed material is formed by solid phase synthesis (SPS) and melting of a mixture of the constituent oxides with an optional addition of metallic gallium,47'49' 59'64 or by a self-distributing high temperature synthesis (SHS) method.63 The purity of the starting materials has generally been reported qualitatively as "highly pure",49'59 however quantitative results typically describe ordinary 3N or 4N purity constituents.48'62"64 The feed mixtures are often provided with an excess of Ga203 or La203 in order to compensate for the volatility of these components. Seeds for initial growth have been formed by quenching on Pt wires. Z-axis growth has been reported most often,39'47'49'61,62'65'66 although X- and Y-axis growth are possible.39'49'61'62 The growth rate along the Z-axis is more than twice that of the Y-axis, which is in turn larger than that along the X-axis.49

Langasite has been grown using both Pt and Ir crucibles.39'44'47'63"65 Due to their slightly higher melting points, langanite and langatate are grown only in Ir crucibles.65

Crucibles are typically as tall as they are wide, and the width is chosen to be about one and one half times the diameter of the desired crystal. Langasite crystals typically have been grown both in air39'59 or in an inert gas ambient (N2 or Ar) with a small (1% - 4%) partial pressure of oxygen to suppress dissociation of the volatile oxide components, however growth in a pure N2 ambient has been described recently.65'66 The choice of crucible material and growth ambient, along with the melt stoichiometry require a compromise. Pt crucibles are less susceptible to oxidation than Ir crucibles and hence allow a higher partial pressure of oxygen, but melt at substantially lower temperature leading to Pt inclusions in the as-grown crystals. Ir crucibles are more resistant to absorption into the melt, but are less resistant to oxidation and hence limit the allowable partial pressure of oxygen. The stoichiometry of the melt can be adjusted to obtain a slight excess of Ga203 or La203 in order to compensate for their volatility, with the required deviation from stoichiometry dependent upon the partial pressure of oxygen and vice-versa. It has been reported that the deviation from stoichiometry should remain small at less than 0.1% in order to avoid growth defects.47'59 Interestingly, in the single detailed analysis of the stoichiometry of an as-grown crystal, the observed deviation from stoichiometry was much larger than 0.1%: La203 was 48.6% as-grown vice 48% ideal, Ga203 was 48.9% as-grown vice 46.1% ideal, and Si02 was 3.1% as-grown vice 5.9% ideal.69

Reported crystal growth rates range from 1 mm/hr to 6 mm/hr, with general agreement on 1 mm/hr to 2 mm/hr as providing quality growth at an acceptable rate.39'41'48'49'60'63'65 The rotation rate depends upon the pulling rate, with rotation rates as high as 50 rpm being used for the highest growth rates as compared to 10 rpm at the lowest rotation rates. The allowable variation in rotation rate is rather small; a deviation of 1 rpm from ideal causes serious growth defects.48 All of the described pulling apparatus use RF heating, in most cases with an after heater. There is general agreement on the need to limit the temperature gradient above the melt in order to avoid cracking during growth, with specific recommendations to limit the gradient to less than 20°C/cm.48'63 All of the described pulling apparatus employ weight measurement as the independent variable for controlling the diameter of the crystal during growth. The most recent reports indicate improved crystal quality as a result of more sophisticated processing of the weight measurement.66

Observed growth defects in langasite crystals include twins, inclusions, block formation, cracking, and growth striations. Hot pyrophosphoric acid has been used as an

163

Page 176: Advances in Surface Acoustic Wave Technology, Systems

1030 J. A. Kosinski

etchant to reveal twins.6 Electrical twins occasionally have been observed in langasite, but more commonly are found in langanite and langatate.66 Inclusions have been associated with two sources, namely metallic Pt or Ir dissolved from the crucible into the melt, and other phases precipitated from the melt.47,61 Block formation and low angle growth defects have been associated with large temperature gradients in the growth process and hence may be controlled through suitable choice of growth parameters. Cracking has typically been associated with block formation due to the inherent thermal expansion mismatch between the differing growth regions. In some cases, bubble inclusions and microcracks have been observed along the central core of the crystal.39'47'61

Periodic growth striations have been reported widely.10'47'61'70 The striations are commonly associated with perturbations in the growth conditions such as temperature fluctuations in the melt.

The color of langasite crystals ranges from nearly colorless to light yellow through amber to brown.48,49'59,61'62'65'71 The coloration results from an absorption band at 0.36 um to 0.41 urn. The coloration has been associated with Rh dissociated from either the crucible or the thermocouple used to measure the temperature of the melt.62 The coloration can be modified by sweeping and annealing.71 Charge compensation using Al doping eliminates the coloration and improves the acoustic properties.62

The perfection of as-grown langasite crystals has been studied by Raman spectroscopy,72 IR spectroscopy,62 X-ray diffraction,47'61,73 X-ray topography,10,70,74 and optical activity.73,75 The X-ray results are the most significant to date. X-ray diffraction has determined that the periodic growth striations commonly observed correspond to changes in the lattice constants on the order of Ac/c « 10"4 with a period of 35 um to 70 um.48,67,73 This implies a velocity inhomogeneity on the order of 50 ppm. Measured values for velocity homogeneity are substantially larger, on the order of 3000 ppm.69 X-ray topography has demonstrated the significant effects of the growth striations on the vibrations of acoustic wave devices.10'74

3.4. Processing

Reported values for the hardness of langasite are listed in Table 19. Langasite is significantly softer than quartz. Cutting has been reported using a slurry saw with SiC abrasive followed by lapping using 3 um and 1 um aluminum oxide abrasive.77

Mechanical polishing of langasite is best accomplished using colloidal silica.78 Chemical polishing yielding a 5 nm surface has been demonstrated using a 1:50:150 solution of HC1:HF:H20 at 70°C.78 Langasite is non-hydroscopic.59 Both acids and alkali may be used as solvents.10

Table 19. La3Ga;SiOi4 Hardness.

Mohs 6.5-7 5.5 6.6

Vickers (GPa)

— —-— 10

Reference 39 51 76 79

Page 177: Advances in Surface Acoustic Wave Technology, Systems

New Piezoelectric Substrates for SAW Devices 1031

3.5. Material properties oflangasite

Many of the comments concerning the material properties of gallium orthophosphate apply equally to the calcium germano-gallates. The form of the elasto-piezo-dielectric constants matrices (augmented by the entropy-temperature relations) for symmetry class 32 is shown in Fig. 1. Reported values for the linear dielectric, piezoelectric, and elastic constants and their temperature coefficients for langasite are listed in Tables 20 and 21, 22, and 23 respectively. The dielectric constant data are separated into different tables for eT and es. The reported values of the elastic and piezoelectric constants have been obtained from pulse-echo and resonator method measurements, inclusive of SAW device measurements. Reported values for various nonlinear material constants are presented in Tables 24-27.

Table 20. La3Ga5SiOi4 Relative Dielectric Permittivities at Constant Traction.

E T E l l 18.99 20+1 18.86 18.89 18.89 18.97 19.06 19.05 18.96

E T

E 3 3

49.32 48±2 49.10 49.0 49.3 52.00 51.60 51.81 50.19

Frequency

1kHz 1kHz

— — — 1 kHz

— — —

T„(°C)

17

— 27 20 20 20. 25 20 25

Reference

80 81 55 69 82 57 58 53 this work

Table 20(a). First Order Temperature Coefficients of LajGasSiOn Dielectric Permittivities at Constant Traction (ppm/°C).

E T

E l l 447 153 153 137 135.5 134.5

E T

E33 -618 -760 -760 -795 -783.4 -787.0

T„(°C)

27 r.t. 20 20 25 20

Reference

55 54 69 57 58 53

Table 20(b). Second Order Temperature Coefficients of La3Ga5SiO,4 Dielectric Permittivities at Constant Traction (ppb/°C2).

E T E l l -2490 82 118.0 118.0

E33 -66.8 1076 661.2 658.6

T„(°C)

27 20 25 20

Reference

55 57 58 53

165

Page 178: Advances in Surface Acoustic Wave Technology, Systems

1032 J. A. Kosinski

Table 21. La3Ga5SiOi4 Relative Dielectric Permittivities at Constant Strain.

«?1 18.87 18.97 18.92 18.92 18.92

£ 3 3

49.32 49.24 50.701 50.7 50.19

To(°C)

17

— — 20 25

Reference

80 56 83 84 this work

Table 21(a). First Order Temperature Coefficients of La3Ga5SiOi Dielectric Permittivities at Constant Strain (ppm/°C).

8 T E l l

150

E T

E33 -772.5

T„(°C)

20

Reference

84

Table 22. La3Ga5SiOi4 Piezoelectric Constants (C/m2).

e n -0.45 0.45 -0.44 -0.45 -0.44 -0.41 -0.431 -0.44 -0.438 -0.4371 -0.461

e n 0.077 -0.07 0.07 0.077 -0.08 0.08 0.108 -0.08 0.104 0.1039 0.257

TofC)

17

— 27

— — 20 20 20 25 20 25

Reference

80 40 55 56 83 69 57 84 58 53 this work

Table 22(a). First Order Temperature Coefficients of La3Ga5SiOu Piezoelectric Constants (ppm/°C).

e i l -2400 456 587.5 587 464.5 469.8

ei4

-5000 -628 625 625 -700.3 -713.8

T„(°C)

27 20 20

— 25 20

Reference

55 57 84 85 58 53

Table 22(b). Second Order Temperature Coefficients of La3Ga5SiOi4 Piezoelectric Constants (ppb/°C2).

e i l 1032 -427.5 -428.5

ej4

1480 1600 1594

T0(°C)

20 25 20

Reference

57 58 53

166

Page 179: Advances in Surface Acoustic Wave Technology, Systems

New Piezoelectric Substrates for SAW Devices 1033

Table 23. La,Ga5SiOi4 Elastic Constants (GPa).

<1E1

190.9 191 190.2 ±1.3 190.2 188.9 190.2 188.75 190.1 189.3 188.75 189 188.9 189.75

& 106.3 106.3 106.3 +2.6 106.3 104.6 106.3 104.75 103.0 105.0

— 104 104.2 104.95

«f3 104.2 104.2 91.9 ±3.7 99.39 96.8 91.9 95.89 95.24 95.28 95.89 102 101.5 96.12

& 15.2 15.6 14.7 +1.4 14.70 14.3 14.7 -14.12 15.7 14.93 -14.12 14.4 14.42 13.84

CE c 3 3

261.9 261.9 262.1 ±0.6 262.1 262.2

261.4 268.3 262.4 261.4 268 268.3 261.68

<4E4

52.4

52.4

53.82

±0.40

53.82

53.9

53.4

53.5 53.31

53.84

53.5

53.3

53.30

53.07

«& 43.2

43.2

42.0

±1.3

41.98

42.2

42.35

42 43.5

42.16

42 42.4

42.37

42.40

To(°C)

17

.... r.t.

20 27

....

.... 20 20. 20 25 20 25

Reference

80 40 54

54 55 56 83 69 57 84 58 53 this work

Table 23(a). First Order Temperature Coefficients of La3Ga5SiOi4 Elastic Constants (ppm/°C).

<fl -58.7 -47 -52.7 -53 -66.3 -64.1 -53 -58.4 -57.54

<& -218 -100 -107.4 -92

— — -85 -98.7 -98.15

CE c13 -101 -130 -14.4 -88 -75 -75 -100 -82.3 -81.61

<E4 -154 -370 -176 -205 -334.8 -350.9 -310 -307.0 -307.5

CE c33 -135 -94 -105 -104 -94.1 -91 -94 -105.0 -104.0

<4E4

-79.7

-30 -57.6

-62 -62.8

-58.7

-55 -58.7

-57.39

4 139 36 12 -4.7

-13.46

-13.69

— -8.77

-7.576

ToCC)

27 r.t.

20 20

....

.... — 25 20

Reference

55 54 69 57 85 85 86 58 53

Table 23(b). Second Order Temperature Coefficients of La3Ga5SiOi4 Elastic Constants (ppb/°C2).

<E1 -113 -55.4 -4.2 -82.7 -82.65

«f2 1085 48.1 25 -51.9 -51.83

«?3 -117 156.8 -131 -68.1 -68.10

<1E4

452 -10.4

870 95.5

95.34

CE C33

65 -62.8

-109

-89.7

-89.61

c44 102 -133

-111

-103.6

-130.5

4 -1564

-178

-40.7

-119.4

-119.4

T„(°C)

27 20 20 25 20

Reference

55 69 57 58 53

Table 24. La3Ga5SiOH Nonlinear Dielectric Permittivities at Constant Strain (10"2" F/V).

E l l l -0.5

T„(°C)

20

Reference

84

Page 180: Advances in Surface Acoustic Wave Technology, Systems

1034 J. A. Kosinski

\

Table 25. La3Ga5SiOi4 Nonlinear Piezoelectric Constants (C/m2).

e l 11 9.3

e l 13 -3.5

ei l4 1.0

e122 0.7

ei24 -4.8

ei34 6.9

e144 -1.7

e315 -4

To(°C)

20

Reference

84

Table 26. La3Ga5SiOu Electrostriction Constants (10"' N/V2).

Hll -26

Hl2 65

Hl3 20

Hi 4 -43

H31 -24

H33 -40

H41 -170

H44

-44

T„(°C)

20

Reference

84

Table 27. La3Ga5SiO,4 Third Order Elastic Constants (GPa).

CE c l 11 -972

CE c l 12 7

CE c l 13 -116

CE c114 -22

CE c123 9

CE c124 -28

CE c 1 3 3

-721

To(°C)

20

Reference

84

Table 27. (cont.) La^GasSiOu Third Order Elastic Constants (GPa).

CE c134 -41

CE c144 -40

CE c155 -198

CE c222 -965

CE C333 -1834

CE c344 -389

CE C444

202

T„(°C)

20

Reference

84

The reported values of the dielectric constants vary as much as 8%, the reported piezoelectric constants vary as much as 37%, and the reported elastic constants vary between 1% and 12%. Larger variations are observed in the reported temperature coefficients. As with gallium orthophosphate, the variations in the reported material constants arise from three distinct sources: 1) limitations imposed by the quality of the measured data, 2) limitations in the data analysis, and 3) real variations in the quality and properties of the material samples. Material quality has been related to variations in the reported dielectric and piezoelectric constants, and all of the temperature coefficients. Variations in reported piezoelectric constants additionally have been related to intrinsic difficulties of measurement.34 An extensive data set exists for the measured values of BAW velocities and their temperature coefficients for selected orientations as listed in Tables 28 and 29. The differences between the various sets of measured velocities illustrate the magnitude of experimental errors in the underlying data used to derive the elastic constants and in some cases the piezoelectric constants.

The values for the material constants listed in the tables are as reported in the literature. The same cautions are in order regarding the material constants of the calcium gallo-germanates as for those of gallium orthophosphate. Unlike the case of gallium orthophosphate, most of the data on the calcium gallo-germanates has been reported using the IRE-1949 convention.37 The magnitudes of the constants obtained using the different standards may be compared directly, but the sign of c14 is different between the convention used by Bechmann for quartz and the recent IEEE standard.37'38 Additional confusion arises with respect to the sign of the piezoelectric constants. Second, the measurement conditions for the constants are not always specified. For example, many of

168

Page 181: Advances in Surface Acoustic Wave Technology, Systems

New Piezoelectric Substrates for SAW Devices 1035

the elastic constants are listed simply as "c" without clarification as to whether they are cE (required for calculations of device properties) or cD (measured from piezoelectrically stiffened BAW modes). Similarly, the dielectric constants are not always clearly presented as either es or sT. Lastly, the reported values of temperature coefficients are only valid with respect to the specified reference temperature, and must be translated to a common reference temperature for any proper comparison.

Table 28. La3Ga5SiOu BAW Wave Velocities (m/s).

Orientation X-cut X-cut X-cut Y-cut Y-cut Y-cut Z-cut Z-cut (YXl)+45° (YXl)+45° (YXl)+45° (YXl)-45° (YX!)-45° (YXl)-45°

Polarization long fast shear slow shear long fast shear slow shear long shear long fastshear slow shear long fast shear slow shear

Ref. 83 5752.8 3311.7 2379.9 5754.9 3010.7 2738.8 6749.4 3053.6 5965.7 3283.3 3232.2 6313 3333.6 2431.4

Ref. 80 5784 3344 2344 5792 2971 2767 6753 3021 5998 3323 3141

Ref. 57 5753 3317 2370 5762 3016 2742 6753 3059 5985 3291 3228

Ref. 69 5746 3305 2394 5756 3003 2750 6826 3043 5949 3281

Ref. 55 5748

2380 5754 3015 2745 6750 3061

3325 2427

Ref. 40 5784 3344 2344 5792 2971 2277 6753 3021

Table 28. (cont.) La3Ga5SiOu BAW Wave Velocities (m/s).

Orientation

X-cut X-cut X-cut Y-cut Y-cut Y-cut

Z-cut Z-cut (YXl)+45° (YXl)+45° (YXl)+45° (YXl)-45° (YXl)-45° (YXl)-45°

Polarization

long fast shear slow shear long fast shear slow shear

long shear quasi-long quasi-shear pure shear long fast shear slow shear

Ref. 89

5748.7 3311.5 2379.6 5755.3 3009.9 2738.0

6746.7 3052.2

Ref. 88

578x±10 331x±10 234x±10 579x

277x±10

675x+10 301x±10

Ref. 87

578x+10

579x+10

277x±10

675x±10

Ref. 2 Table 6

5769 3005 2775

Ref. 80 Table 7 5769

2760 2764

Ref. 82

578x

276x

169

Page 182: Advances in Surface Acoustic Wave Technology, Systems

1036 J. A. Kosinski

Table 28. (cont.) La3Ga5Si014 BAW Wave Velocities (mis).

Orientation

X-cut X-cut X-cut Y-cut Y-cut Y-cut Z-cut Z-cut (YXl)+45° (YXl)+45° (YXl)+45° (YXl)-45° (YXl)-45° (YXl)-45°

Polarization

long fast shear slow shear long fast shear slow shear long shear quasi-long quasi-shear pure shear long fast shear slow shear

Ref. 80 N. 5784

2764

Ref. 52

2762

Ref. 2 Table 5

2753

Ref. 74 Table 2

2754

Ref. 71

2767

Ref. 51

2760

Table 29(a). First Order Temperature Coefficients of La3Ga5SiOi4 BAW Wave Velocities (ppm/°C).

Type

BAW BAW BAW BAW BAW BAW BAW BAW BAW BAW BAW

Direction

X X X Y Y Y Z Z (YXl)+45° (YXl)+45° (YXl)+45°

Polarization

long fast shear slow shear long fast shear slow shear long shear long quasi-shear pure shear

Ref. 69 T() = 20°C -24.9 -53.2 49.8 -31.0 -18.8 6.6 -50.0 -26.5 -35.1 -52.2

Ref. 57 To = 20°C -17 -50 +50 -32 -17 15 -45 -24 -29 -23 -50

Ref. 89 T„ = ??°C -21.3 -43.7 53.5 -29.9 -14.1 13.6 -40.3 -27.8

Table 29(b). Second Order Temperature Coefficients of La3Ga5SiO,4 BAW Wave Velocities (ppb/°C2).

Type

BAW BAW BAW BAW BAW BAW BAW BAW BAW BAW BAW

Direction

X X X Y Y Y Z Z +45°YZ +45°YZ +45°YZ

Polarization

long fast shear slow shear long fast shear slow shear long shear long quasi-shear pure shear

Ref. 69 To = 20°C -24.7 -39.1 -131.0 -42.0 -57.5 -89.0 -29.2 -64.9 -31.2 -87.0

Ref. 57 T„ = 20°C -2.3 -110 -57 -64 -39 -40 -50 -50 -17 -67 -29

Page 183: Advances in Surface Acoustic Wave Technology, Systems

New Piezoelectric Substrates for SAW Devices 1037

3.6. "Best" material constants for langasite

As seen from the time progression of the reported values of the material constants and their temperature coefficients, the reported values appear to be converging toward a "best" set of material constants. Briot demonstrates very good agreement between calculations of room temperature behavior using material constants from Sakharov57 and experimental data for SAW propagation on Z-cut langasite, but poor agreement for the temperature behavior.90 The observed temperature behavior was bracketed by that calculated using two sets of temperature constants from Silvestrova.54,69,90 More recent results for Y+45°, Y+50°, and Y+55° rotated Y-cuts confirm the good room temperature agreement using material constants from Sakharov.57 These results also obtain a reasonable agreement between temperature behavior calculated using the earlier temperature coefficients from Silvestrova54 and the measurements.91 Similar good agreement was shown by Inoue between calculations of room temperature behavior using material constants from Sakharov57 and experimental data for SAW propagation on X-cut, Y-cut, and 50° rotated Y-cut langasite,92 also with poor agreement for the temperature behavior. An even better agreement has been shown by Kadota93 and Naumenko86 between room temperature calculations using material constants from Ilyaev55 and experimental data for LSAW and LPSAW propagation on rotated Y-cut langasite. However, the temperature coefficients of both Sakharov57 and Ilyaev55

provided poor agreement for the temperature behavior observed by Kadota; the experimental results for the orientation with a zero temperature coefficient of frequency at room temperature were bracketed by the calculated values.93 An ad hoc set of temperature coefficients developed by Naumenko was shown to provide good agreement for the temperature behavior of the Y-46.5° rotated Y-cut substrate. 86 Constants and temperature coefficients presented by Bungo53'58 demonstrate exceptional agreement between calculations and measurements of all SAW properties for the 50° rotated Y-cut of langasite. It is clear that the room temperature values of the material constants are close to those of Ilyaev,55 Sakharov,57 Sorokin,84 and Bungo,53,58 with the largest uncertainties in the dielectric and piezoelectric constants. The values of the temperature coefficients are likely close to those reported by Bungo.53,58

The aggregate of the measured values of bulk wave velocities listed in Table 28 provides a "best" set of measurement data for BAW propagation. This data, in conjunction with the aggregated dielectric constant and lattice constant data, may be used to determine a "best" set of material constants more accurate than those of any single experiment. Such values are listed in the tables as "this work". The listed values should predict wave velocities to better than 0.5% for most orientations, with the errors highest at orientations near 0=±45°.

3.7. Material constants for langanite and langatate

There have been two measurements of the material constants of langanite,94,95 and one complete measurement of the material constants of langatate.96 These results are consolidated in Appendices A and B respectively. Calculations using these values have been generally in good agreement with measurements of devices using these materials.77,97 Langanite and langatate both feature larger piezoelectric coupling than langasite, with langatate the larger of the two. Both of these materials also feature zero

171

Page 184: Advances in Surface Acoustic Wave Technology, Systems

1038 J. A. Kosinski

temperature coefficient of frequency orientations, however device development using these materials has only recently begun.77'97

3.8. Langasite device properties

Langasite displays good device characteristics in terms of large piezoelectric coupling and zero temperature coefficient of frequency orientations for both BAW and SAW. The calculated zero temperature coefficient BAW orientations are summarized in Table 30. The orientations of greatest interest to date for BAW are near the Y-cut, which features a zero temperature coefficient near room temperature jointly with nearly maximum piezoelectric coupling for the slow thickness shear mode. Measured properties for BAW devices are listed in Table 31. These data anticipate favorable STW characteristics near the Z-cut with a zero temperature coefficient of frequency and piezoelectric coupling larger than that of STW on quartz.

Table 30. La3Ga5SiOi4 Calculated Zero Temperature Coefficient BAW Orientations.

Mode

long long long long fast shear fast shear fast shear fast shear fast shear fast shear fast shear fast shear slow shear slow shear slow shear slow shear slow shear slow shear slow shear slow shear slow shear slow shear slow shear slow shear slow shear slow shear slow shear

T ( D _ 0 i f r - u

(YXl)-48° (YX1)-12.5° (YX1)0° (YX1)45°

(YXl)-84° (YX1)6° (YX1)3°

T « = 0

(YX1)53.7°

(YXl)-47.6° (YX1)-12.7° (YX1)1.7° (YXwl)30°,±47.9°

TP=O ft

(YX1)0° (YX1)36°

42Ko (YX1)-61.8° (YX1)9.7° (YXwl)30°,±47.0° (YXwl)30°,±28.4°

(YXl)-85.5° (YXI)-45.6° (YX1)9.4° (YX1)36.1° (YXwl)30°,+85.7° (YXwl)30°,+41.0° (YXwl)30°,±24.3°

(YXl)-0.9° (YXwI)30°,±48.3°

k (%)

0 0 1 4 3 0 0 0 0 0 4 5 7 17 17 3 17 3

— — .... — .... — 15 15 14.8

Ref.

55 55 55 55 55 55 55 55 55 55 55 55 55 55 55 55 55 55 76 76 76 76 76 76 51 51 98

172

Page 185: Advances in Surface Acoustic Wave Technology, Systems

New Piezoelectric Substrates for SAW Devices 1039

Table 31. Measured Properties of Significant La3Ga5SiOi4 BAW Orientations.

Orientation (YX1)1.5°

Y-cut

Y-cut

Y-cut

Polarization slow shear

slow shear

slow shear

slow shear

Properties v = 2760 m/s k=15% "Optimum for temperature-induced frequency excursion over the range -60°C to +85°C" v = 2762 m/s k = 14.8%

T ( l ) _ 0 l f r - 0

T^r2) = -63 ppb/°C2

T ® = -26 pptTC3

T„ = 25°C Turnover = 68.7°C Parabola constant = -68.9 ppb/°C2

Turnover = 64.7°C Parabola constant = -66.2 ppb/°C2

Reference 51

52

77

97 from N=3,5,7,9 data

A variety of temperature compensated SAW orientations have been reported recently for langasite,86 these are listed in Table 32. Reported properties of SAW devices are listed in Table 33. The orientations of greatest interest to date for SAW are near the 50° rotated Y-cut.

One of the most interesting properties of the calcium gallo-germanates is an anticipated high intrinsic Q based on extremely low measured values of acoustic attenuation as listed in Tables 36 and 37. The reported values of the Qxf product for langasite, langanite, and langatate are listed in Table 38. The observed device Q of langasite has been somewhat less than anticipated. However, langanite and langatate have readily yielded Q values close to or greater than the maximum possible Q for the X-polarized shear mode in quartz.77'97 It has been suggested that the disordered nature of these crystals limits the achievable material Q, and the development of fully ordered crystals with a calcium gallo-germanate structure has been recommended.67

Table 32. LasGasSiO^ Calculated Zero Temperature Coefficient SAW Orientations.

Orientation (90°,90o,25°) (0°,140o,25°) (ZXtw)95°,26° X-prop. (ZXtw)25°,53° X-prop. (0°,154°,24°) (5°,150o,29°) (10°,150°,34°) (5°,145°,29°) (0°,140°,25.5°) (0°,138.5°,26.3°)

Properties Zero TCD Zero TCF Zero TCD Zero TCD TCF = 7 ppm/°C TCF = 3 ppm/°C TCF = -lppm/°C TCF = -2 ppm/°C TCF = 1 ppmTC TCF = 1 ppm/°C

Velocity (m/s)

2765 2798 2829 2793 2747 2743

k' (%)

0.35 0.18 0.183 0.51 0.50 0.47 0.42 0.37 0.34

Reference 99 92 84 84 86 86 86 86 86 86

173

Page 186: Advances in Surface Acoustic Wave Technology, Systems

1040 J. A. Kosinski

Table 33. Measured Properties of Significant La3Ga5SiOi4 SAW Orientations.

Orientation

(90°,0o,-6°)

(90°,90o,0°)

(90°,90o,25°) X-cut

(0°,90°,0°) Y-cut

(0°,140°,22.5°) 50° rotated Y-cut

(0°,142°,24.5°)

(0°,143o,24°)

(0°,140°,24°)

Properties

zero TCD

TCD = -42 ppm/°C

T„ = 39°C

TCF(2) = -52 ppm/°C2

T C F = 1 . 8 3 p p m / ° C PFA=0

T C F = 1 . 6 3 p p m / ° C PFA = 0

To=28.8C TCF(2)=-67ppb/°C2

Velocity (m/s)

2382.8 2287.82

2742.1

2754

2756

k2 (%)

0.477

0.355

0.35

0.32

0.41

0.42

Reference

59

59

99

92

92

93

93

53

Table 36. La3Ga5SiOi4 BAW Acoustic Attenuation.

Direction X

X X Y

Y

Z

z

Polarization long

fs ss long

shear

long

shear

Ref. 40 0.5 dB/cm @ 900 MHz

1.9 dB/cm @ 900 MHz

Ref. 82 0.26 dB/cm @ 500 MHz

0.44 dB/cm @ 500 MHz

Ref. 87

0.52±0.04 dB/(us GHz2) @ 1 GHz

0.75+0.05 dB/(us GHz2) @ 1 GHz

1.05±0.05 dB/(ns GHz2) @ l G H z

1.30+0.04 dB/(us GHz2) @ l G H z

Ref. 59 0.8 B cm"' GHz2 '"'88.8 MHz

0.8 B cm-' GHz2 r'*88.8 MHz

Ref. 88

0.52+0.05

1.31 0.71 1.30

0.85

0.75

1.05

Table 37. La3Ga5SiOi4 SAW Acoustic Attenuation.

Orientation

Y'

X'

Propagation X

Y

59 0.8 B cm- GHz z @88.8 MHz

0.8 B cm"' G H z ' @ 88.8 MHz

Table 38. BAW Qxf Product for Selected Calcium Gallo-germanates.

Material

La3Ga5Si01 4

La3Ga5SiOi4

La3Ga5SiOi4

La3Ga5SiOi4

La3Ga5SiOi4 La3Ga5SiOi4

La3Ga55Nbo50i4 La3Ga5.5Tao5Oi4

Orientation

Y-cut

Y-cut

Y-cut

Y-cut

Y-cut

Y-cut

Y-cut

Y-cut

Polarization

slow shear

slow shear

slow shear

slow shear

slow shear

slow shear

slow shear

slow shear

Frequency

fa

f,

fa

fr fr fr

fr fr

Q x f ( x l 0 " )

0.983

1.65

1.81

1.34

1.29

2.1

2.15 2.92

Reference

10

2

74

77

97

100

97

77

174

Page 187: Advances in Surface Acoustic Wave Technology, Systems

New Piezoelectric Substrates for SAW Devices 1041

4. Lithium Compounds - Diomignite

4.1. General comments

Lithium niobate, and to a lesser extent, lithium tantalate, have achieved prominence as piezoelectric materials featuring higher piezoelectric coupling than quartz. Both are limited, however, by a lack of temperature compensation. More recently, diomignite (tetragonal Li2B407) has been developed as an alternative material also featuring piezoelectric coupling significantly higher than quartz. This new material exhibits temperature compensated orientations for both bulk and surface waves, and has demonstrated high intrinsic material Q.

Tetragonal Li2B407 is best known as lithium tetraborate. It has alternatively been designated lithium borate, lithium diborate, and dilithium tetraborate, and has been abbreviated as either LBO or DLTB. The mineral name diomignite was approved by the Commission on New Minerals and Mineral Names, International Mineralogical Association in 1987 following identification of natural diomignite crystals in pegmatitie.101102 The name derives from the Greek dios mignen for "divine mix."

4.2. Crystallography

Diomignite belongs to space group I4,cd within tetragonal symmetry class 4mm,103104

characterized by a single four-fold symmetry axis and two equivalent mirror planes as illustrated in Fig. 3.4 As with class 3m, the mirror plane symmetry causes all class 4mm materials to be pyroelectric. Diomignite lacks handedness, eliminating the possibility of optical (Brazil) twins. Electrical (Duaphine) twins remain possible. The internal structure of the diomignite has been studied in detail.103105106 Measured values of lattice constants of diomignite are listed in Table 39. There are eight formula weight per unit cell. Analysis of the data near room temperature lead to values of a=9.4746±0.007lA and c=10.2867±0.0192A at 25°C corresponding to an x-ray density of 2433.0±8.1 kg/m3

which is consistent with the previously reported values as listed in Table 40. Diomignite thermal expansion data are listed in Table 41.

Sk, Ek AT

y,x2

XfX]

T

Di

AS

• - • « . . . • - • « . . .

: : : :

V: • • • • • • • •

6 3 2 2 1

J. 15

Fig.3. Class 4mm symmetry elements and matrices for equilibrium properties.'1

175

Page 188: Advances in Surface Acoustic Wave Technology, Systems

1042 J. A. Kosinski

Table 39. Li2B407 Lattice Constants.

a (A) 9.47 9.479±0.003 9.477±0.005 9.475 9.49 9.47 9.4570±0.00025 9.479±0.003 9.479±0.010 9.474±0.006 9.477 9.477 9.47±0.03 9.4795 9.4757±0.0002 9.4794 9.470±0.004 9.464±10.003 9.4746±0.0071

c(A) 10.26 10.280±0.004 10.286±0.006 10.283 10.30 10.26 10.28590±0.00020 10.290±0.004 10.340±0.007 10.297±0.006 10.286 10.296 10.26±0.08 10.312 10.2850±0.0002 10.2850 10.279±0.005 10.275±10.004 10.2867±0.0192

T„(°C)

....

....

....

.... — 20

— 25 25

— — — r.t. 7

....

....

25

Reference 103 105 107 108 109 110 111 106 112 112 113 114 115 116 117 118 102 102 this work

Table 40. Li2B407 Mass Density.

Mass Density (kg/mJ) 244x 243x 244x 2451 245x 2420 2440 2439 2450 2433±1 2430±10 2436±4 2440 2439 2424 2431 2432 2437 2441 2433.0±8.1

Method x-ray x-ray measured measured

x-ray measured measured measured measured x-ray x-ray measured measured x-ray x-ray measured x-ray x-ray x-ray

To(°C)

— — — — — — — — — 20 18 18

— r.t. r.t. 25 25

— — 25

Reference 103 107 107 104 108 119 119 109 110 120 112 112 121 122 116 123 123 102 102 this work

176

Page 189: Advances in Surface Acoustic Wave Technology, Systems

New Piezoelectric Substrates for SAW Devices 1043

Table 41. U2B4O7 Thermal Expansion.

aj1/ (ppm/°C)

13 13.0 11.1 12.5 5.1(1) 19.8(8) 12.7(5)

a(f (ppb/°C2)

— — 5.6

— — — —-

a^j (ppm/°C)

«4 -1.5 -3.74 -2.5 -2.3(1) -1.62(9) -5.3(5)

ag) (ppb/°C2)

— — 20.8

— — — ....

T„(°C)

— 20 25 25 20 25 22

Reference

108 124 125 110 120 112 126

Published data on the thermal stability of diomignite are listed in Table 42. There is no disagreement with respect to the stability of diomignite in terms of both phase transitions and melting point. Diomignite devices may be processed or operated at significantly higher temperatures than comparable quartz devices.

Table 42. Li2B407 Critical Temperatures.

Transition Point (°C) None

Melting Point (°C) 917+2

Reference 127

4.3. Crystal growth

Diomignite is a congruently melting compound in the binary oxide system of Li20-B203,127 and appears alternatively as a phase within the ternary oxide system Li20-B203-Si02 The phase relations for the former system have been determined in detail,127128 the latter only approximately.129 Congruently melting compounds are typically synthesized using melt growth techniques; diomignite has been grown using both Czochralski and Bridgman methods. Interestingly, diomignite also has been grown hydrothermally. Feed material for melt growth may be synthesized by reaction of lithium carbonate and boric acid or boron trioxide,107,113,114'130"133 by reaction of lithium hydroxide and boric acid, or by reaction of lithium nitrate and boron trioxide.131 Alternatively, Li2B407 powders are available in grades ranging from 99.9% to 99.999% purity.131'108'1H 119'135'136> 137 Starting materials for hydrothermal growth are boric acid plus either lithium borate or lithium hydroxide, with HC02H as the mineralizer.115

Diomignite crystals have been grown by the Czochralski method using either 119,133-136,138,139 o r r e s i s t a n c e heating.113.114.137.140 Platinum crucibles are used nearly

universally, with all recent growth in an air ambient.114,121132,137140 Crucibles are typically as tall as they are wide, and the width is chosen to be about one and one half times the diameter of the desired crystal. The feed mixtures are typically stoichiometric,121'135137139" 141 although a slight excess of boron sometimes is provided.114,119131 Seeds for initial growth have been formed by quenching on Pt wires. Diomignite crystals have been grown commonly along both X- and Z-axes.108,113,114,119'131-132-137,140-144 Growth also has been demonstrated along the [110] direction;135'139144 such boules simplify the manufacture of desirable 45° rotated X-cut devices.

177

Page 190: Advances in Surface Acoustic Wave Technology, Systems

1044 J. A. Kosinski

Reported Czochralski growth rates range from 0.13 mm/hr to 5 mm/hr, with general agreement on higher quality growth at slower growth rates (<0.5 mm/hr).108113'114119-131132'134-140144-146 The rotation rate is adjusted to achieve a flat or slightly concave solid-liquid interface, and is typically on the order of only a few rpm due to the high viscosity (1.5 poise) of the melt.

108'1,3'114'119>,31-14°'144-146 There is general agreement on the need for a large temperature gradient on the order of 200°C/cm at the melt surface,113114133'135 and the need also to limit the temperature gradient to on the order of 10°C/cm in the cooling zone above the meit.

108.U4133-135.137 Heat shields and/or after heaters have been used to establish the required thermal profile.119135137138

Many of the described pulling apparatus employ weight measurement as the independent variable for controlling the diameter of the crystal during growth,119135139

with substantial improvements in crystal quality attributed to the controlled growth regime.119 Nearly flawless crystals have been grown using an alternative control scheme based on measuring the instantaneous electrical current and hence torque developed by the motor driving the pulling rod.137 The inherent advantages of the latter method appear unappreciated. For the weight control technique with 0.0lg sensitivity as reported, at 1 mm/hr growth rate there is a distinguishable control readings every three to four seconds. In contrast, the torque control technique provides distinguishable control readings at the sampling rate of the current sensor. Hence, the time constant of the torque control system can be orders of magnitude faster than that of the weight control system.

Diomignite crystals have been reported exclusively as clear and colorless. The main growth defects observed in diomignite crystals grown by the Czochralski method are coring and cracking,108'114'119''31'132'134'135'139'142'147 and occasionally inclusions,119'131'139

and voids.108135139 These defects have been related primarily to growth parameters such as pulling rate and rotation rate, but also to temperature fluctuations in the melt.132'147 The incidence of defects may be reduced by the use of higher purity starting materials.119'134137 Hot water'35 or glycerine and water114 have been used as etchants to evaluate crystal quality. Defect densities of 2000/cm2 to 9000/cm2 have been observed, typically screw or edge dislocations.135148 The viability of Czochralski grown diomignite wafers for mass production has been demonstrated via 200 ppm to 500 ppm wafer-to-wafer consistency and single wafer uniformity of the SAW velocity.

Bridgman growth using both vertical and horizontal adaptations has been reported in detail.149"159 Both platinum149157 and graphite152153 vessels have been used for Bridgman growth. The starting materials reported for Bridgman growth have been limited to 99.9% or 99.99% purity,149151'157 with less precise control of stoichiometry and/or excessive water content.149150'151 Crystals have been grown from X-axis, Z-axis, and 45° rotated X-cut seeds.149150157'158 Growth rates below 3 mm/hr are required for high quality growth.149154 Many of the same defects observed in crystals grown by the Czochralski method have been observed in crystals grown by the Bridgman method: cracks and cores,149 dislocations,156 and microcracks.159 A 25% acetic acid etch has been used to reveal defects in Bridgman grown crystals;150'156 the most significant defects have been electrical twins to an extent not reported in Czochralski grown crystals. The quality of the as-grown crystals has been related directly to the purity of the starting materials, and the stability of the power supply and hence temperature profile during crystal growth.156159

The hydrothermal growth of diomignite crystals has been investigated systematically.115129160 Crystals have been grown in autoclaves with teflon liners, at 250°C and 100 bar. Various combinations of mineralizers and starting materials have been considered, with detailed results obtained for the relationships between crystal

178

Page 191: Advances in Surface Acoustic Wave Technology, Systems

New Piezoelectric Substrates for SA W Devices 1045

growth rate, morphology, and growth conditions. Crystals as large as 12 mm x 12 mm x 10 mm have been obtained.

The perfection and properties of as-grown diomignite crystals have been studied by ultrasound,112'161"163 Raman spectroscopy,144164 X-ray diffraction,111112117143

luminescence spectrometry,141 optical extinction,132 reflection spectrometry,165166

dilatometry,126 acoustic emission spectroscopy,167 thermal analysis,168 and synchrotron radiation.148 Absorption bands at 3550 nm, 4100 nm, and 4690 nm have been identified with disruptions in crystal stoichiometry and excess water content.141 Several studies observed anomalies at low temperatures possibly associated with phase transitions stimulated by thermal cycling,111'117'126'143'161'162'168 however these results are not consistent and thus far are not clearly explained. The results of Raman spectroscopy studies are in agreement that high temperature phase transitions cannot be ruled out theoretically, but are unlikely to be observed in practice.144'

4.4. Processing

Reported values for the hardness of diomignite are listed in Table 43. Diomignite is significantly softer than quartz. Lapping has been reported using a cast iron plate with a SiC slurry. Mechanical polishing has been reported using a polyurethane foam sheet with a colloidal silica slurry.133 Diomignite is insoluble in organic solvents such as acetone or alcohol,108134 and etches slightly in deionized water or alkaline solutions. It is easily soluble in almost all acids,108134'169 In consequence, standard SAW device fabrication techniques using acid etch to pattern a deposited aluminum film are inappropriate for this material. Several alternative fabrication processes have been reported, including two- and three-layer lift-off techniques,135142170 alkaline etch using a single layer resist,170, and ion-beam milling.142. Most recently, the use of standard processing has been proposed in conjunction with the deposition of a thin Si02 passivation layer.171

Table 43. U2B4O7 Hardness.

Mohs *6 6-7

Vickers (GPa)

— —

Reference 108 154

4.5. Material properties of diomignite

The form of the elasto-piezo-dielectric constants matrices (augmented by the entropy-temperature relations) for symmetry class 4mm is shown in Fig. 3. Reported values for the linear dielectric, piezoelectric, and elastic constants and their temperature coefficients for diomignite are listed in Tables 44 and 45, 46, and 47 respectively. The dielectric constant data are separated into different tables for eT and ss. The reported values of the elastic and piezoelectric constants have been obtained from pulse-echo and resonator method measurements, inclusive of SAW and BGW device measurements.

179

Page 192: Advances in Surface Acoustic Wave Technology, Systems

1046 J. A. Kosinski

Table 44. Li2B407 Relative Dielectric Permittivities at Constant Traction.

E T E l l 9.33 9.13 9.32 8.966 9.33 7.81(2) 7.46(2)

....

E T

E33 9.93 10.34 10.1 9.680 10.1 10.25(2) 9.97(2) 10

Frequency

10 kHz

....

.... 1 kHz

— 10 kHz 100 kHz 100 kHz

T„(°C)

25 r.t. 25 25

— 20 20 25

Reference

109 162 172 123 154 120 120 110

Table 44(a). First Order Temperature Coefficients of Li2B407

Dielectric Permittivities at Constant Traction (ppm/°C).

E T

E l l -4.31

E T

E33 163

T„(°C)

25

Reference

134

Table 44(b). Second Order Temperature Coefficients of Li2B407

Dielectric Permittivities at Constant Traction (ppb/°C2).

E T E l l 2600

E T

E33 350

T„(°C)

25

Reference

134

Table 45. Li2B407 Relative Dielectric Permittivities at Constant Strain.

Ell 8.97±0.05 8.5 8.90 9.04±0.11 8.88 8.91 8.91 8.662

43 8.15+0.06 8.2 8.07 8.81+ 0.11 8.46 8.13 8.07 7.893 8.8

T„(°C)

20

— 25 20 r.t. 25

— 25

....

Reference

104 108 109 173 162 172 154 123 121

180

Page 193: Advances in Surface Acoustic Wave Technology, Systems

New Piezoelectric Substrates for SAW Devices 1047

Table 45(a). First Order Temperature Coefficients of Li2B407

Dielectric Permittivities at Constant Strain (ppm/°C)

•fl -110 -92 97.1

£33 -33 120 545

TofC)

20 20 25

Reference

124 173 109

Table 45(b). Second Order Temperature Coefficients of Li2B407

Dielectric Permittivities at Constant Strain (ppb/°C2).

«?! 2800

E33 2900

T„(°C)

25

Reference

109

Table 46. Li2B407 Piezoelectric Constants (C/m2).

ei5 0.36±0.01 0.472

0.278+ 0.005 0.35 0.36 0.39 0.46 0.3918

— 0.492 0.37

e31 0.19±0.01 0.290

0.10+0.04 0.38 0.19 0.24 0.23 0.2544

0.2 — —

e33 0.89±0.01 0.928 0.77±0.04 0.88 0.87 0.93 0.91 0.8820 0.9 — —

T„(°C)

20 25 20 r.t. 20 25 — 25 25 — —

Reference

104 109 173 162 120 172 154 123 174 175 176

Table 46(a). First Order Temperature Coefficients of Li2B407 Piezoelectric Constants (ppm/°C).

e15 -1300 -1320 -349 -1050

e31 -1300

— -2300 -573

e33 -1000

— 385 -600

T„(°C)

20 25 25 25

Reference

124 177 173 109

Page 194: Advances in Surface Acoustic Wave Technology, Systems

1048 J. A. Kosinski

Table 46(b). Second Order Temperature Coefficients of Li2B407 Piezoelectric Constants (ppb/°C2).

ei5 5163 -900

e3i

— -6910

«33

— -6500

T„(°C)

25 25

Reference

177 109

Table 47. Li2B407 Elastic Constants (GPa).

«Fi 126.7+0.1 135 127.1+0.11 135.2 135.27+0.12 126.8 134.2 127.6

128.4 135.823 —-—

•£ 0.5+0.2 3.57 6±2 0.8 0.109+0.06 1.0

8.9

4.6 -0.285 — —

«£ 30+3 33.5 29.4±1.5 31.9 31.8610.10 23.9

32

29.7 31.982 — —

CE c3 3

53.910.3 56.8 53.8+8.3 54.9 54.8+0.10 56.2 57.4 54.7 55.5 54.2 54.152 — —

c44 55.010.2 58.5 53.810.4. 55.9 57.3910.08 58.1 47.3 57.5 57.4 57.8 57.072 56.0 57.3

4s 46.010.2 46.7 57.410.5 47.3 47.38+0.06 45.7

48.2

47.5 47.680 — —

T„(°C)

20 25 20 r.t. 20 25 — 25 r.t. — 25 -— —

Ref.

104 109 173 162 120 112 121 172 122 154 123 175 176

Table 47(a). First Order Temperature Coefficients of Li2B407 Elastic Constants (ppm/°C).

°Fi -6515 -125 -70.26 -51 -81.1 -80+20

£ -83901100 14000 -67310 1600 3370 20000+10000

CE c1 3

-485160 350

— 390 465 5501100

CE C33

.... 354

— -21 364 400160

£ 10812 -23 -14.53 -22 -18.1 1316

4s -22015 -480 -418.6 -200 -272 -480140

ToCC)

25 20 25 20 25 20

Reference

112 124 177 173 109 120

Table 47(b). Second Order Temperature Coefficients of Li2B407 Elastic Constants (ppb/°C2).

«fl -193.1 -440

1E2 114939 -17400

CE c13

— -2300

CE C33

-1800

£ -398.1 500

4s 504.4 -450

T„(°C)

25 25

Reference

177 109

182

Page 195: Advances in Surface Acoustic Wave Technology, Systems

New Piezoelectric Substrates for SAW Devices 1049

The room temperature values of the material constants of diomignite are known with good accuracy and precision.178 The most reliable determination employed an improved resonator method with a total of 67 samples covering seven different orientations.123 All three BAW modes of each sample were measured using both thickness- and lateral-field excitation, typically on the first through ninth harmonics, for a total of 1000 data points used in deriving the elastic and piezoelectric constants.179 The crystals used in the measurements were of exceptional perfection.137 Independent measurements of BGW and SAW devices have confirmed the superior accuracy of this room temperature data set.176'180181 Limited data have been published on the BAW wave velocities of diomignite; these are listed in Table 48.

Table 48. Li2B407 BAW Wave Velocities (m/s).

Orientation X-cut X-cut X-cut Y-cut Y-cut Y-cut Z-cut Z-cut (YX1)28.2°

(YX1)45°

(YX1)56°40'

(YXw)45°

(YXwl)45°,45°

(YXwl)45°,56.1°

Polarization long fast shear slow shear long fast shear slow shear long shear long fast shear slow shear long fast shear slow shear long fast shear slow shear long fast shear slow shear long fast shear slow shear long fast shear slow shear

Ref 124

3400±100

Ref. 121 7415 4896 4410

4407 51xx 4850

Ref. 112 7224 4720 4337 7224 4720 4337 5297 4889

6675 5114 4697

Ref. 178 7458 4922

— 7458 4922

— 5176 4844 7534 4524 4066 7306 4642 3436

— —-— 6888

4924 7138 5072 3240 6868 4994 3198

Page 196: Advances in Surface Acoustic Wave Technology, Systems

1050 J. A. Kosinski

The temperature coefficients of the diomignite material constants are known with lesser accuracy.140177 While there is a rough agreement on the first order temperature coefficients, there is disagreement concerning even the sign of some of the second order temperature coefficients. In practice, calculations of temperature behavior have proven to be only approximate, and device developments have required significant experimental refinement to accurately identify favorable temperature compensated orientations.108'182'124'183

4.6. Diomignite device properties

Diomignite displays good device characteristics in terms of large piezoelectric coupling and zero temperature coefficient of frequency orientations for both BAW and SAW. In both cases, there is an important dependence upon the electrode metallization arising from the extremely high piezoelectric coupling. The calculated zero temperature coefficient BAW orientations are summarized in Table 49. Measured properties for these BAW devices are listed in Table 50. The measured data are in reasonable agreement with respect to the predicted first order temperature behavior, but are in poor agreement with respect to the predicted second order temperature behavior. The measured properties of the TA-cut are particularly interesting.183 The c-mode features nearly zero first and second order temperature coefficients of frequency, and a third order temperature coefficient only half that of quartz. The b-mode features a Qxf product larger than that of AT-cut quartz.

Table 49. Li2B,t07 Calculated Zero Temperature Coefficient BAW Orientations.

Mode

long long long long long slow shear slow shear slow shear slow shear slow shear i slow shear

Overtone

fund 3rd fund -ira

fund fund nra

fund fund nrtl

fund

T ( D _ o 'fr _ U

(YX1)±38°49' (YX1)±71°52' (YX1)32° (YX1)68°

(YXl)+48°48' (YX1)±68°17' (YX1)49°14' (YX1)53° (YX1)68°

'fr " ' f r - U

(YXwl)40°,33°

(YXwl)19°,56°

k (%)

.... — — — 19.7

25.9

— — 26.6

Ref.

124 124 109 109 184 124 124 185 109 109 184

Page 197: Advances in Surface Acoustic Wave Technology, Systems

New Piezoelectric Substrates for SAW Devices 1051

Table 50. Measured Properties of Significant Li2B407 BAW Orientations.

Orientation (YX1)56°40'

(YX1)49°14'

(YX1)30°

(YXI)30°

(YX1)51°

(YX1)51°

(YX1)54°

(YX1)54°

(YX1)56°40'

(YX1)68°

(YXI)68°

(YXwl)40°,33°

(YXwl)19°,56°

Polarization slow shear

slow shear

long

long (fund)

slow shear

slow shear

slow shear

slow shear (fund)

slow shear (fund)

long (3rd OT) slow shear (3rd OT)

long

slow shear

Properties

T&) = -300 ppb/°C2

T( r2)=-280ppb/°C2

T £ ) =0.7 ppm/°C

TJ?)=190ppb/°C2

T^=2.1ppm/°C

T ^ = -17ppm/°C

T<2) = 320 ppb/°C2

T ^ = 0 ppm/°C

T^2)=-260ppb/°C2

T ^ = 14 ppm/°C

T^2) = -220 ppb/°C2

T^1)=-16.4ppm/°C

T^2)=-300ppb/°C2

T^=0.1ppm/°C

T(r1)=-9.1ppm/°C

T ^ = 14 ppm/°C

T ^ = -220 ppb/°C2

T ^ = 2 ppm/°C

T(2) = _277 ppb/°C2

Reference 124

185

109

146

109

186

109

146

124

146

146

184

184

185

Page 198: Advances in Surface Acoustic Wave Technology, Systems

1052 J. A. Kosinski

Temperature compensated orientations have been calculated for SAW, leaky SAW, and longitudinal leaky SAW in diomignite; these are listed in Table 51. Due to the inaccuracies in the temperature coefficients of the material properties used in the calculations, the temperature compensated orientations observed experimentally are slightly different from those as calculated. Significant experimental results are listed in Table 52. As noted, the observed temperature coefficient of delay depends strongly upon the thickness of the transducer metallization; all results must be read carefully to determine the range of metallization over which the data are valid. Recently, NSPUDT properties have been identified for the (0°,18°,90°) and (0o,51o,90°) orientations.187

Partial NSPUDT behavior also has been demonstrated for the temperature compensated orientation (0°,78o,90°).187

Table 51. Li2B407 Calculated Zero Temperature Coefficient SAW Orientations.

Orientation X-Z (90°,90°,90°) X-Z (90°,90°,90°) X-Z (90°,90°,90°) X-Z (90°,90°,90°) 28 X-Z (90+28°,90°,90°) 17 X-Z (90°+17°,90°,90°) 18 X-Z (90o+18°,90°,90o) (90°,90°+8°,90°) (90°,90°,90°+8°) 45° rotated X-Z 45" rotated X-Z X-Z' 12" rotated prop (0°,73°,75°)

(0°,75°,75°) (0°,75°,75°) (0°,75°,42°) (0°,75°,75°) (45°,90°,70°)

(45°,90°,70°) (45°,46o,90°)

(0°,45°,90°)

(0°,47.3°,90°)

Mode SAW SAW SAW SAW SAW

SAW

SAW

SAW SAW SAW SAW SAW LSAW

LSAW LSAW LSAW LSAW LSAW

LSAW longitudinal LSAW longitudinal LSAW longitudinal LSAW

Properties parabolic TCD TCD = -llppm/°C TCD = -9 ppm/°C

— TCD=10ppm/°C

zero TCD

zero TCD independent of metallization zero TCD zero TCD metallized zero TCD

— metallized zero TCD zero TCD short and open o.oi7 mix zero TCD zero TCD zero TCD zero TCD zero TCD 0.67 dB/X zero TCD TCD = 10 ppm/°C 0.0001 dB/a. 0.0003 dBIX

TCD = -3 ppm/C 0.0005 dB/X

Velocity (m/s) 3510130 3500±50 3500 3562 3436

3465

3433 3401

4220 4225

— 4235 3780

3680 6980

7074

6789.5

k-1 (%) 0.8±0.1 0.97±0.08 1.1

— 1.0

1.0

1.0

1.2 1.0

0.92

1.7

1.3 1.8

— 1.8 3.6

1.6

1.34

1.2

Ref. 188 104 108 189 108

108

182

182 182 190 180 190 191

109 192 192 136 193

192 194

195

196

186

Page 199: Advances in Surface Acoustic Wave Technology, Systems

New Piezoelectric Substrates for SAW Devices 1053

Table 52. Measured Properties of Significant Li2B407 Surface Wave Orientations.

Orientation X-Z (90o,90°,90°)

X-Z (90°,90°,90°) X-Z (90°,90°,90°)

X-Z (90°,90°,90°)

X-Z (90°,90°,90°) 28° X-Z (90°+28°,90°,90°) 25" X-Z (90°£25°, 90°±10°, 90°+8°) (0°,78°,90°) (0°,90°J8°) (45°,90°,90°) 45° rotated X-Z 45° rotated X-Z (90°+45°,90°,90°) (90°,90°±15°,90°) (0°,75°,75°) (0°,75°,75°) (45°,90°,70°) (0°,47.3°,90°)

Mode SAW

SAW SAW

SAW

SAW SAW

SAW

SAW SAW SAW SAW SAW SAW SAW LSAW LSAW LSAW longitudinal LSAW

Properties T„=19°C TCD(2) = 310ppb/°C2

TDC = 4 ppm/°C Oppm/C TCD(2) = 230 ppb/°C2

parabolic TCD T„ = 55°C TCD = 6.2 ppm/°C T„ = 20°C TCD(2) = 270 ppb/°C2

TCD < ±5 ppm/°C

zero TCD zero TCD zero TCD

— TCD(2) = 270 ppb/°C2

TCD<±5ppm/°C TCD < +5 ppm/°C TCD = -1.5ppm/°C TCD = 10 ppm/°C

— TCD=10ppm/°C

Velocity (m/s) 3510+6

3515 3515

3512

3503 3470

3436 3465

4120 4224 3670 6676

k2 (%) 1.2

1.06 1%

0.7%

— 0.8

1

1 1.0 1 1 1.6 1.7

— 2.8

Ref. 188

104 104

108

189 108

197

109 109 109 180 198 199 199 109 192 192 196

Measured values of acoustic attenuation for diomignite are listed in Table 53. The reported values of the Qxf product are listed in Table 54. The observed device Q of diomignite is close to the maximum possible Q for the X-polarized shear mode in quartz.

Table 53. Li2B407 BAW Acoustic Attenuation.

Direction X Z

Polarization long long

Attenuation 15.2 dB/cm@300 MHz 13.2 dB/cm@300 MHz

Reference 121 121

Table 54. Qxf Product for Li2B407.

Mode BAW BAW BAW SAW

Orientation (YX1)56°40' (YX1)49°14' (YX1)40°,33° 45° rotated X-Z

Polarization slow shear slow shear fast shear SAW

Frequency fr fr fr fr

Qxf (X 10") 0.172 0.136 2.04 0.465

Reference 124 185 183 190

Page 200: Advances in Surface Acoustic Wave Technology, Systems

1054 J. A. Kosinski

References

1. O. Baumgartner, et al., Zeit. Krist, 168 (1984) 83-91.

2. E. C. Shafer and R. Roy, J. Am. Ceram; Soc, 39 (1956) 330.

3. J. Detaint, et al., "Bulk wave propagation and energy trapping in the new thermally compensated materials with trigonal symmetry," Proc. 1994 IEEE Int'l Freq. Control Symp. (1994) 58-71.

4. J. F. Nye, Physical Properties of Crystals: Their Representation by Tensors and Matrices, Clarendon Press, Oxford, 1957.

5. W. G. Cady, Piezoelectricity, Dover, 1946.

6. A. Goiffon, et al., J. Solid State Chem., 61 (1986) 384.

7. A. Goiffon, et al., Rev. de Chimie Minerale, 20 (1983) 338-350.

8. S. Hirano and P. C. Kim, "Physical properties of hydrothermally grown gallium orthophosphate single crystals," J. Mat. Sci., 25 (1990) 4772-4775.

9. D. Cachau-Herreillat, et al., Eur. J. Sol. State Inorg. Chem, 29 (1992) 1295-1307.

10. B. Capelle, et al., "Study of gallium phosphate and langasite crystals and resonators by x-ray topography," Proc. 1994 IEEE Int'l Freq. Control Symp. (1994) 48-57.

U . S . Hirano, et al., J. .Crys. Growth, 79 (1986) 215.

12. K. Kosten and H. Arnold, Zeit. Krist., 152 (1980) 119.

13. D. Palmier, "Optimisation de la cristallogenese et de la caracterisation des proprietes piezoelectriques du phosphate de gallium (GaP04), generalisation des relations "structures-proprietes" pour les materiaux de type quartz," These, Univeriste Montpellier.il, November 1996.

14. P. W. Krempl, et al., "GaP04, a critical review of material data," Proc. 9th Eur. Freq. and Time Forum (1995) 66-70.

15. D. Palmier, et al., "New results on the thermal sensitivity of bulk and surface modes of gallium orthophosphate GaP04," Proc. 1995 IEEE Ultrason. Symp. (1995) 605-510.

16. P. Krempl, et al., "Present state of GaP04 research," Proc. 5th Eur. Freq. and Time Forum (1991) 143-147.

17. S. Hirano and P. C. Kim, J. Mat. Sci. 26 (1991) 2805-2808.

18. A. Perloff, "Temperature inversions of anhydrous gallium orthophosphate," J. Am. Ceram. Soc. 39(1986)83.

19. E. Philippot, et al., "New approach of crystal growth and characterization of a quartz and berlinite isomorph: GaP04," Proc. 1992 IEEE Freq. Control Symp. (1992) 744-752.

188

Page 201: Advances in Surface Acoustic Wave Technology, Systems

New Piezoelectric Substrates for SAW Devices 1055

20. E. Philippot, et al., "A quartz-like material: gallium phosphate GaP04, crystal growth and characterization," J. Cryst. Growth 130 (1993) 195-208.

21. B. Capelle, et al., "X-ray topography study of gallium phosphate crystals and resonators," Proc. 7th Eur. Freq. and Time Forum (1993) 659-666.

22. C. Laidler, et al., "Crystal growth and characterization of gallium orthophosphate single crystals," Proc. 8th Piezoelectric Conference Piezo '94 (1994) 259-265.

23. A. Zarka, et al., "Studies of GaP04 Crystals and Resonators," Proc. 1996 IEEE Int'l Freq. Control Symp. (1996)66-71.

24. S. Hirano, et al., "Dielectric properties of hydrothermally grown gallium orthophosphate single crystals," J. Mat. Sci. 25 (1990) 2800-2804.

25. S. Hirano and P. C. Kim, Bull. Chem. Soc. Jap. 62 (1989) 275.

26. A. S. Shiganov, et al., "The solubility of gallium orthophosphate GaP04 under hydrothermal conditions," Cryst. Rep. 39 (1994) 648-650.

27. B. Capelle, et al., "X-ray topography study of gallium phosphate crystals and resonators," Proc. 1993 IEEE Int'l Freq. Control Symp. (1993) 813-820.

28. I. A. Danikov, et al., "Electromechanical properties of gallium phosphate between -196 and +723°C," Sov. Phys. Cryst. 36 (1991) 277-279.

29. G. Engel, et al., "Technical aspects of GaP04, " Proc. 3rd Eur. Freq. and Time Forum (1989) 50-56.

30. W. Wallnofer, et al., "Determination of the elastic and photoelastic constants of quartz-type GaP04 by brilloin scattering," Phys. Rev. B 49 (1994) 10075-10080.

31. F. Huard, These Universite de Montpellier (1985).

32. C. Reiter, et al., "Temperature dependence and compensation of GaP04 thickness resonators," Proc. 12th Eur. Freq. and Time Forum (1998) 447-450.

33. W. Wallnofer, et al., "Temperature dependence of elastic constants of GaP04 and its influence on BAW and SAW devices," Proc. 7th Eur. Freq. and Time Forum (1993) 653-657.

34. J. Detaint, et al., "Optimization of the design of the resonators using the new materials: application to gallium phosphate and langasite," Proc. 1997 IEEE Int'l Freq. Control Symp. (1997) 566-578.

35. E. H. Briot, et al., "A comprehensive mapping of surface acoustic wave properties on gallium orthophosphate (GaP04)," Proc. Joint Meeting Eur. Freq. and Time Forum and IEEE Int'l Freq. Control Symp. (1999) 811-815.

36. E. Philippot, et al., J. Sol. State Chem. 110 (1994) 356.

37. "Standards on Piezoelectric Crystals, 1949," Proc. IRE 37 (1949) 1378-1395.

38. "IEEE Standard on Piezoelectricity", IEEE/ANSI Std. 176-1987 (1988).

189

Page 202: Advances in Surface Acoustic Wave Technology, Systems

1056 J. A. Kosinski

39.1. A. Andreev and M. F. Dubovik, "A new piezoelectric material, Iangasite (La3Ga5Si014), with a zero temperature coefficient of the elastic vibration frequency," Sov. Tech. Phys. Lett. 10 (1984) 205-207.

40. A. A. Kaminskii, et al., "The nonlinear active material (La1.xNdx)3Ga5Si014," Bull. Acad. Sci. USSR 47 (1983) 25-31.

41. B. V. Mill, et al., "Modified rare-earth gallates with a Ga3Ga2Ge40H structure," Sov. Phys. -Dok. 27(1982)434-437.

42. S. A. Sakharov, et al., "Monolithic filters using strong piezoelectrics," Proc. 45th Annual Freq. Control Symp. (1991) 181-183.

43. V. B. Grouzinenko and V. V. Bezdelkin, "piezoelectric resonators based on single crystals of strong piezoelectrics Proc. 45th Annual Freq. Control Symp. (1991) 212-215.

44. A. A. Kaminskii, et al., "Generation of stimulated emission of Nd3+ ions in a trigonal acentric La3Ga5SiO]4 crystal," Sov. Phys. - Dok. 27 (1982) 403-404.

45. E. E. Lakin, et al., "Thermal deformation of single La3Ga5Si014 crystals," Inorg. Mat. 25 (1989) 1043-1044.

46. V. N. Stassevich, Radio and Comm. (1990) 273.

47. A. N. Gotalskaya, et al., "Peculiarities of technology, physical properties and applications of new piezoelectric material Iangasite (La3Ga5Si014)," Proc. 1993 IEEE Int'l Freq. Control Symp. (1993)339-347.

48. A. N. Gotalskaya, et al., "Langasite crystal quality improvement aimed at high-q resonators fabrication," Proc. 1995 IEEE Int'l Freq. Control Symp. (1995) 657-666.

49. A. A. Kaminskii, et al., "Investigation of trigonal (Lai.xNdx)3Ga5Si014 crystals I. growth and optical properties," Phys. Stat. Sol. A 80 (1983) 387-398.

50. G. G. Khodzhabagyan and B.V. Mill, "The La203-Ga203-SiO2, Nd203-Ga203-SiO2, and La203-Ga203-Nb205 systems," Russ. J. Inorg. Chem. 32 (1987) 246-249.

51. S. A. Sakharov, et al., "Application of langasite crystals in monolithic filters operating in shear modes," Proc. 1992 IEEE Freq. Control Symp. (1992) 713-723.

52. J. Schwartzel, et al., "Comparison of the properties of the new thermally compensated materials with trigonal symmetry," Proc. 8th Eur. Freq. and Time Forum (1994).

53. A. Bungo, et al., "Experimental and theoretical analysis of SAW properties of the langasite substrate with Euler angle (0°,10°,cp)," Proc. 1999 IEEE Ultrason. Symp. (1999).

54. I. M. Silvestrova, et al., "Temperature dependences of the elastic properties of La3Ga5SiOH

single crystals," Sov. Phys. - Sol. State 28 (1986) 1613-1614.

55. A. B. Ilyaev, et al., "Temperature dependence of electromechanical properties of LGS crystals," Phys. Stat. So. A 98 (1986) K109-K114.

56. E. G. Bronnikova, et al., Radiodetails and Components 63 (1986).

190

Page 203: Advances in Surface Acoustic Wave Technology, Systems

New Piezoelectric Substrates for SAW Devices 1057

57. S. A. Sakharov, et al., "New data on temperature stability and acoustical losses of langasite crystals," Proc. 1995 IEEE Int'l Freq. Control Symp. (1995) 647-652.

58. A. Bungo, et al., "Analysis of surface acoustic wave properties of the rotated Y-cut langasite substrate," Jap. J. Appl. Phys. 38 (1999) 3239-3243.

59. M. F. Dubovik, et al., "Langasite (La3Ga5SiO]4), an optical piezoelectric: growth and properties," Proc. 1994 IEEE Int'l Freq. Control Symp. (1994) 43-47.

60. A. A. Kaminskii, et al., "New inorganic material with a high concentration of Nd3+ ions for obtaining stimulated emission at the 4F3/2 -> 4In/2 and 4F3/2 _>

4I13/2 transitions," Inorg. Mat. 18 (1982) 1189-1191.

61. M. F. Dubovik, et al., "Optical quality and structural perfection in La3Ga5SiOM single crystals grown by Czochralski's method," Inorg. Mat. 24 (1988) 434-436.

62. M. F. Dubovik, et al., "The nature of langasite crystal's coloration," Proc. 1995 IEEE Int'l Freq. Control Symp. (1995) 638-641.

63. O. A. Buzanov, et al., "A new approach to the growth of langasite crystals," Proc. 1996 IEEE Int'l Freq. Control Symp. (1996) 131-136.

64. A. S. Manea, et al., "Influence of the melt growth configuration on the structural properties of langasite crystals," Ann. Chim. Sci. Mat. 22 (1997) 735-738.

65. B. Chai, et al., "Growth and evaluation of large size LGS (La3Ga5SiO|4), LGN (La3Ga55Nbo5014) & LGT (La3Ga55Tao50H) single crystals," Proc. 1998 IEEE Int'l Freq. Control Symp. (1998)748-760.

66. B. Chai, et al., "Growth of high quality single domain crystals of langasite family of compounds," Proc. Joint Meeting 1999 IEEE Int'l Freq. Control Symp. and 13th Eur. Freq. and Time Forum (1999) 821-828.

67. B. V. Mill, et al., "Synthesis, growth and some properties of single crystals with the Ca3Ga2Ge40,4 structure," Proc. Joint Meeting 1999 IEEE Int'l Freq. Control Symp. and 13th Eur. Freq. and Time Forum (1999) 829-834.

68. M. F. Dubovik, et al., "Investigation of phase forming at synthesis of langasite-piezoelectric," Proc. Joint Meeting 1999 IEEE Int'l Freq. Control Symp. and 13th Eur. Freq. and Time Forum (1999)839-842.

69. I. M. Silvestrova, et al., "Present stage of La3Ga5Si014 research," Proc. 1993 IEEE Int'l Freq. Control Symp. (1993) 348-350.

70. V. S. Naumov, et al., "The investigation of the real structure and mechanical stresses in berlinite and langasite crystals," Proc. 1996 IEEE Int'l Freq. Control Symp. (1996) 122-125.

71. M. F. Dubovik, et al., "Influence of thermal treatment and radiation on some electrophysical parameters of langasite crystals," Proc. 1996 IEEE Int'l Freq. Control Symp. (1996) 84-89.

72. A. V. Butashin, et al., "Structural features of La3Ga5Ge014 crystal revealed in the spectra of fundamental optical phonons," Sov. Phys. - Cryst. 34 (1989) 220-223.

191

Page 204: Advances in Surface Acoustic Wave Technology, Systems

1058 J. A. Kosinski

73. S. A. Sakharov, et al., "Surface and volume defects in langasite crystals," Proc. 1995 IEEE Int'l Freq. Control Symp. (1995) 642-646.

74. A. Zarka, et al., "New results on high perfection langasite crystals: studies of crystalline defects and modes shapes," Proc. 1995 IEEE Int'l Freq. Control Symp. (1995) 629-637.

75. V. S. Naumov, et al., "Stress-induced optical activity in piezoelectric crystals and internal stresses method of control in quartz, langasite, lithium niobate crystals," Proc. 1994 IEEE Int'l Freq. Control Symp. (1994) 40-42.

76. V. B. Grouzinenko and V. V. Bezdelkin, "Piezoelectric resonators from La3Ga5SiO]4

(Langasite) - single crystals," Proc. 1992 IEEE Freq. Control Symp. (1992) 707-712.

77. R. C. Smythe, "Material and resonator properties of langasite and langatate: a progress report," Proc. 1998 IEEE Int'l Freq. Control Symp. (1998) 761-765.

78. S. Laffey, et al., "Polishing and etching langasite crystals," Proc. 1994 IEEE Int'l Freq. Control Symp. (1994)245-250.

79. A. M. Aronova, et al., "Strength and plasticity of single crystals of La3Ga5Si014," Sov. Phys. -Cryst. 35(1990)550-552.

80. A. A. Kaminskii, et al., "Investigation of trigonal (Lai_xNdx)3Ga5SiOi4 crystals II. spectral laser and electromechanical properties," Phys. Stat. Sol. A 80 (1983) 607-620.

81. I. M. Silvestrova, et al., "Acoustical and electromechanical properties of piezoelectric crystals with a trigonal Ca-gallogermanate structure," Sov. Phys. -Dok. 30 (1985) 402-403.

82. I. M. Silvestrova, et al., "New piezoelectric materials," Proc. 1993 IEEE Int'l Freq. Control Symp. (1983)351-352.

83. K. S. Aleksandrov, et al., "Non-linear piezoelectricity in La3Ga5SiOi4 piezoelectric single crystal," Ferroelec. Lett. 14 (1992) 115-125.

84. B. P. Sorokin, et al., "Influence of static electric field, mechanical pressure and temperature on the propagation of acoustic waves in La3Ga5SiOi4 piezoelectric single crystals," Proc. 1996 IEEE Int'l Freq. Control Symp. (1996) 161-169.

85. R. Taziev, "Langasite: what temperature coefficients of material constants are correct?" Proc. Joint Meeting 1999 IEEE Int'l Freq. Control Symp. and 13th Eur. Freq. and Time Forum (1999) 835-838.

86. N. F. Naumenko and L. P. Solie, "Optimal cut of langasite for high performance SAW devices," Proc. 1999 IEEE Ultrason. Symp. (1999).

87. G. D. Mansfeld, "Measurements of acoustic wave attenuation in La3Ga5Si014 using HBAR technique," Proc. 1994 IEEE Int'l Freq. Control Symp. (1994) 35-39.

88. G. D. Mansfeld, et al., "Absorption of acoustic waves in langasite single crystals," Russ. Ultrason. 25(1995)324-332.

89. I. B. Yakovkin, et al. "Numerical and experimental investigation of SAW in langasite," Proc. IEEE Ultrason. Symp. (1995) 389-392.

192

Page 205: Advances in Surface Acoustic Wave Technology, Systems

New Piezoelectric Substrates for SAW Devices 1059

90. E. H. Briot, et al., "Comparison between theoretical and experimental properties of SAW on Z-cut of langasite," Proc. 12th Eur. Freq. and Time Forum (1998) 193-197.

91. E. H. Briot, et al., "Experimental measurements of velocities and temperature effects for SAW on Y-rotated and X-cuts of lLangasite," Proc. Joint Meeting 1999 IEEE Int'l Freq. Control Symp. and 13th Eur. Freq. and Time Forum (1999) 883-886.

92. K. Inoue and K. Sato, "Temperature stability of SAW on langasite single crystals," Proc. 1998 IEEE Ultrason. Symp. (1998) 301-306.

93. M. Kadota, et al., "Surface acoustic wave properties on various rotated Y-cut langasite crystal substrates grown by Czochralski method," Proc. 1998 IEEE Ultrason. Symp. (1998) 311-314.

94. I. M. Silvestrova, et al., "Elastic, piezoelectric, and dielectric properties of La3Ga5 5Nb0 501 4

crystals," Sov. Phys. Sol. State 29 (1987) 870-871.

95. Yu. V. Pisarevsky, et al., "New strong piezoelectric La3Ga55Nb(,5014 with temperature compensation cuts," Proc. 1995 IEEE Int'l Freq. Control Symp. (1995) 653-656.

96. Yu. V. Pisarevsky, et al., "Elastic, piezoelectric, dielectric properties of La3Ga5 5Tao sOM single crystals," Proc. 1998 IEEE Int'l Freq. Control Symp. (1998) 742-747.

97. R. C. Smythe, et al., "Langasite, langanite, and langatate resonators: recent results," Proc. Joint Meeting 1999 IEEE Int'l Freq. Control Symp. and 13lh Eur. Freq. and Time Forum (1999) 816-820.

98. J. Detaint, et al., "Properties of the plane and plano-convexe resonators using berlinite, gallium phosphate and langasite," Proc. 1994 Ultrason. Symp. (1994) 1051-1056.

99. H. Satoh and A. Mori, "Surface acoustic wave propagation characteristics on a langasite crystal plate," Jap. J. Appl. Phys. 36 (1997) 3071-3073.

100. G. D. Mansfeld, "Langasite as a material for piezoelectric devices," Proc. 12th Eur. Freq. and Time Forum (1998) 61-65.

101. J. L. Jambor, et al., "New mineral names," Am. Mineralogist 73 (1988) 927-935.

102. D. London, et al., "Diomignite: natural Li2B407 from the Tanco pegmatitie, Bernic Lake, Manitoba," Can. Mineralogist 25 (1987) 173-180.

103. J. Krogh-Moe, "The crystal structure of lithium diborate, Li2O2B203," Acta Cryst. 15 (1962) 190-193.

104. N. M. Shorrocks, et al., "Lithium tetraborate - a new temperature compensated piezoelectric substrate material for surface acoustic wave devices," Proc. 1981 Ultrason. Symp. (1981) 337-340.

105. J. Krogh-Moe, "Refinement of the crystal structure of lithium diborate, Li202B203," Acta Cryst. B24( 1968) 179-181.

106. S. F. Radaev, et al., "Atomic structure and electron density of lithium tetraborate Li2B407," Sov. Phys. - Cryst. 34 (1989) 842-846.

107. M. Natarajan, et al., "Dilithium tetraborate, Li2B407," Cryst. Struc. Comm. 8 (1979) 367-370.

193

Page 206: Advances in Surface Acoustic Wave Technology, Systems

1060 J. A. Kosinski

108. K. Fukuta, et al., "Growth and properties of Li2B407 single crystal for SAW device applications," Jap. J. Appl. Phys. 22 (1983) 140-142.

109. M. Adachi, et al., "Temperature compensated piezoelectric lithium tetraborate crystal for high frequency surface acoustic wave and bulk wave device applications," Proc. 1985 Ultrason. Symp. (1985)228-232.

110. A. S. Bhalla, et al., "Pyroelectric and piezoelectric properties of lithium tetraborate single crystal," Jap. J. Appl. Phys. 24 (1985) 727-729.

111. V. V. Zaretskii and Ya. V. Burak, "New incommensurate Li2B407 crystal," Sov. Phys. Sol. State 31 (1989) 960-963.

112. H. A. A. Sidek, et al., "The pressure and temperature dependences of the elastic behavior of lithium tetraborate," J. Phys. Chem. Sol. 51 (1990) 457-465.

113. T. Lukasiewicz and A. Majchrowski, "Czochralski growth of lithium tetraborate single crystals," Mat. Lett. 11 (1991) 281-283.

114. T. Lukasiewicz and A. Majchrowski, "Czochralski growth of Li2B407 single crystals," Acta Phys. Hungarica 70 (1991) 189-190.

115. K. Byrappa and K. V. K. Shekar, "hydrothermal synthesis and characterization of piezoelectric lithium tetraborate, Li2B407, crystals," J. Mater. Chem. 2 (1992) 13-18.

116. R. M. Catchings, Howard University, unpublished, 1992.

117. V. Zaretskii and R. Melzer, "An X-ray investigation on incommensurate crystal Li2B407," Ferroelec. 125 (1992) 221-225.

118. M. F. Dubovik, et al., "Li2B407 crystals doped with Eu and Cu: electrophysical properties and structure," Proc. 1998 IEEE Int'l Freq. Control Symp. (1998) 766-769.

119. M. Adachi, et al., "Crystal growth of lithium tetraborate (Li2B407)," Jap. J. Appl. Phys. 24 (1985)72-75.

120. L. Bohaty, et al., "Electrooptical coefficients and temperature and pressure derivatives of the elastic constants of tetragonal Li2B407," Cryst. Res. Tech. 24 (1989) 1159-1163.

121. A. Aliev, et al., "Absorption of ultrasound in ionic conductor Li2B407," Sov. Phys. Sol. State 32(1990)1641-1642.

122. A. E. Aliev, et al., "Peculiarities of ultrasonic propagation in piezoactive superionic conductors," Solid State Ionics, Diffusion and Reactions 46 (1991) 197-203.

123. J. A. Kosinski, et al., "Pure-mode measurements of dilithium tetraborate material properties," Proc. 1993 IEEE Int'l Freq. Control Symp. (1993) 359-370.

124. C. D. J. Emin and J. F. Werner, "The bulk acoustic wave properties of lithium tetraborate," Proc. 37th Ann. Freq. Control Symp. (1983) 136-143.

125. T. Shiosaki, et al., "Elastic, piezoelectric, acousto-optic and electro-optic properties of Li2B407," Proc. 5th Symp. Ultrason. Electron. (1984) 5-8.

194

Page 207: Advances in Surface Acoustic Wave Technology, Systems

New Piezoelectric Substrates for SAW Devices 1061

126. K. Ya. Borman and Ya. V. Burak, "Characteristics of the thermal expansion of Li2B407," Inorg. Mat. 26 (1990) 372-373.

127. B. S. R. Sastry and F. A. Hummel, "Studies in lithium oxide systems: I, Li2OB203-B203," J. Am. Ceram. Soc. 41 (1958) 7-17.

128. B. S. R. Sastry and F. A. Hummel, "Studies in lithium oxide systems: V, Li20-Li2OB203," J. Am. Ceram. Soc. 42 (1959) 218.

129. K. Byrappa and K. V. K. Shekar, "Phases and crystallization in the system Li20-B203-H20 under hydrothermal conditions," J. Mat. Res. 8 (1993) 864-870.

130. S. R. Nagel, et al., "Crystal growth of Li2B407," J. Am. Ceram. Soc. 60 (1977) 172-173.

131. D. S. Robertson and I. M. Young, "The growth and growth mechanism of lithium tetraborate," J. Mat. Sci. 17 (1982) 1729-1738.

132. T. P. Balakireva, et al., "Czochralski growth of lithium tetraborate single crystals," Inorg. Mat. 25 (1989) 462-464.

133. T. Lukasiewicz, et al., "Czochralski growth of Li2B407 single crystals," Proc. Piezo '94 (1994) 47-48.

134. T. Shiosaki, et al., "Elastic, piezoelectric, acousto-optic and electro-optic properties of Li2B407," Jap. J. Appl. Phys. 24 (1985) 25-27.

135. S. Matsumura, et al, "A 45°X-Cut Li2B407 single crystal substrate for SAW resonators," Proc. 1987 IEEE Ultrason. Symp. (1987) 247-250.

136. M. Adachi, et al., "Crystal growth of Li2B407 and its leaky SAW properties," Jap. J. Appl. Phys. 28(1989)111-113.

137. J. Gualtieri, et al., "Dilithium tetraborate (Li2B407) fabrication technology," Proc. 1992 IEEE Freq. Control Symp. (1992) 724-731.

138. J. D. Garrett, et al., "The Czochralski growth of LiB02 and Li2B407," J. Cryst. Growth 41 (1977)225-227.

139. R. Komatsu, et al., "The growth and characterization of lithium tetraborate single crystal," Ferroelec. 91 (1989) 103-107.

140. K. V. Shestapolov, et al., "Lithium tetraborate as a promising material for BAW filters," Proc. 1994 IEEE Int'l Freq. Control Symp. (1994) 301-307.

141. O. T. Antonyak, et al., "Luminescence of Li2B407 crystals," Opt. Spectr. 61 (1986) 550-553.

142. B. Lewis, et al., "An assessment of lithium tetraborate for SAW applications," Proc. 1982 IEEE Ultrason. Symp. (1982) 389-393.

143. V. V. Zaretskii and Ya. V. Burak, "Phase transitions stimulated by thermal cycling," JETP Lett. 49(1989)229-233.

144. S. Furusawa, et al., "Raman scattering study of lithium diborate (Li2B407) single crystal," J. Phys. Soc. Jap. 59 (1990) 1825-1830.

195

Page 208: Advances in Surface Acoustic Wave Technology, Systems

1062 J. A. Kosinski

145. J. Liebertz, "Crystal growth from melts of high viscosity," Progress in Crystal Growth and Characterization 6 (1983) 361-369.

146. T. Shiosaki, et al., "Growth and properties of piezoelectric lithium tetraborate crystal for BAW and SAW devices," Proc. IEEE Int'l Symp. Appl. Ferroelec. (1986) 455-464.

147. R. Komatsu, et al., "Growth of crack-free 3-inch diameter lithium tetraborate single crystals by Czochralski method," Proc. 1994 IEEE Int'l Freq. Control Symp. (1994) 72-77.

148. P. Yang, et al., "Synchrotron radiation topographic study on the dislocations in lithium tetraborate crystal," Chinese Phys. Lett. 8 (1991) 78-81.

149. S. Fan, et al., "Bridgman growth of Li2B407 crystals," J. Cryst. Growth 99 (1990) 811-814.

150. S. Fan, et al., "Core-free growth of three inch diameter Li2B407 crystal for SAW devices," Cryst. Prop. Prep. 36-38 (1991) 46-51.

151. T. Katsumata, et al., "Moisture contents of Li2B407 glass and single crystals," J. Cryst.Growth 121(1992)737-742.

152. T. Katsumata, et al., "Non-wetting container material for growing lithium and barium borate crystals," J. Cryst. Growth 125 (1992) 270-280.

153. T. Katsumata, et al., "Growth and characterization of Li2B407 single crystals grown by novel Bridgman technique using a graphite container," J. Cryst. Growth 128 (1993) 924-928.

154. S. Fan, "Properties, production and application of new piezoelectric crystal lithium tetraborate Li2B407", Proc 1993 IEEE Int'l Freq. Control Symp. (1993) 353-358.

155. Y. Xu and S. Fan, "Accelerated crucible rotation technique: Bridgman growth of Li2B407

single crystal and simulation of the flows in the crucible," J. Cryst. Growth 133 (1993) 95-100.

156. S. Fan, et al., "Defects in the Bridgman grown lothium tetraborate of three-inch diameter," Proc. 1994 IEEE Int'l Freq. Control Symp. (1994) 78-84.

157. S. Fan, et al., "Bridgman growth of Li2B407 crystal of 4-inch diameter," Proc. 1995 IEEE Int'l Freq. Control Symp. (1995) 667-670.

158. S. Fan, et al., "Growth and properties of 0 3 " <011> LBO crystal for leaky SAW devices," Proc. 1996 IEEE Int'l Freq. Control Symp. (1996) 118-121.

159. S. Fan, et al. "A typical defect in the Bridgman-grown LBO crystals," Proc. 1997 IEEE Int'l Freq. Control Symp. (1997) 536-539.

160. K. Byrappa and K. V. K. Shekar, "Hydrothermal synthesis and morphology of lithium tetraborate crystals," Mat. Res. Bull. 28 (1993) 709-718.

161. A. A. Sehery and D. J. Somerford, "Low-temperature elastic anomalies in lithium tetraborate," J. Phys.: Cond. Mat. 1 (1989) 2279-2281.

162. I. M. Sil'vestrova, et al., "Anomalies of the temperature dependences of the elastic properties of lithium tetraborate under thermal cycling conditions," Sov. Phys. Sol. State 31 (1989) 1836-1837.

196

Page 209: Advances in Surface Acoustic Wave Technology, Systems

New Piezoelectric Substrates for SAW Devices 1063

163. V. N. Anisimova, et al., "Memory of elasticity in an Li2B407 crystal: effect of incommensurate modulation?" Sov. Phys. Sol. State 32 (1990) 1253-1254.

164. G. L. Paul and W. Taylor, "Raman spectrum of Li2B407," J Phys. C: Sol. State Phys. 15 (1982) 1753-1764.

165. Ya. V. Burak, et al., "Energy band structure and characteristics of chemical bonds in Li2B407

crystals," Sov. Phys. Sol. State 31 (1989) 1634-1636.

166. Ya. V. Burak, et al., "Optical functions of Li2B407 crystals," Opt. Spec. 69 (1990) 702-703.

167. A. E. Aliev and R. R. Valetov, "Acoustic emission from ionic conductor Li2B407," Sov. Phys. Sol. State 34 (1992) 1639-1641.

168. N. P. Tekhanovich and A. U. Sheleg, "Heat capacity oscillations of lithium borate Li2B407

crystals," Fiz. Tver. Tela 33 (1991) 1900-1903.

169. H. Abe, et al., "Lithium tetraborate (Li2B407) SAW resonators," Proc. 1987 IEEE Ultrason. Symp. (1987)91-94.

170. R. W. Whatmore and I. M. Young, "Application of lithium tetraborate to electronic devices," U.S. Patent 4,634,913, issued January 6, 1987.

171. S. Ichikawa, et al., "Small-sized 71 MHz GSM-IF filter using SAW resonator on Li2B407

substrate," Proc. 1998 IEE Ultrason. Symp. (1998) p. 43.

172. Wang Jinfen, Zhang Lei, Qin Zikai, Wang Hong, He Dazhen, and Hu Shaoqin, "Measurements of Electro-elastic Constants of Lithium Tetraborate (Li2B407) Crystal," Acta Acustica, Vol. 15, No. 6, November 1990, pp. 425-431.

173. R. W. Whatmore and I. M. Young, "Application of Lithium Tetraborate to SAW Devices," U.S. Patent 4,523,119, issued June 11, 1985.

174. T. Uno, "Fabrication of Li2B407 piezoelectric thin films," Jap. J. Appl. Phys. 27 (1988) 120-122.

175. W. Soluch, "Properties of Bleustein-Gulyaev waves in Li2B407 crystal," Proc. 1993 IEEE Ultrason. Symp. (1993) 237-241.

176. W. Soluch, "Measurements of Bleustein-Gulyaev waves in Li2B407 crystal," IEEE Trans. Ultrason., Ferroelec, Freq. Control 42 (1995) 967-969.

177. G. S. Weaver, et al., "Temperature coefficients of selected material constants of dilithium tetraborate (Li2B407)," Proc. 1995 IEEE Ultrason. Symp. (1985) 645-648.

178. J. A. Kosinski, et al., Pure-mode measuremsnts of Li2B407 material properties," IEEE Trans. Ultrason., Ferroelec, Freq. Control 41 (1994) 473-478.

179. J. A. Kosinski, "Pure-mode loci for dilithium tetraborate piezoelectric resonators and transducers," Ph.D. dissertation, Rutgers University (1993) 208 pp.

180. W. Soluch, "Application of synchronous two port resonators for measurements of SAW parameters in piezoelectric crystals," Proc. 1997 IEEE IntT Freq. Control Symp. (1997) 827-830.

197

Page 210: Advances in Surface Acoustic Wave Technology, Systems

1064 J. A. Kosinski

181. K. Bhattacharjee, private communication (1995).

182. Y. Ebata, et al., "SAW propagation characteristics on Li2B407, "Jap. J. Appl. Phys. 22 (1983) 160-162.

183. J. A. Kosinski, et al., "Measured properties of doubly rotated dilithium tetraborate (Li2B407) resonators and transducers," IEEE Trans. Ultrason., Ferroelec., Freq. Control 40 (1993) 154-161.

184. A. Ballato, et al., "Lithium tetraborate transducers," IEEE Trans. Ultrason., Ferroelec, Freq. Control 38 (1991) 62-66.

185. R. C. Peach, et al., "High coupling piezoelectric resonators using lithium tetraborate," Proc. 1983 IEEE Ultrason. Symp. (1983) 521-526.

186. Y. Fujiwara, et al., "Strip type resonator of lithium tetraborate," Proc. 39th Ann. Freq. Control Symp. (1985)351-355.

187. M. Takeuchi, et al., "Low-loss SAW filters on NSPUDT orientations of a Li2B407 substrate," Proc. 1996 IEEE Int'l Freq. Control Symp. (1996) 266-272.

188. R. W. Whatmore, et al., "Lithium tetraborate: a new temperature-compensated SAW substrate material," Elec. Lett. 17 (1981) 11-12.

189. W. S. Ishak, et al., "Acoustic modes in lithium tetraborate," Proc. 1987 IEEE Ultrason. Symp. (1987)241-245.

190. Y. Ebata and M. Koshino, "SAW resonator and resonator filter on Li2B407 substrate," Jap. J. Appl. Phys. 26 (1987) 123-125.

191. M. Murota and Y. Shimizu, "Characteristic of leaky surface waves propagating on Li2B407

substrate with a thin aluminum plate," Jap. J. Appl. Phys. 29 (1990) 119-121.

192. M. Adachi, et al., "Leaky SAW propagation properties on Li2B407 substrates," Proc. 1994 IEEE Int'l Freq. Control Symp. (1994) 296-300.

193. M. Murota and Y. Shimizu, "Characteristics of leaky surface wave propagating on Li2B407

substrate," Jap. J. Appl. Phys. 28 (1989) 120-122.

194. N. F. Naumenko, "Leaky surface acoustic waves with quasilongitudinal polarization in the crystal of lithium tetraborate," Sov. Phys. Cryst. 37 (1992) 520-522.

195. M. P. da Cunha and E. L. Adler, "High velocity pseudo surface waves (HVPSAW)," Proc. 1994 IEEE Int'l Ultrason. Symp. (1994) 281-286.

196. T. Sato and H. Abe, "Propagation properties of longitudinal leaky surface waves on lithium tetraborate," Proc. 1994 IEEE Int'l Ultrason. Symp. (1994) 287-292.

197. Y. Ebata, et al., "Temperature compensated surface acoustic wave device," U.S. Patent 4,489,250, issued December 18, 1984.

198. S. Ichikawa, et al., "A low loss CDMA-IF filter based on RSPUDT on LBO substrate," Proc. 1999 IEEE Int'l Ultrason. Symp. (1999).

198

Page 211: Advances in Surface Acoustic Wave Technology, Systems

New Piezoelectric Substrates for SA W Devices 1065

199. H. Suzuki, et al., "Surface acoustic wave device," U.S. Patent 4,672,255, issued June 9, 1987.

Al. A. A. Kaminskii, etal., "Crystal structure and stimulated emission of La3Ga5 5Nbo.50i4-Nd3+," Inorg. Mat. 20 (1984) 1793-1796.

A2. P. A. Senjushenkov, et al., "Temperature characteristics of langanite bulk wave vibrations," in Proc. 1996 IEEE Int'l Freq. Control Symp. (1996) 137-140.

Bl. S. K. Frederick, et al., "Swept frequency acoustic time domain measurements," Proc. Joint Meeting 1999 IEEE Int'l Freq. Control Symp. and 13th Eur. Freq. and Time Forum (1999).

Appendix A - Langanite Material Properties

Table Al. La3Ga5.5Nbo.5O14 Critical Temperatures.

Transition Point (°C)

— none

— —

Melting Point (°C) 1430±20 1470 1450 1510

Reference 50 A2 67 66

Table A2. La3Ga5.5Nbo.5O14 Lattice Constants.

a (A) 8.218±0.005 8.235+0.005

c(A) 5.122±0.005 5.129+0.002

T„(°C) 20

Reference 41 Al

Table A3. La3Ga5.5Nbo.5O14 Mass Density.

Mass Density (kg/m') 5934 5934.7+13 5903

Method x-ray x-ray measured

ToCQ 20 20

Reference 41 this work 50

Table A4. LasGas sNb0 5Oi4 Thermal Expansion.

aj1/ (ppm/°C)

4.32

(X33 (ppm/°C)

3.09

T„(°C)

20

Reference

95

Table A5. La3Ga55Nbo5Oi4 Relative Dielectric Permittivities at Constant Traction.

8 T £11 20.3 20.2

C33 79.3 79.4

Frequency

1 kHz 1 kHz

T„(°C)

20 20

Reference

94 95

199

Page 212: Advances in Surface Acoustic Wave Technology, Systems

1066 J. A. Kosinski

Table A5(a). First Order Temperature Coefficients of I^GassNbosOu Dielectric Permittivities at Constant Traction (ppm/°C).

E l l

30

E33 -1500

T0(°C)

20

Reference

95

Table A5(b). Second Order Temperature Coefficients of La3Ga5.5Nbo.5O14 Dielectric Permittivities at Constant Traction (ppb/°C2).

s l l 180

E33 2360

T„(°C)

20

Reference

95

Table A6. La3Ga5.5Nbo.5O14 Relative Dielectric Permittivities at Constant Strain.

*Sn 19.53 19.57

E33

79.3 79.4

T„(°C)

20 20

Reference

this work using 94 this work using 95

Table A7. La3Ga5.5Nbo.5O14 Piezoelectric Constants (C/m2).

eil -0.467 -0.44

e 1 4

0.12 0.05

T0(°C)

20 20

Reference

94 95

Table A7(a). First Order Temperature Coefficients of La3Ga5.5Nbo.5O14 Piezoelectric Constants (ppm/°C).

eil 750

e n

39500

T„(°C)

20

Reference

95

Table A7(b). Second Order Temperature Coefficients of La3Ga5.5Nbo.5O14 Piezoelectric Constants (ppb/°C2).

eil -4500

ei4 -83900

ToCC)

20

Reference

95

Table A8. La3Ga5.5Nbo.5Ou Elastic Constants (GPa).

<fl 188.8 190.7

<& 108.6 109.3

°?3 102.3 98.2

ClE4

10.99 13.5

C33

259.0 260.5

c44 48.57 50.4

& 40.0 40.7

T„(°C)

20 20

Reference

94 95

200

Page 213: Advances in Surface Acoustic Wave Technology, Systems

New Piezoelectric Substrates for SA W Devices 1067

Table A8(a). First Order Temperature Coefficients of La3Ga5.5Nbo.5O14 Elastic Constants (ppm/°C).

'11 -77

«£ -112

4 -84

<f4 -502

CE C33

-108

C44

-50 4 -29

To(0C)

20

Reference

95

Table A8(b). Second Order Temperature Coefficients of La3Ga5.5Nbo.5Ou Elastic Constants (ppb/°C2).

<1E1

41 «f2 6.4

c E c13 348

«£ -850

CE C33 -22

c44 -942

4 885

T„(°C)

20

Reference

95

Appendix B - Langatate Material Properties

Table Bl. La3Ga5.5Tao.5O14 Critical Temperatures.

Transition Point (°C)

— — .... —

Melting Point (°C) 1470+20 -1500 1470 1510

Reference 50 96 67 66

Table B2. La3Ga5.5Tao.5O14 Lattice Constants. a (A) 8.225+0.005 8.151

c(A) 5.123±0.005 5.105

T„(°C) 20

Reference 41 50

Table B3. La3Ga55Tao5Oi4 Mass Density.

Mass Density (kg/mJ) 6164 6150 6167.0±13.5

Method x-ray x-ray x-ray

T„(°C) 20 20 20

Reference 41 Bl this work

Table B4. La3Ga5.5Tao.5O14 Relative Dielectric Permittivities at Constant Traction.

E T E l l 18.5

E T

E33 60.9

Frequency

1 kHz

T„(°C)

20

Reference

96

Table B5. La3Ga5.5Tao.5O14 Relative Dielectric Permittivities at Constant Strain.

«?1 17.53

E33 60.9

T„(°C)

20

Reference

this work using 96

201

Page 214: Advances in Surface Acoustic Wave Technology, Systems

1068 J. A. Kosinski

Table B6. La3Ga5.5Tao 50u Piezoelectric Constants (C/m2).

eil -0.54

e 1 4

0.07

T„(°C)

20

Reference

96

Table B7. La3Ga5 sTaosOu Elastic Constants (GPa).

«f. 189.4 191.2

<& 108.4 111.22

«£ 132.0 108.5

<£ 13.7 13.5

c33 262.9 267.6

c44 51.25 51.52

4e 40.52 39.99

T„(°C)

20 20

Reference

96 Bl

Page 215: Advances in Surface Acoustic Wave Technology, Systems

International Journal of High Speed Electronics and Systems, Vol. 10, No. 4 (2000) 1069-1109 © World Scientific Publishing Company

PSEUDO AND HIGH VELOCITY PSEUDO SAWs

MAURICIO PEREIRA DA CUNHA Dept. of Electronic Systems Eng., Escola Politecnica da Universidade de Sao Paulo,

Av. Prof. Luciano Gualberto, Trav.3, n3. 158, 05508-900, Sao Paulo, Brazil

This article discusses the characteristics of pseudo surface waves (PSAWs) and high velocity pseudo surface waves (HVPSAWs). The fundamental properties of these waves, the matrix method formulation, the different solution types due to crystal symmetry, early experiments on HVPSAWs, and practical applications of pseudo surface acoustic waves serve as an introduction. The solutions to the pseudo modes are discussed by analyzing the boundary condition function for several orientations. The relation between the radiating partial modes and the sagittal plane bulk slowness reveals new characteristics of the different symmetry types of HVPSAW, and helps classify and understand the pseudo modes. The acoustoelectric Poynting vector is used along different crystal symmetry orientations to reveal and discuss the pseudo SAW characteristics: penetration depth, declination of the power vector, and an estimation of power flow angle. Experimental data and numerical solutions of HVPSAW and PSAW along selected planes and orientations are discussed. This article concludes with a brief analysis of layered structures on different symmetry type substrates and a discussion of the layered pseudo surface wave properties.

1. Introduction

This article discusses pseudo surface acoustic waves or pseudo SAWs, also known as "leaky SAWs" or "leaky waves." Particular attention is given to the high velocity pseudo surface acoustic wave, or HVPSAW.

The work is divided into four major sections. Section 1 is an introduction, addressing the basic concepts of the pseudo SAWs, the different solution types, early experiments on HVPSAW, and current pseudo SAW applications. Section 2 discusses pseudo SAW fundamentals. The basic equations used in the matrix method formalism are revisited and the solution to the propagation problem focuses on pseudo SAWs. The classification of the orientation symmetries is highlighted as fundamental in understanding pseudo SAW behavior and properties.

Section 3 discusses the pseudo SAW solutions. Starting with an analysis of the boundary condition function for different boundary conditions, the section proceeds with a discussion of the Poynting vector. Important pseudo SAW characteristics for practical applications are described, and the concepts of penetration depth, declination of the Poynting vector, and estimated power flow angle are reviewed. The relationship between the radiating partial modes, RPM, responsible for the power radiation into the substrate, and the bulk slowness is addressed. Pseudo SAW solutions in the plane and along specific orientations of practical interest conclude this section.

Solutions in layered structures, their importance and characteristics for the pseudo SAWs, are the focus of Section 4. The relevance of the layer thickness and material in practical device applications is discussed, and properties of the pseudo SAW solutions in layered structures are analyzed. Section 5 concludes this work.

203

Page 216: Advances in Surface Acoustic Wave Technology, Systems

1070 M. P. da Cunha

1.1. Basic Concepts

The HVPSAW and the pseudo SAW, PSAW, first discussed by Engan et al and by Lim and Farnell, share the same fundamental propagation characteristics.1'2 Both the HVPSAW and the PSAW are pseudo surface waves in the sense diat they are a form of coupled modes that can exist on anisotropic free surfaces and consist of a linear combination of phase matched decaying and radiating partial modes that together satisfy the mechanically free surface boundary conditions. The decaying partial modes, DPM, are the components that decay with depth, whereas the radiating partial modes, RPM, are the components that radiate power into the substrate, resulting in an attenuation of the field amplitudes as the wave propagates. This attenuation is only due to radiation of power and is not dissipation due to viscosity, an effect that is neglected in this article. When the contribution of the radiating term(s) is(are) sufficiently small, the pseudo modes are detectable in standard surface acoustic wave devices.

The early experiments and numerical treatments mention that the type of PSAW analyzed has a higher phase velocity than the transverse bulk wave in the direction of propagation.1'2 To account for this property, the authors of references 1 and 2 suggested and verified that a combination of decaying partial modes and a radiating partial mode is required to satisfy the free surface boundary condition.

1.2. Different Solution Types

Although the pseudo SAWs are sometimes called leaky SAWs in the literature, the present work does not use the term "leaky" which is also used to refer to liquid-solid boundary conditions where energy leaks from the solid into the liquid. The present work deals with radiation of power into the semi-infinite solid. To avoid confusion with the leakage of energy into liquids, a topic not treated in this work, the term pseudo SAW, introduced in Ref. 2, is used for both the PSAW and the HVPSAW.

The PSAW is a coupled mode that contains only one radiating partial mode, all others being decaying partial modes. The RPM radiates inside the solid to the slow shear bulk mode in the sagittal plane. Regarding the particle displacement fields, the mechanically free PSAW usually has the shear horizontal component of the particle displacement field as the dominant component. Another characteristic of the mechanically free PSAW is that the value of the phase velocity is usually close to the fast shear bulk wave velocity in the direction of propagation.

The HVPSAW has one or two radiating partial modes, depending on the symmetry of the orientation of propagation discussed in Section 2. If only one RPM is present the HVPSAW radiates inside the solid to the fast shear bulk mode in the sagittal plane. If two RPMs are present the HVPSAW radiates inside the solid to the slow quasi-shear bulk mode and to the fast quasi-shear bulk mode in the sagittal plane.

The particle displacement field for the mechanically free HVPSAW usually has the longitudinal component as the dominant term. The designation high velocity PSAW was originally chosen because the value of the phase velocity for this wave lies close to the longitudinal bulk wave velocity in the direction of propagation.3'4

The term SAW is used here exclusively for the true surface acoustic wave solution, which is strictly guided by the surface.

204

Page 217: Advances in Surface Acoustic Wave Technology, Systems

Pseudo and High Velocity Pseudo SAWs 1071

1.3. Early Experiments on HVPSA W

Like the PSAW, the experimental evidence for the HVPSAW goes back over two decades. Some of the early experiments that detected the HVPSAW classified this wave as: "longitudinal bulk wave," "surface skimming bulk wave," "shallow bulk acoustic wave," or "quasi-bulk acoustic surface wave"; mostly due to the proximity of the phase velocity to the planar longitudinal bulk wave velocity in the direction of propagation.5,6'7,8,9,1° The name "surface skimming bulk wave" has also been used for both PSAW and HVPSAW in early publications.611 Since a bulk acoustic wave, BAW, in a piezoelectric material does not satisfy the mechanically free boundary conditions, the mode experimentally identified could not be a BAW .

The existence of an independent high-velocity pseudo SAW mode was identified by researchers from Brillouin scattering experiments on cubic materials.12 Jhunjhunwala also discussed the pseudo SAWs, grouping them as first or second order pseudo SAWs, according to the number of radiating partial modes.13 As mentioned in 1.2, the HVPSAW might have one or two radiating partial modes, depending on the symmetry of the orientation of propagation. Therefore a classification according to the number of radiating partial modes is not appropriate. Reference 12 estimates the HVPSAW to have high loss for the gallium arsenide, GaAs, orientations discussed in that paper. This is not the case for other materials and orientations as discussed in this paper and in recent ~„Wi;™*;~, .„ 4,14,15,16,17,18,19,20

publications.

1.4. Applications of Pseudo SA Ws

One major reason for the recent interest in pseudo SAWs lies in their higher phase velocities. The PSAW has phase velocities around 40% higher than the SAW, and the HVPSAW, 100% higher than the SAW. For the most common SAW materials and orientations used, the values of SAW phase velocity is around 3.0 to 3.5 Km/s, which limits the frequency of SAW devices to a few GHz. For a minimum dimension in the photolithographic process, which defines the wavelength, ZMN, a higher value of phase velocity, vpMAX, extends the operating frequency limit of the SAW devices, JMAX, hi accordance to vpMAX = XMIN ./MAX -3'21 Harmonic operation of SAW devices has been used in the past to achieve higher frequency of operation. This approach presents difficulties in designing high performance devices, such as: less control in the desired device response, higher losses, higher spurious levels, and greater sensitivity to surface defects. These problems are avoided with the proper orientation selection of a PSAW or HVPSAW device.

Several recent electronic systems require higher operating frequencies, high performance, and low loss devices. Examples of such . systems are: wireless communication equipment, including cellular phones, mobile phones, local area networks, cordless phones, pagers, and global positioning systems (GPS); security systems; and controlling and remote sensing systems.22 The PSAW and the shear horizontal (SH) modes, with vp about 40% higher than the SAW, have been used in recent high frequency applications, as a means of extending the operating frequency of SAW devices.23'24 The HVPSAW devices and applications appeared recently in GPS and

205

Page 218: Advances in Surface Acoustic Wave Technology, Systems

1072 M. P. da Cunha

communication systems, further extending the operating frequency of SAW devices.14'15'17

An interesting characteristic of the low propagation loss pseudo modes for high frequency devices is that the pseudo SAW orientations have a higher fraction of the power carried deeper inside the material, as compared to the SAW mode. This characteristic, named penetration depth in Ref. 3, is addressed in this work. A larger penetration depth means that the wave power is less confined to the surface. This is suitable for high frequency devices, since the pseudo waves, PSAW and HVPSAW, are less sensitive to surface defects than the SAWs. The smaller sensitivity to surface defects translates into lower losses and higher performance devices, provided the selected pseudo SAW orientation has low propagation loss and that damping is negligible.

Still considering high frequency low loss devices, one should mention the potential pseudo SAW applications of metallic layered structure;25'26 the ZnO/diamond/Si, where values of vp above 14 Km/s are found for the HVPSAW;27'28 and the ZnO/SiC, vp HVPSAW I 1 Km/s.29 The film thickness is a critical parameter to achieve low propagation loss for the pseudo SAWs. Materials like diamond and SiC have high stiffness constants, uius higher phase velocity modes, when compared to commonly used SAW orientations on LiNb03, LiTa03, and quartz.

Finally, the pseudo SAWs have application for liquid sensors, due to their particle displacement polarizations. The SAW wave has a large vertical component of particle displacement field, and is generally strongly attenuated by a fluid on the device's surface. The PSAW orientations which are interesting for liquid sensor applications have a large shear horizontal particle displacement, which is not severely attenuated in liquid environments.30 The HVPSAW, with high longitudinal particle displacement, parallel to the surface, is potentially interesting for liquid sensor applications, with low attenuation expected.

2. Fundamentals of Pseudo SAWS

This section discusses the fundamental properties of the pseudo SAW modes. Subsection 2.1 introduces the orientation classification that is important in the wave classifications and in finding the acoustic solutions. Sub-section 2.2 reviews the basic equations used in the matrix method. The types of HVPSAW solutions based on the orientation classification are discussed in Sub-section 2.3. The boundary function characteristics, the complex slowness, and the solution approach are discussed in Subsections 2.4, 2.5, and 2.6, respectively.

2.1. Symmetry Classification: Uncoupling of Modes

Figure 1 shows the type of structure considered in the present work and the coordinate system adopted. The substrate is considered semi infinite, and may have a mechanically free surface, electrically shorted or open, or yet multiple layers on top of its surface. Although only one layer is shown in Fig. 1, the matrix method analysis adopted in this work can handle multiple layers.31 In order to refer to orientations, the commonly used Euler angles are adopted.32 The material constants used are taken from multiple

206

Page 219: Advances in Surface Acoustic Wave Technology, Systems

Pseudo and High Velocity Pseudo SAWs 1073

z | y propagation

hX / ^ layer

substrate

Fig. 1. Structure considered and coordinate system adopted.

An arbitrary orientation of propagation, x axis in Fig. 1, can be classified according to the symmetry type specified by the crystal and the selected orientation.38

This also determines the number of roots, or partial modes, also called partial waves, involved in a surface acoustic wave problem. The classification is fundamental to properly sort out the several types of acoustic waves, thus allowing a better comprehension of the PS AW and the HVPSAW solutions. Table 1 presents the substrate orientation classification, including the associated wave types and the conditions on the elastic stiffness constants (C;J) and the piezoelectric constants (e^) with respect to a propagation direction.3 Recalling that the layer material considered in Fig. 1 may also assume any of the symmetry types enumerated, in the case of a layered problem the type of the solution will be that of the lowest symmetry possible for the problem.

Symmetry type 1, or Sym 1, describes the general acoustic wave case of lowest crystal symmetry. In this situation the material is piezoelectric, and the wave particle motion has components in all three directions ux , uy, and uz . A SAW propagating in this orientation is named Generalized SAW (GSAW), and this orientation is called a GSAW direction. Symmetry type 2, or Sym 2, represents non-piezoelectric materials, where the GSAW problem must be solved. Symmetry type 3, or Sym 3, portrays the situation where the sagittal components decouple from the shear horizontal component. The stiffened sagittal SAW, also referred to as Rayleigh wave, is excited for a mechanically free surface. A pure mechanical shear horizontal wave also exists in a layered situation. An example of this propagation symmetry is the well-known YZ-LiNb03 orientation. Symmetry type 4, or Sym 4, refers to another uncoupled situation, in which the stiffened shear horizontal wave and a pure mechanical sagittal SAW wave, also referred to as Rayleigh wave, are present. This is the case for the 36.3°-Y rotated AT quartz (Euler angles: [0° -54.7° 90°]);12'13 the ST quartz (Euler angles: [0° 132.75° 90°]);13 the Bleustein-Gulyaev wave (CdS Euler angles: [0° 90° 0°]).39 Finally, symmetry type 5 refers to the pure mechanical acoustic waves in non-piezoelectric substrates, where the sagittal-plane displacement components uncouple from the transverse component.

Before relating the PSAW and the HVPSAW to the symmetry classification of Table 1, a review of the matrix method equations is carried out next.

207

Page 220: Advances in Surface Acoustic Wave Technology, Systems

1074 M. P. da Cunha

Table 1. Substrate crystal symmetry types and wave types relative to Fig. 1: c- are the elastic

stiffness constants and e- are the piezoelectric constants for a propagation direction.

SYMMETRY

Type 1 (piezoelectric

substrate) Type 2

(non-piezoel. substrate)

Type 3 (piezoelectric

substrate)

Type 4 (piezoelectric

substrate)

Type 5 (non-piezoel.

substrate)

WAVE TYPES

lowest symmetry; general case.

general elastic anisotropy

(but non-piezoel.)

stiffened sagittal Rayleigh wave and

pure mechanical shear horizontal wave stiffened shear

horizontal wave and pure mechanical Rayleigh wave

pure mechanical acoustic waves

CONDITIONS

eij = o

c14 = c16 = c34 = c36 =

= c45 = c56 = ° e14 = e16 = e34 = e36 = °

c14 = c16 = c34 = c36 =

= c45 = c56 = ° e i i = e , 3 = e 1 5 = e 3 1 =

= e33 = e35 = °

eij = o c14 = c16 = c34 = c 3 6 -

= c45 = c56 = °

2.2. Basic Equations

In this sub-section the major statements in the matrix method formalism applied to SAW propagation problems are summarized.40,41 A comprehensive treatment by Eric L. Adler appears as a companion article. One of the key advantages of the method is that it reduces the acoustoelectric equations to a set of first-order vector-matrix ordinary differential equations of at most size 8, 6 for non-piezoelectrics, in the variables that must be continuous across interfaces. In the layered case, one such matrix exists for each layer, and die interfacial boundary conditions are automatically satisfied by a matrix multiplication that preserves the dimensionality of the problem.

The coordinate system is shown in Fig. 1. Although the method can handle any number of layers, for simplicity only one layer is shown in this figure. The z axis is normal to the layer interfaces. The assumed solution form, for sinusoidal travelling waves along x at a radian frequency co radians per second, is

f(z) exp U(<ot- kx x)J = f(z) exp [ja>(t-—)] (1)

with vp and kx=o^vr representing the phase velocity and propagation constant along x respectively. The vector of normal stresses, Tz, and the particle velocity vector, v, are respectively represented (components in their r.m.s. form) by

208

Page 221: Advances in Surface Acoustic Wave Technology, Systems

Pseudo and High Velocity Pseudo SAWs 1075

T2 = (2)

(3)

These are the six mechanical variables, which must be continuous across interfaces. For piezoelectrics the time derivative of the electric potential (jcofy and the normal electric displacement, Dz, are chosen as the two electric variables which must be continuous. These 6 or 8 variables are a sufficient independent set and all other variables can be expressed in terms of them, using the set of dynamic and constitutive equations of a material.

For problems unbounded normal to the z plane with the propagation direction along x in the xy plane, the problem is two-dimensional, there are no variations in the y direction, hence d/dy=0 and d/dx=-ja/vp. The vector r is defined as the six-component vector for non-piezoelectric materials

For piezoelectric materials the corresponding eight-component vector is defined

(4)

\Tz ] Dz

V

_yco<t>

T„

L^vJ (5)

with T„=[ Tz Dzf and rv=[v jaxfif, and the superscript "t" indicating transpose; r„ and rv

are 4x1 vectors. The differential equation at a> radians/second describing transverse, or z, variations in the sinusoidal steady-state for the material is

— = y© AX dz

(6)

where the system matrix A is a function of the phase velocity vp and of material constants rotated to the coordinate system of Fig. 1. For multilayer problems, (6) applies in each material and r must be continuous at each interface. The matrix A is

A =

T'3 X G-

<T" - r " xr3')

XT 31

(7)

209

Page 222: Advances in Surface Acoustic Wave Technology, Systems

1076 M. P. da Cunha

where the submatrices X and .Tin the case of non-piezoelectric materials are 3x3 containing, respectively, compliance and stiffness constants referred to the coordinate system of Fig. 1; and the submatrix G0=pl3x3, with p the mass density of the material and I3x3 the 3x3 identity matrix. For piezoelectric materials the submatrices X and /"are 4x4 and contain compliance, stiffness, piezoelectric, and permittivity constants; G0 is also 4x4 having zeros in the fourth row and column. The submatrices X, r, and G0 are given by

cm cV2k cV3k ekll

c21Vc c212k c2l3k ek21

c 31t c3l2k c3/3t ek3l

elVc el2k el3k ~elk

(8)

X=(T33 rl (9)

G =

p 0 0 0 0 p 0 0 0 0 p 0 0 0 0 0

(10)

The solution to (6) is

1(z + dh) = exp(j(x>Adh ) X(z) = U exp(\dh )V'x(z) = ^1X(z) (11)

with &r=exp(jwAdh) the material state transition matrix that maps x across a distance dh in the z direction within the same material, U the 8x8 eigenvector matrix of ihejaA material matrix, the columns of {/are the eigenvectors of jcaA, A is the diagonal eigenvalue matrix of jcaA, and r =U'T is the normal mode or uncoupled state vector. For SAW problems, and considering that the half-space occupies z<0, all fields must vanish as z goes to negative infinity. For the substrate, since only four of the eigenvalues decay with depth, it follows that at a semi-infinite substrate surface the state vector is

T(0) = USp Tv(Q) (12)

where USp is the 8x4 submatrix corresponding to decaying modes of the substrate eigenvector matrix, Us; and TJJ(0) is the corresponding 4x1 half of the normal state vector, with the "u" index indicating upper half.

210

Page 223: Advances in Surface Acoustic Wave Technology, Systems

Pseudo and High Velocity Pseudo SAWs 1077

2.3. The Boundary Condition Function (BCF)

This sub-section refers to symmetry Type 1 problems, since this is the most general type. The discussion of the other symmetry types is deferred to Sub-section 2.6, when the pseudo SAW modes are classified.

The boundary conditions require that at mechanically free surfaces Tz vanishes, and for piezoelectric materials with short-circuited surface <j)=0 at the top surface

"0

0

0

v

0

with &roTAL obtained by multiplying the layer transmission matrices. A matrix QT is defined from {0TOTAL UsP) by interchanging the fourth and eighth rows in (13a). Defining QTu by selecting the first four rows of QT

= QTuTu(0) (13b)

TOP

This equation has non-trivial solutions if and only if

det[QTu] = 0 (14)

which is the boundary conditions (BC) determinantal equation for the multilayer on half-space problem. For the mechanically free half-space SAW problem, the boundary determinant equation is directly obtained from (12) using the boundary conditions as in (13), and defining the matrix QTS from USp in (12) by interchanging the fourth and eighth rows of USp. Defining QTSu as the first four rows of QTS, det[(grs„]=0 is obtained. For electrically open-circuited surfaces the electric fields must satisfy Laplace's equation in the free space. The solution for D^free space) is given by s0coftvv and by subtracting Dz(free space) from the fourth element in rmP=[0 0 0DZ vjcofi]' for the free surface, an equation equivalent to (13) is written. The resultant numerical procedure consists in searching for vp such that the boundary conditions, expressed by (14), are satisfied.

The four mechanically free boundary conditions statements are taken from (13). For a GSAW on symmetry Type 1 the four partial modes that decay with depth, are selected out of the eight partial modes in the substrate. The resulting boundary condition statement is expressed by (14), and is referred to diroughout this work as the Boundary Condition Function (BCF). There are other ways of expressing the boundary condition statement (14), and the effective permittivity is one of these alternative ways.31

= « U V°) = &TOTAL USp 1v(0) (13a)

0

0

0

0

211

Page 224: Advances in Surface Acoustic Wave Technology, Systems

1078 M. P. da Cunha

All the other variables are expressed in terms of the six, non-piezoelectrics, equation (4), or eight, piezoelectrics, equation (5), variables chosen. For the fields in the direction of propagation, x, the vector TP is defined and its relation with x given by

T13 X r"ATJ ' - r -// •pT (15)

For the fields in they direction, normal to the sagittal plane, the vector xh is defined and its relation with T given by

xy

T yy

Ty*

[Dy\

Y23 X T23xr31-r» ht1 (16)

where matrices p and h t , defined in (15) and (16) respectively, are 4x8 for piezoelectric materials and are functions of material constants and phase velocity only. For non-piezoelectric materials, TP=TX=[ T^ Txy Txz ]' and Ti,=Ty=[TyxTyyTyz]

t, and p and h, are 3x6.

2.4. Complex Slowness

One might ask what happens to the boundary condition function, BCF, in the vicinity of the expected PSAW and HVPSAW velocities if only decaying partial modes are considered. For the PSAW case, selecting only the decaying modes, a minimum in the BCF is often observed near the expected PSAW velocity.2 Sometimes a minimum is also observed near the expected HVPSAW velocity. In Fig. 2 the BCF for the real slowness is expressed as the effective permittivity, and one can notice the quartz AT GSAW solution, and two minima near die PSAW and HVPSAW velocities, respectively. These minima, however, cannot be decreased to zero by adjusting the values of a real vp parameter.

If one of the four partial modes in the substrate is a radiating partial mode, i.e., an eigenvalue of jcoA that grows with depth, a complex slowness must be used. The complex slowness is written as y= l/vp-j a/co, in exp(-ja>pc) = exp{-x (a+jkx)}, with kx=cofvv, and a, the attenuation constant. By considering a complex slowness, the BCF determinant can be decreased to zero, in the sense that further iterations result in smaller values of the determinant, until the computer accuracy is reached. The linear system of equations, (6), now has complex coefficients since the slowness is complex. The matrix A becomes complex when l/vp is replace by y. The fields of the resulting solution attenuate in the x direction as this mode propagates, and the solution is a PSAW in a symmetry Type 1 situation. If two radiating partial modes are used in the substrate for a symmetry Type 1 problem, the solution is a HVPSAW.

212

Page 225: Advances in Surface Acoustic Wave Technology, Systems

Pseudo and High Velocity Pseudo SAWs 1079

^ 10

8. 5

* t> 0 £ <u c -5

•s " 1 0

o> o

I 10

I 5 .1 0 0

1 "5

o c o>-10 ro E

/ '

, *

3.5 4 4.5 5 Phase velocity [Km/s]

5.5

' •

3.5 4 4.5 5 Phase velocity [Km/s]

5.5

Fig. 2. Plot of the normalized effective permittivity, Stff/Eo, as a function of real slowness along quartz AT (Euler angles:[0° -54.7° 0°]).

Finding the solutions of either a PSAW or a HVPSAW requires finding the zero of the complex BCF of two real variables, vp, and a, the attenuation constant. Once the PSAW or the HVPSAW solution is found, the respective complex slowness, y, is known.

If the solution for the pseudo problem has a high attenuation then the minima in the BCF observed in Fig. 2 might not be detected in the vicinity of the pseudo SAW phase velocity solutions. PSAW and HVPSAW practical orientations must have relatively low attenuation so that it makes sense to talk about propagation of such waves. Typical plots of the BCF for complex slowness are discussed in Section 3.

2.5. How the Problem is Solved

As is clear from (13) and (14) the BCF has an implicit dependence on the real or complex slowness, therefore solving SAW and pseudo SAW problems requires numerical minimization.

For a pseudo SAW problem, an initial guess is assigned for the complex slowness, y= l/vp -j a/co, (6) is solved and the BCF determinant, (14), is calculated and the equality to zero checked. The process is repeated for successive values of vp and a with the aid of a multivariable minimization program until (14) is satisfied to within some predetermined accuracy.

213

Page 226: Advances in Surface Acoustic Wave Technology, Systems

1080 M. P. da Cunha

2.6. Types ofHVPSA WSolutions Based on Symmetry Classification

This section classifies the pseudo SAWs in terms of the orientation symmetries given in Sub-section 2.1, and in light of the surface wave problem solution outlined in Sub-section 2.2. Characteristics of the pseudo SAW solutions in terms of the particle displacement polarization, the phase velocities, and the type of BAW that radiates power into the substrate are given in Section 3.

For the lowest symmetry Type 1, a surface wave solution is built from a linear combination of four partial modes in the semi-infinite substrate to satisfy (14). For the GSAW four decaying partial modes are selected. If one of the four partial modes chosen is a radiating partial mode, the solution is a PSAW. If two radiating partial modes are selected, the solution is an HVPSAW. Due to the radiating partial modes, the fields attenuate as they propagate, but if the contribution of the radiating terms are sufficiently small, these pseudo modes are observed in standard surface acoustic wave devices.

For symmetry Type 2, (14) is 3x3, the material is non-piezoelectric, and a surface wave solution is built from a linear combination of three partial modes in the semi-infinite substrate. For the GSAW, three decaying partial modes are selected. For the PSAW and HVPSAW, one and two of the partial modes, respectively, are selected to be radiating partial modes.

For symmetry Type 3, the sagittal components decouple from the shear horizontal ones, and (14) is 3x3 for the piezoelectric active solutions. A stiffened surface wave solution is built from a linear combination of three partial modes in the semi-infinite substrate. For the sagittal SAW, Rayleigh wave, three decaying partial modes are selected. If one of the three partial modes is chosen to be a radiating partial mode, the solution is a HVPSAW. This is not a PSAW for reasons that are outlined in Sub-section 1.2 and exemplified in Section 3.

In a symmetry Type 4 orientation, the material is piezoelectric, the stiffened shear horizontal solution decouples from the pure mechanical sagittal solution, and (14) is 2x2 for both solutions. Two decaying partial modes are used to build up the stiffened shear horizontal wave and the other two are used for the pure mechanical Rayleigh wave solution. For symmetry Type 5, the material is non-piezoelectric, and the sagittal components decouple from the shear horizontal one. A surface wave solution is built from a linear combination of two partial modes in the semi-infinite substrate. For the sagittal SAW wave (Rayleigh wave) the two decaying partial modes are selected. If one of the two partial modes is a radiating partial mode the mode is a HVPSAW.4212

3. Characteristics of PSAW and HVPSAW Solutions

This section discusses the properties and characteristics of the pseudo SAW solution. Sub-section 3.1 shows typical boundary condition functions and considers their behavior for different materials, boundary conditions, and symmetry types. The Poynting vector declination, the power flow angle calculation, and the penetration depth for the pseudo SAWs are described in Sub-section 3.2. The relationship between the radiating partial modes and bulk slowness is addressed in Sub-section 3.3. Examples of PSAW and HVPSAW solutions are given in 3.4.

214

Page 227: Advances in Surface Acoustic Wave Technology, Systems

Pseudo and High Velocity Pseudo SAWs 1081

3.1. The Boundary Condition Function (BCF)

Recalling that the pseudo SAW BCFs depend on vp and a, the BCFs are plotted as a function of those two real variables. Figure 3 a shows the HVPSAW BCF magnitude for the mechanically free shorted surface of symmetry Type 1 quartz ST-25° (Euler angles: [0° 132.75° 25°]), and Fig. 3b shows the two dimensional view of the same plot with respect to the phase velocity axis. As can be noted from these two plots, the BCF has several local minima, which work as traps for a multi-variable optimization routine. Although the multiple local minima behavior can also be observed in the single variable SAW problem, it is more pronounced in the pseudo SAW multi-variable case.

Figure 3b also clearly identifies the actual HVPSAW solution at vp=6.5262 Km/s. Note that the BCF decays gradually around several local minima, whereas around the HVPSAW solution the BCF has a comparatively steeper behavior. This behavior usually poses an additional degree of difficulty in finding and tracking the HVPSAW solutions when varying the direction of propagation. An appropriate starting point is very important in finding the HVPSAW solution.

Figure 4 compares the BCF between the free, Fig. 4a, and the shorted, Fig. 4b, surface for the symmetry Type 1 mechanically free Li2B407 (Euler angles: [0° 75° 75°]). Normally the solution for the shorted surface is easier to find than the solution for the electrically free surface, since under shorted boundary condition the energy of the wave is usually trapped closer to the surface, and the pseudo SAWs behave more like a surface mode. The same behavior is observed in pseudo SAW layered problems, Section 4, or when grating structures are used on top of a semi-infinite substrate.25'17'18 The BCF in the electrically free surface, Fig. 4b, has a larger overall gradient with vp and a when compared to the electrically shorted surface, Fig. 4a. In such cases, the use of bounded minimization routines in the complex slowness plane and the careful selection of the initial guess are very important in finding the solution.

Still referring to the symmetry Type 1 mechanically free Li2B407 (Euler angles: [0° 75° 75°]), Fig. 5 shows the BCFs of the electrically shorted HVPSAW (Fig. 5a) and PSAW (Fig. 5b) around me respective solution regions. One notes that the HVPSAW BCF has a steeper behavior around the solution when compared to the PSAW BCF, a typical behavior for symmetries Type 1, also detailed for quartz ST-25° (Euler angles: [0° 132.75° 25°]) in Ref. 3. Note, however, that along symmetries Type 3, where only one radiating partial mode leads to a HVPSAW solution (Sub-section 2.6), the HVPSAW BCF behaves like a PSAW BCF on a symmetry Type 1 orientation. Figure 6 is an example of a HVPSAW BCF along a symmetry Type 3, the mechanically free electrically shorted Li2B407 (Euler angles: [0° 45° 90°]). Normally when there is only one radiating partial mode instead of two, the energy of the wave is trapped closer to the surface, and the pseudo SAW behaves more like a surface mode. When there are two radiating partial modes, the BCF is usually steeper close to the solution when compared to the one radiating partial mode problem. The steeper behavior of the HVPSAW BCF on symmetry Type 1 with respect to the PSAW BCF along symmetry Type 1 and the HVPSAW BCF on symmetry Type 3, usually implies that it is more difficult to find the solution to the HVPSAW BCF on symmetry Type 1 when compared to the other problems.

215

Page 228: Advances in Surface Acoustic Wave Technology, Systems

1082 M. P. da Cunha

Attenuation [Np/Km] -0.1 2 Phase Velocity [Km/s]

(a)

35

a) 30 • D

1 2 5

§ 20

Funct

ion

Boundary

in

o

en

-10

-IC

|

3 4 5 6 7 8 9 10 11 Phase Velocity [Km/s]

(b)

Fig. 3. Plot of the HVPSAW BCF magnitude as a function of vp and a along quartz ST-25° (Euler angles: [0° 132.75° 25°]) for the mechanically free shorted surface: (a) spatial view; (b) phase velocity axis view.

216

Page 229: Advances in Surface Acoustic Wave Technology, Systems

Pseudo and High Velocity Pseudo SAWs

•a

1 30 D)

•g 20

10

0-I

c o

^>-10

•g -20 O

CO 0.1 -30:

0.05

-0.05

Attenuation [Np/Km] -0.1 2

10

Phase Velocity [Km/s]

(a)

Attenuation [Np/Km] Phase Velocity [Km/s]

(b)

Fig. 4. Plot of the HVPSAW BCF magnitude as a function of vp and a along Li2B407 (Euler angl [0° 75° 75°]) for the mechanically free: (a) shorted surface; (b) free surface.

217

Page 230: Advances in Surface Acoustic Wave Technology, Systems

1084 M. P. da Cunha

Attenuation [Np/Km] Phase Velocity [Km/s]

S-60 HD.01

Attenuation [Np/Km] Phase Velocity [Km/s]

(b)

Fig. 5. Plot of the BCF magnitude as a function of vp and a along Li2B407 (Euler angles: [0° 75° 75°]) for the mechanically free shorted surface: (a) HVPSAW; (b) PSAW.

218

Page 231: Advances in Surface Acoustic Wave Technology, Systems

Pseudo and High Velocity Pseudo SAWs 1085

Attenuation [Np/Km] 0 6.5 Phase Velocity [Km/s]

Fig. 6. Plot of the HVPSAW BCF magnitude as a function of vp and a along the symmetry Type 3 Li2B407

(Euler angles:[0° 45° 90°]) for the mechanically free shorted surface.

3.2. The Poynting Vector and the Power Flow Angle

This subsection analyzes the characteristics of the acoustoelectric Poynting vector for the pseudo SAWs. The specific topics discussed in this subsection are: (i.) the Poynting vector penetration depth; (ii.) the declination of the Poynting vector, its tilt down into the solid, as a function of depth; (iii.) the power flow angle, PFA.

The Poynting vector for piezoelectrics is defined by43

P = Re {- v *. T + <)> 0 (o D )'} (17) or equivalently

P = Re { - v * . r - 0 H > / Z ) } = Re {-T'V . Tn } (18) with " ' " the transpose conjugate operator; rv and x„ are defined in (5).

For the BAW problem, P points in the direction of power flow and is invariant from point to point in the infinite solid. For the SAW problem, there is no power flow normal to the mechanically free surface, since all partial modes are decaying partial modes, all fields vanish as z goes to minus infinity, and the wave is strictly guided by the surface.44 The magnitude and the direction of the power are obtained by integrating P.dA, over the area of a unit width strip and infinite depth.

The eigenvalues of (j^A) in (11), which correspond to the partial modes of the pseudo SAW problem, can be written as (tfi+jka), in e?q){z(ai+jkzl)}, with k^co/Vzi. For the decaying partial modes, DPM, o, are positive in accordance to the coordinate system adopted in Fig. 1. For the radiating partial modes, RPMs, a; are negative. Note that the power

219

Page 232: Advances in Surface Acoustic Wave Technology, Systems

1086 M. P. da Cunha

crossing any area in the solid is taken to be I P.dA over the area in question. As pointed out in Ref. 2 for the case of the PSAW, carrying out this integration over any closed surface within the solid, the resultant value must be zero to be consistent with the interpretation of the pseudo surface waves and the non-dissipative assumption regarding the medium.

For the pseudo SAW orientations of practical interest, meaning those orientations where the pseudo modes can be generated and detected by standard surface acoustic wave devices, one observes that:

i.) The amplitudes of the decaying partial modes are much larger than those of the radiating partial modes;

ii.) The magnitude of decay rates of the decaying partial modes are larger than the magnitude of growth rate of the radiating partial modes.

When i.) and ii.) apply, the pseudo mode behaves like a surface mode: P is large near the surface; P is almost parallel to the surface close to the surface; and the attenuation a is low. A high propagation loss pseudo SAW solution transfers power to one or two of the radiating partial modes within a short distance.

3.2.1. Penetration depth

Figure 7 shows the plots of the Poynting vector [TW/m2] in the direction of propagation as a function of the normalized depth for the PSAW, Figs. 7a, and for the HVPSAW, Figs. 7b, along the mechanically free shorted surface symmetry Type 1 quartz AT (Euler angles: [0° -54.7° 0°]). Figure 8 is equivalent to Fig. 7 for an aluminum layered surface (h/^F

SAw=l%)- From these figures, which represent practical PSAW and HVPSAW orientations, one may notice that P is large near the surface, decaying after a few wavelengths inside the semi-infinite substrate, where the only remaining partial modes are the radiating partial modes, only visible in these figures for the HVPSAW. One notices from the comparison between Figs. 7a and 7b that the PSAW power decays to relatively negligible values after approximately 20 wavelengths, whereas a relatively significant fraction of power is observed in Fig. 7b for the HVPSAW after 20 wavelengths. This behavior is expected along this symmetry Type 1 substrate, since the PSAW has only one radiating partial mode, whereas the HVPSAW has two radiating partial modes.

Characterizing the distribution of power inside the semi-infinite substrate by penetration depth, as done in Ref. 3, a comparison between Figs. 7a and 8a shows that the aluminum layer reduces the penetration depth from around 20 wavelengths to 10 wavelengths for the PSAW. The HVPSAW, Figs. 7b and 8b, is less affected by the same aluminum layer thickness, reflecting the effect of the two radiating partial modes that typically distributes a relatively larger fraction of power inside the material in this symmetry Type 1 orientation.

Figure 9 shows the plot of the Poynting vector for the HVPSAW along the mechanically free shorted surface symmetry Type 3 Li2B407 (Euler angles: [45° 45° 90°]). Since only one radiating partial mode exists, one sees that for this symmetry type 3 HVPSAW the power decays to a relatively negligible value after about 1.5 wavelengths, denoting the strong surface guiding behavior this mode has for this orientation.

220

Page 233: Advances in Surface Acoustic Wave Technology, Systems

Pseudo and High Velocity Pseudo SAWs 1087

700

CM

E 600

8 500 a.

£400

I 300

g200

•f ioo o o.

-100 -80 -60 -40 -20 normalized depth z/wavelength

(a)

20

?18 i Q.

pro

n <> m >--D

the

c 1—

cto

$ ?

Poy

rili

16

14

12

10

8

6

4

2

-100 -80 -60 -40 -20 0 normalized depth z/wavelength

(b)

Fig. 7. Plots of the Poynting vector [TW/m2] in the direction of propagation as a function of the normalized depth for the mechanically free shorted surface symmetry Type 1 quartz AT (Euler angles: [0° -54.7° 0°]): (a) PSAW; (b) HVPSAW.

221

Page 234: Advances in Surface Acoustic Wave Technology, Systems

1088 M. P. da Cunha

700

CN

E ; 600

S500 Q_

8 400

I 300

8 | 200

! 100

20

i l 8

,16

S14

12

"o 10 £

o 0_

-100 -80 -60 -40 -20 normalized depth z/wavelength

(a)

-100 -80 -60 -40 -20 normalized depth z/wavelength

(b)

Fig. 8. Plots of the Poynting vector [TW/m2] in the direction of propagation as a function of the

normalized depth for an aluminum layered surface (h/ ^SAW =1%) symmetry Type 1 quartz AT

(Euler angles: [0° -54.7° 0°]): (a) PSAW; (b) HVPSAW.

222

Page 235: Advances in Surface Acoustic Wave Technology, Systems

Pseudo and High Velocity Pseudo SAWs 1089

16

14

ri.12 S Q.

° 10 6 jD

"5 8

E 6 % S 4

o a.

- 1 0 - 8 - 6 - 4 - 2 0 normalized depth z/wavelength

Fig. 9. Plots of the Poynting vector [TW/m2] in the direction of propagation as a junction of the normalized depth for the mechanically free shorted surface symmetry Type 3 symmetry Type 3 Li2B407 (Euler angles: [45° 45° 90°]).

The pseudo SAWs have a larger penetration depth when compared to the SAW, since about 90% of SAW wave power is contained in one wavelength. The pseudo SAW modes are thus less sensitive to surface defects than SAWs at high frequencies, a practical advantage of the pseudo SAW modes. At lower frequencies the finite thickness of the substrates may need to be considered in device design in light of the higher penetration depth of the pseudo SAW modes.

3.2.2. Declination of the Poynting vector

As observed from Figs. 7 to 9, below some depth, the radiating terms are the only ones left in the solution and the direction of P is mainly dictated by the associated radiating partial modes. Figure 10 shows the declination of the Poynting vector, its tilt down into the solid, for both PSAW, Fig. 10a, and HVPSAW, Fig. 10b, as a function of normalized depth for the mechanically free shorted surface symmetry Type 1 quartz AT (Euler angles: [0°-54.7° 0°]). Figure 11 plots the declination of the Poynting vector for the HVPSAW on the mechanically free shorted surface symmetry Type 3 Li2B407 (Euler angles: [45° 45° 90°]).

In Figs. 10 and 11, the declination of the Poynting vector is zero close to the surface, as expected for a PSAW or a HVPSAW orientation of practical interest. For both the PSAW represented in Fig. 10a and the HVPSAW represented in Fig. 11, there is only

223

Page 236: Advances in Surface Acoustic Wave Technology, Systems

1090 M. P. da Cunha

V) LU I I I cr (!) LU n Q o $

-1(1

-20

P-30

I o

the

P

o

s ' •P

na

<> a> Q

-40

-50

•+>() *-n-«-»\^\A/v\/\AA/

I|

«^ii

y

/

flll 1 1 |P

-100 -80 -60 -40 -20 normalized depth z/wavelength

(a)

-100 -80 -60 -40 normalized depth z/wavelength

(b)

Fig. 10. Plots of the declination of the Poynting vector as a Junction of the normalized depth for the mechanically free shorted surface symmetry Type 1 quartz AT (Euler angles: [0° -54.7° 0°]): (a) PSAW; (b) HVPSAW.

224

Page 237: Advances in Surface Acoustic Wave Technology, Systems

Pseudo and High Velocity Pseudo SAWs 1091

- 1 0 - 8 - 6 - 4 normalized depth z/wavelength

Fig. 11. Plots of the HVPSAW declination of the Poynting vector as a function of the normalized depth for the mechanically free shorted surface symmetry Type 3 symmetry Type 3 Li2B407 (Euler angles: [45° 45° 90°]).

one radiating partial mode. In these cases the declination of the Poynting vector is unambiguously dictated by the radiating partial mode after a depth has been reached where the decaying partial modes contributions have become negligible. As can be observed from Fig. 10b, the declination of the Poynting vector for die symmetry Type 1 HVPSAW has the influence of both radiating partial modes, after the DPMs have become negligible. A similar behavior is reported for quartz ST-25° (Euler angles: [0° 132.75° 25°]).4

3.2.3. Power flow angle

Provided the pseudo SAW mode behaves like a surface mode in the sense that that P is large near the surface, which means that the power is concentrated near the surface, an approximate power calculation is performed. As suggested in Ref. 2 for the PSAW and in Ref. 4 for the HVPSAW, the magnitude and the direction of the power flow can be evaluated approximately by performing the integration of P over a strip of unit width and some finite depth. In the case of HVPSAW along symmetry Type 3 and die PSAW a suitable depth is around a couple of wavelengths. For die HVPSAW along symmetry Type 1, the integration usually needs to be done for a larger depth, due to die existence of two radiating partial modes, and the fact that die decaying fields are still significant at a few wavelengths away from the surface. The choice of depth to use in integration varies from orientation to orientation according to die P behavior with depth, and therefore it is helpful to plot die deptii behavior of P before choosing an integration depth.

225

Page 238: Advances in Surface Acoustic Wave Technology, Systems

1092 M. P. da Cunha

Using the procedure mentioned in the previous paragraph, the power components px and py necessary to calculate the azimuth angle between P and the direction of propagation can be approximately evaluated, and the power flow angle, PEA, estimated as PFA=tgA(py /px). Good agreement between the PEA predictions and experimental results are reported in reference 4 when a depth of around 14 wavelengths was considered along the quartz ST-25° orientation.

3.3. The Relationship between Radiating Partial Modes and Bulk Slowness

This subsection discusses the relationship between the PSAW and HVPSAW radiating partial modes and the bulk waves that are radiated inside the semi-infinite substrate, according to the type of bulk wave and the direction of propagation.

The partial modes that enter the pseudo SAW solution have been genericalfy written as (<Ji+jk^, in exp{z(<Ji+jk„)}, vnthkzi=a>/vz,. In accordance to the coordinate system adopted in Fig. 1, Oj are necessarily negative and kd positive for the RPMs, since these partial modes radiate power towards the interior of the semi-infinite substrate.

Recalling from Subsection 2.2 that the wave vector in the direction of propagation is given by k^a/Vp, Fig. 12 defines the tilted radiating partial mode wave vector, kBi, and its angle to the surface, #,, that is calculated using3

e,=#-x ' O VkxJ

(19)

The wave velocity associated with the radiating partial mode, vB;, is calculated from Fig. 12 by

1 1 1 — = — + ~T (2°)

< vl vl or equivalently

Vm=vpcos0i (21)

Equations (19) to (21) allow the calculation of the orientation inside the semi-infinite substrate where a specific radiating partial mode radiates, and the phase velocity of the associated bulk mode. Table 2 gives, in the third column, the radiating partial modes for both PSAW and HVPSAW along some illustrative free surface orientations, namely: the symmetry Type 3 Li2B407 (Euler angles: [0° 45° 90°]); the symmetry Type 1 Li2B407

(Euler angles: [0° 75° 75°]); symmetry Type 1 quartz AT (Euler angles: [0° -54.7° 0°]); and symmetry Type 1 quartz ST-X (Euler angles: [0° 132.75° 0°]). The fourth column gives the 0, and vBi calculated from (19) and (21), and associated with the respective radiating partial mode from the third column. The fifth column shows the Euler angles associated to the direction of propagation $ in sagittal plane, and the three BAW phase velocities calculated at 6t.

226

Page 239: Advances in Surface Acoustic Wave Technology, Systems

Pseudo and High Velocity Pseudo SAWs 1093

K<=co/vp

Fig. 12. Wave vectors representation in the sagittal plane.

Although both HVPSAW along symmetry Type 3 and PSAW have only one radiating partial mode, a very distinct characteristic of the HVPSAW with respect to the PSAW may be observed from Table 2 regarding the type of bulk mode that radiates inside the solid. Selecting for instance the HVPSAW along symmetry Type 3 Li2B4C>7 (Euler angles: [0° 45° 90°]), note from Table 2, column 4, that the vBi associated with the radiating partial mode that radiate power inside the semi-infinite substrate is 5.014 Km/s. From Table 2, column 5, one sees that this radiating partial mode radiates power to the fast quasi-shear bulk mode along the corresponding orientation in the solid. Figure 13a illustrates the radiation of this radiating partial mode to the fast quasi-shear bulk. The direction of the Poynting vector associated with the radiating partial mode and normal to the fast quasi-shear bulk slowness curve, can also be inferred from Fig. 13a to be around 45°, as calculated in Ref. 4. Observing now PSAW along symmetry Type 1 Li2B407 (Euler angles: [0° 75° 75°]), the respective vBi from Table 2, column 4, is 3.535 Km/s. From Table 2, column 5, one sees that this radiating partial mode radiates power to the slow quasi-shear bulk mode along the corresponding orientation in the solid. The radiation of the PSAW radiating partial mode to the slow quasi-shear bulk mode is depicted in Fig. 13b. Therefore, while the radiating partial mode in a HVPSAW along symmetry Type 3 radiates power to the fast quasi-shear bulk mode, the PSAW radiating partial mode radiates power to the slow quasi-shear bulk mode.

Figure 13b also depicts the HVPSAW along symmetry Type 1 Li2B407 (Euler angles: [0° 75° 75°]) and the two associated radiating partial modes presented in Table 2. Note that one of the HVPSAW radiating partial modes radiates power to the slow quasi-shear bulk mode, whereas the other radiates power to the fast quasi-shear bulk mode, as predicted in Table 2.

227

Page 240: Advances in Surface Acoustic Wave Technology, Systems

1094 M. P. da Cunha

Table 2. PS AW and symmetry Types 1 and 3 HVPSAW radiating partial modes (third column); calculation of 6! and va using (19) and (21), respectively (fourth column); sagittal plane slowness: verification of (21) and relation

to the bulk modes radiation shown double underlined.

Material and orientation

(Euler angles) symmetry TYPE

Li 2 B 4 0 7

[0° 45° 90°] TYPE 3

Li 2 B 4 0 7

[0° 75° 75°] TYPEl

quartz AT-X [0° -54.7° 0°]

TYPEl

quartz ST-X [0° 132.75° 0°]

TYPEl

Wave Type

(free surface)

HVPSAW

PSAW

HVPSAW

PSAW

HVPSAW

PSAW

HVPSAW

Partial modes that grow with depth

[s/Km]

-7.41e-7+j 0.14067

-3.29e-5+j0.1556

-2.15e-5+j0.1779

-1.74e-4+j0.1439

-2.88e-6+j0.117

-1.08e-5+j0.137

-1.72e-5+j0.115

-1.53e-4+j0.115

-1.72e-5+j0.115

-6.6e-6+j0.1284

^ • n ( e q l 9 ) ###

vBi [Km/s] (eq.21)

44.86 ###

5.014

33.37 ###

3.535

48.08 ###

4.183

42.01 ###

4.652

30.77 ###

4.381

38.10 ###

4.521

33.45 ###

4.794

30.3 ###

4.385

36.68 ###

4.607

36.41 ###

4.623

Euler angles [°]

@ 0t Bulk wave velocities

[Km/s]

@ 9t

[90 -90 -0.1416] [4.376, 5.014, 7.440]

[86.0 284.5-41.13] [3.535. 4.743, 7.452]

[86.0 284.5 -26.42] [4.183. 4.596, 7.544]

[86.0 284.5 -32.49] [3.901,4^2,7.5341

[0 215.3 30.77] [4.381.4.830, 5.349]

[0 215.3 38.10] [4.521. 4.730, 5.374]

[0 215.3 33.45] [4.470, 4.794, 5.327]

[0 215.3 30.3] [4.385. 4.761, 5.447]

[0 215.3 36.68] [4.607. 4.624, 5.442]

[0 215.3 36.41] [4.608, 4.623, 5.439]

228

Page 241: Advances in Surface Acoustic Wave Technology, Systems

Pseudo and High Velocity Pseudo SAWs 1095

90

86 DEC

180

270

(a)

180

270

(b) Fig. 13. Slowness curves [s/Km]. a.) Sagittal plane of Li2B407 Euler angles [0° 75° 75°]: Li2B407 Euler angles [86.0° 284.5° -74.5°], represented at zero degrees, b.) Sagittal plane of Li2B407 Euler angles [0°45°90°]: Li2B407 Euler angles [90°-90°-45°], represented at zero degrees. The angles exhibited indicate the orientations of the radiating terms inside the material, calculated from the PSAW and HVPSAW growing partial modes (Table 2).

229

Page 242: Advances in Surface Acoustic Wave Technology, Systems

1096 M. P. da Cunha

3.4. PSAW and HVPSAW Solutions

The first experimental evidences for the HVPSAW were published during the late seventies.5,6' 7'8 The experimental HVPSAW data available on rotated Y-cuts of quartz has also been reported in subsequent works.9'419,20 Figure 14 shows phase velocity and attenuation for both pseudo modes on the entire quartz ST plane, Euler angles [0° 132.75° v|/]. The phase velocities for the GSAW, PSAW and HVPSAW are shown in Fig. 14a, together with those of the three BAWs. Figure 14b gives the propagation attenuation of the HVPSAW and PSAW modes for the ST plane. Note that the attenuation of both PSAW and HVPSAW vary in the plane, reaching low enough values for practical devices around several orientation intervals.

Figures 15 and 16 illustrate the GSAW, PSAW and HVPSAW solutions for the X axis boule cut Euler angles [<|> 8 vj/]= [0° 6 0°] on a promising new SAW material, langatate (La3Ga5 sTao.sOu), that has a temperature behavior comparable to quartz, but with higher piezoelectric coupling.36,37,45 Note from Fig. 15 that both GSAW and PSAW solutions cease to exist on the orientations where these modes approach the slow or the fast BAW velocity value, respectively, whereas the HVPSAW exist for the entire plane, although with relatively high losses, Fig. 16a. The relative fractional phase velocity, K2=2|Avp|/Vp = 2|vshort-Vfree|/vfree, for the pseudo PSAWs is shown in Fig. 16b.

The HVPSAW has received considerable attention in the past few years on Li2B407.

14,15,10,3 Experimental HVPSAW devices have also been built on LiNb03 and LiTa03, and the mode has also been identified in other materials like GaAs.16,17,1812

Table 3 presents HVPSAW solutions along selected orientations in order to discuss some characteristics of this mode. The first column gives the selected orientations; the respective HVPSAW solution for vp and a are given in the second and third columns for both electrically shorted and free surfaces. The values of the three BAW modes and the value of the SAW solution are included in the first and second column in brackets for reference purposes. Column 4 lists the particle displacement field for both electrically shorted and free surfaces. The orientation symmetry type is also included in this column. The estimated power flow angles according to the procedure discussed in Subsection 3.2, and the 2|Avp|/vp are displayed in column five.

In addition to the HVPSAW characteristics regarding the radiating partial modes and how they radiate inside the semi-infinite substrate, other attributes of the HVPSAW solutions are now discussed. One relevant HVPSAW characteristic is the proximity of the phase velocities to the quasi-longitudinal bulk wave phase velocity, which makes the fabrication of high frequency SAW devices easier. As seen in column 2, the HVPSAW phase velocities reach values 100% higher than those of the SAW. Another property of the HVPSAW is the strong longitudinal particle displacement field obtained for most solutions. From column 4, the magnitude of the longitudinal particle displacement, ux, is usually dominant.

An additional characteristic for certain orientations is the fact that for the HVPSAW to behave like a surface wave, it may require a guiding structure, like a shorted surface or a grating, to concentrate the power close to the surface as discussed in Sub-section 3.2.4,16,17,18 Quartz ST-250, LiNb03 (Euler angles: [90° 90° 36°]), and LiTa03 (Euler angles: [90° 90° 31°]), given in Table 3, are such examples.

For completeness, Table 4 includes PSAW solutions for selected materials and orientations. Table 4 has the same form as Table 3. An important PSAW characteristic is

230

Page 243: Advances in Surface Acoustic Wave Technology, Systems

Pseudo and High Velocity Pseudo SAWs 1097

(a)

CD z 111

w 10" <

10

l i e " '

< CO a > i 08 10'

< CO

^ 1 0 - '

HVPS/ W

\

\ ,

\ / PSAW

HVPSA N

\

\

\

10 20 30 40 50 60 70 80 90 PSI [DEGRES]

(b)

Fig. 14. Quartz ST plane Euler angles [0° 132.75° y]: (a) Phase velocity for the GSAW, PSAW and HVPSAW modes, also including the three BAW; (b) attenuation for the PSAW and HVPSAW modes.

231

Page 244: Advances in Surface Acoustic Wave Technology, Systems

1098 M. P. da Cunha

8 HI

> LU CO < I £L

5

b

5

4

5

3

PSAW

HVPS AW LONGr UDINAL

FAST SHEAR

PSAW,

20 40 60 80 100 120 140 160 180 THE [DEGRES]

(a)

2.27

2.26

•5-2-25 E

£ 2.24 O O _i Hi - ™ > 2.23 LU CO < a 2.22

2.21

2.2 0 20 40 60 80 100 120 140 160 180

THE [DEGRES]

(b)

Fig. 15. X axis boule cut Euler angles [<)i8x))]= [0°8 0°] phase velocities [Ktn/s]: (a) HVPSAW and PSAW, including the longitudinal and fast shear BAW modes; (b) GSAW, including the slow shear BAW.

GSAW

SLOW SHEAR

/

J 1

/ G SAw\

232

Page 245: Advances in Surface Acoustic Wave Technology, Systems

Pseudo and High Velocity Pseudo SAWs 1099

/-

/

PSAW

\

HVPS/

\

w

/-"~

/

PSAW

\ \

,

20 40 60 80 100 THE [DEGRES]

(a)

120 140 160 180

N^

X HVPS/

PSAW

W

\

\

1 PSA

HVPS/

W 1

W

20 40 60 80 100 120 THE [DEGRES]

140 160 180

Fig. 16 X axis boule cut Euler angles [$ 61|/]= [0°8 0°]: (a) PSAW and HVPSAW attenuation [dB/>.]; (b) PSAW and HVPSAW K2 [%].

233

Page 246: Advances in Surface Acoustic Wave Technology, Systems

1100 M. P. da Cunha

Table 3. High velocity pseudo-SAW (HVPSAW) for some selected materials and orientations.

Material and orientation (Euler angles, [°])

VBULK [Km/s]

Li 2 B 4 0 7

[45 45 90] [3.238 5.046 7.238]

L i 2 B 4 0 7

[0 45 90 ] [3.446 4.644 7.409]

Li 2 B 4 0 7

[0 47.3 90] [3.479 4.632 7.437]

L i 2 B 4 0 7

[0 75 75 ] [3.689 4.936 6.439]

GaAs [45 -90 25 ]

[2.654 2.990 5.376]

quartz AT-X [0 -54.7 0 ]

[3.298 5.100 5.744]

quartz ST-X [0 132.75 0]

[3.298 5.100 5.744]

quartz ST-25 [0 132.75 25 ]

[3.365 4.032 6.604]

LiNb03

[90 90 36 ] [4.0214.029 7.315]

LiTa03

[90 90 31 ] [3.336 3.365 6.316]

LiTa03

[90 90 42 ] [3.347 3.359 6.347]

VP short/ open

<VSAW >

[Km/s] 6.9879 7.0418

(3.0998)

7.0267 7.0740

(3.2658)

6.8641 6.9120

(3.2557)

6.2405 6.2611

(3.3090)

5.3987 5.3986

(2.5339)

5.7447 5.7454

(3.1510)

5.7446 5.7449

(3.1576)

6.5262

(3.2475)

6.8085 7.3404

(3.6616)

6.2442 6.3179

(3.1420)

6.2922 6.3512

(3.1420)

ill

6.1e-3 5.8e-5

l.le-2 2.9e-4

1.3e-2 6.7e-4

0.460 0.041

1.9e-2 1.6e-3

1.0e-2 2.5e-3

6.0e-3 1.2e-3

1.8e-5

3.8 6.4e-2

0.56 8.0e-3

0.59 1.0e-2

Fields @ z=0 u*>Vuz> short/open

(magnitude [Km] ) symmetry type

1 0 0.03 1 0 0.01

TYPE 3

1 0 0.061 1 0 0.037

TYPE 3

1 0 0.09 1 0 0.07

TYPE 3

1 0.686 0.364 1 0.675 0.307

TYPEl

1 0.04 0.234 1 0.04 0.233

TYPEl

1 0.12 0.077 1 0.12 0.080

TYPEl

1 0.066 0.081 1 0.056 0.084

TYPEl

1 0.35 0.090

TYPE 1

1 0.34 0.48 1 0.08 0.24

TYPEl

1 0.19 0.25 1 0.09 0.13

TYPEl

1 0.14 0.26 1 0.04 0.16

TYPEl

PFA[°] short/ open

2|Avp|/vp[%]

0 0

1.53

0 0

1.34

0 0

1.39

-20.3 -27.1 0.66

2.8 2.9

0.004

-0.2 -0.1

0.023

-0.5 -0.7

0.011

14.1

-12.7 -0.08 14.5

1.3 3.5 2.3

-0.7 -0.5 1.9

the proximity of the phase velocities to the fast quasi-shear bulk mode phase velocity. The PSAW phase velocities reach values around 40% higher than the SAW values, as seen in column 2. In the PSAW case, column 4 shows that the magnitude of the shear horizontal particle displacement, uy , is usually dominant.

234

Page 247: Advances in Surface Acoustic Wave Technology, Systems

Pseudo and High Velocity Pseudo SAWs 1101

Table 4. Pseudo-SAW (PSAW) solution for some selected materials and orientations.

Material and orientation

(Euler angles, [°]) VBULK [Km/s]

Li 2 B 4 0 7

[0 75 75 ] (3.689 4.936 6.439)

36 YX-LiTa03

[0 -54 0 ] (3.3514.227 5.589)

quartz AT-X [0 -54.7 0 ]

(3.298 5.100 5.744)

quartz ST-X [0 132.75 0 ]

(3.298 5.100 5.744)

quartz ST-25 [0 132.75 25]

(3.365 4.032 6.604)

64 LiNbOj

[0 -26 0 ] (4.0314.752 6.547)

41 LiNbOj

[0 -49 0 ] (4.0314.752 6.547)

LiTaOj

[0 90 29.2 ] (3.432 3.678 5.989)

quartz [0 15 0 ]

(3.298 5.100 5.744)

VP short/ open

r v F R E E i

[Km/s] 4.1958 4.2329

(3.3090)

4.1091 4.2267

(3.1252)

5.0994 5.0996

(3.1510)

5.0781 5.0789

(3.1576)

4.0166 4.0181

(3.2475)

4.4505 4.6919

(3.6799)

4.3781 4.7515

(3.6412)

3.5123 3.5444

(3.2056)

3.9547 3.9569

(3.2911)

a short/ open

[dB/X.]

3.0e-2 1.6e-2

2.7e-4 2.1e-4

1.8e-3 1.3e-3

7.9e-2 7.8e-2

7.8e-2 8.1e-2

3.7e-3 5.2e-2

1.4e-2 2.4e-4

7.0e-l 8.2e-l

1.7e-4 1.4e-4

Fields @ z=0 u x , u y , u z ,

short/open (magnitude [Km] )

symmetry type

1 0.92 0.46 1 1.05 0.44

TYPEl

1 15.2 1.85 1 26.7 0.47

TYPEl

1 6.70 0.33 1 6.66 0.31

TYPEl

1 2.40 0.37 1 2.39 0.36

TYPEl

1 2.34 0.60 1 2.32 0.59

TYPEl

1 8.58 3.23 1 3.58 0.60

TYPEl

1 51.2 20.0 1 23.8 0.79

TYPEl

1 3.42 1.45 1 3.49 1.69

TYPEl

1 0.33 0.93 1 0.34 0.93

TYPEl

PFA[°] short/ open

2|Avp | /vp[%]

25.7 27.3 1.8

-0.053 -0.006

5.6

-0.034 -0.035 0.008

0.040 0.055 0.033

-28.8 -28.7 0.074

0.6 2.2 10.3

-0.83 0.02 15.7

2.54 2.54 1.8

-0.053 -0.048 0.11

4. Layered Solutions

This section addresses the importance of layer thickness and materials on pseudo SAW devices. It focuses on a discussion of the layer parameters that affect a pseudo SAW device performance and highlight the properties of layered solutions with examples.

4.1. The Importance of the Layer Thickness and Materials in Practical Devices

Any surface acoustic wave device is influenced by a material layer, such as: the metallic film of the transducer, a film deposited in the propagation path, or the device might be a layered structure. The layer thickness and material directly affects the pseudo SAW complex slowness solution, vp and a. The layer thickness also modifies the penetration depth, the power flow angle, the particle field Dolarization, and the values of 2|AvD|/vD.

235

Page 248: Advances in Surface Acoustic Wave Technology, Systems

1102 M. P. da Cunha

For high performance devices, especially if one is considering portable applications where the systems are battery operated, the importance of low loss devices is evident. In the next section some examples are given, showing that a proper choice of the layer material and thickness leads to significantly reduced values of a. A knowledge of the dispersion characteristic is fundamental in high performance device design and in group delay response prediction.

A layer usually has a strong effect on the penetration depth. As shown in Figs. 7 and 8, a layer may concentrate the power associated with the propagating wave closer to the surface, and thus cause the pseudo SAWs to behave more like a surface mode. Other practical consequences that result from the knowledge of the layer effect on a certain mode penetration depth are: the required quality of the surface mechanical polishing; and the substrate thickness in order for the substrate to be appropriately considered semi-infinite.

In references 25 and 26 the particle displacement fields are tabulated as a function of thickness for the HVPSAW on an aluminum layered symmetry Type 1 LiNb03 (Euler angles: [90° 90° 164°]), and for the PSAW on an aluminum layered symmetry Type 1 LiTa03 (Euler angles: [0° -26° 0°]). Knowing the particle displacement fields is important in calculating practical devices parameters, such as the electrode reflection coefficient, fundamental in SAW device design.

Finally, the power flow angle estimation allows proper placement of the transmitting and receiving transducers in practical devices where the PFA^Q. The PFA as a function of thickness has also been addressed in references 25 and 26, using the HVPSAW LiNb03 and the PSAW LiTa03 examples cited in the preceding paragraph.

One should also mention the importance of layered structures such as ZnO/diamond/Si and ZnO/SiC in achieving phase velocities as high as 14 Km/s for the HVPSAW in high frequency applications.46'47> 48

4.2. Properties of Solutions in Layered Structures

This subsection discusses properties of the layered pseudo SAWs solutions which have an impact on both practical devices design and in the fundamental understanding of the pseudo modes.

Figures 17 and 18 show the phase velocity and attenuation as a function of the thickness times frequency, hf, for two aluminum layered orientations: symmetry Type 3 Li2B407 (Euler angles: [0° 47.3° 90°]), Fig. 17; and symmetry Type 1 LiNb03 (Euler angles: [90° 90° 164°]), Fig. 18.

The upper part of Fig. 17 shows velocity plots for the HVPSAW, three lossless pure sagittal particle motion Rayleigh modes, and the phase velocity values of the three BAW modes. The HVPSAW propagation attenuation is plotted in the lower part of Fig. 17. Note that since this orientation refers to a symmetry Type 3 there is no PSAW. The upper part of Fig. 18 shows velocity plots for the HVPSAW, the PSAW and a higher order PSAW, five generalized SAW modes and the phase velocity values of the three BAW modes along that orientation. The lower part of Fig. 18 plots the propagation loss for the HVPSAW, the PSAW and a higher order PSAW mode.

Note from Fig. 17 that a minimum in the propagation loss occurs close to hf=0.2 Km/s (vp=6.7413 Km/s), h/^=3%, a reduction of more than two orders of magnitude when compared to the mechanically free electrically shorted surface. This is a relevant

236

Page 249: Advances in Surface Acoustic Wave Technology, Systems

Pseudo and High Velocity Pseudo SAWs 1103

7.5

7

6.5

6

5.5

5

4.5

4

3.5

3

v / V

. 1

\ s

quasi-l ingitud

fast shear

~ 2

nal

3 V ¥

0 1 2 3 4 5 6 7 8 9 10 T H I C K N E S S x F REQU ENCY (hfHKm/sl

101

§10° z LU

1 UJ

| 10"1

OQ

Z -2 O 10

1

i n 4

/

/ '

1 2 3 4 5 6 7 8 9 T H I C K N E S S x F R E Q U E N C Y (hf)[Km/s]

10

Fig. 17. Layered substrate: phase velocity (upper part) and attenuation (lower part) versus (thickness x frequency). Aluminum layered symmetry Type 3 Li2B407 (Euler angles: [0° 47.3° 90°]. HVPSAW: dash-dot; lossless Rayleigh modes (pure sagittal particle motion): Solid (first and second), and star (third); larger-dots: BAW phase velocities.

237

Page 250: Advances in Surface Acoustic Wave Technology, Systems

1104 M. P. da Cunha

7

6.5

6

1 5.5

8 Qj 4.5 > ui

3 4

x Q-

3.5

3

2.5

X

test c

slaw

uasi-sl

iuasi-s

iear

tear

1

\

quasi

0 0

^ ^

longitu dinal

° 9 * 4

1

+*•*»

2

5

1.5 1 1.5 2 2.5 3 3.5 4 4.5 5 T H I C K N E S S x F R E Q U E N C Y ( h f ) [Km/s]

5.5

10

x i -O LU _ „ 0

-i 10

§

m -o

z Q , Sc 10

10'

p I

/

\

I > 0 o

°o 0

0

0.5 1 1.5 2 2.5 3 3.5 4 4.5 5 T H I C K N E S S x F R E Q U E N C Y ( h f ) [Km/s]

5.5

Fig. 18. Layered substrate: phase velocity (upper parts) and attenuation (lower parts) versus (thickness x frequency). Aluminum layered symmetry Type 1 LiNbOj (Euler angles: [90° 90° 164°]). HVPSAW: dash-dot; higher order PSAW: circles; PSAW: dashed; first to fourth GSAW: solid; fifth GSAW: star; larger-dots: BAW phase velocities.

238

Page 251: Advances in Surface Acoustic Wave Technology, Systems

Pseudo and High Velocity Pseudo SAWs 1105

HVPSAW characteristic that can be advantageously used in device design and fabrication. Such behavior has also been observed in PSAW orientations, like quartz ST-25° (Euler angles: [0° 132.75° 25°]), 36° YX LiTa03 (Euler angles: [0° -54° 0°]), and 64° YX LiNb03 (Euler angles: [0° -26° 0°]).4'25' 26'49'50

For 0.2<hf<l.l (Fig. 17), and 0<hf<1.2 (Fig. 18), the HVPSAW propagation loss increases as the phase velocity reduces. A similar behavior is predicted for LiNb03

(Euler angles: [90° 90° 36°]) in Refs. 25 and 26, and experimentally observed in Ref. 17 for periodical structures.

Note that the HVPSAW along the symmetry Type 3 orientation shown in Fig. 17, dash-dot, merges to the third Rayleigh mode, stars, as hf is increased. The merging occurs around the slow quasi-shear BAW phase velocity value. In Fig. 18, the HVPSAW along the symmetry Type 1 orientation, dash-dot, merges to a higher order PSAW mode, circles, around the fast quasi-shear BAW phase velocity value. The higher order PSAW, on its turn, merges into the fifth GSAW, stars, at the slow quasi-shear BAW phase velocity value.3 Still referring to the symmetry Type 1 orientation in Fig. 18, note that the PSAW, dashed, merges to the second GSAW, solid line, a behavior originally observed in Ref. 4 for the quartz ST-25° (Euler angles: [0° 132.75° 25°]).

Another way of exploiting low propagation losses is to use the pseudo modes when they merge into the lossless SAWs, in which case the attenuation goes to zero. This idea has been experimentally explored and discussed in recent publications.25'26,49'50 An approach adopted by some researchers in optimizing for a material layer and thickness has been to slightly adjust the propagation orientation. This has been used to trim the well known 36 YX LiTa03 from 36° to 42° in order to obtain better device performance, demonstrating the importance of the layer thickness as a parameter in selecting a pseudo-SAW orientation.51

Finally the effect of other layer materials such as gold and platinum for the pseudo SAWs has been discussed in the literature.25'26'49'50 One of the interesting aspects of the heavier layers is that the merging of the pseudo SAWs to the GSAWs occurs at thinner and therefore more practical layer thicknesses.

5. Conclusions

This article discusses the pseudo SAWs, the PSAW and the HVPSAW. After a historic review, introductory aspects of the pseudo modes are addressed: basic concepts, different solution types, early experiments on HVPSAW, and pseudo SAW applications. The basic matrix method formalism is reviewed, and the equations applied to the pseudo SAW problem. The boundary conditions function behavior, key to finding the pseudo SAW solutions, is addressed in detail. Orientation symmetries are discussed and their importance in acoustic mode classification and in the behavior of pseudo SAWs is highlighted.

The Poynting vector calculation and interpretation for the pseudo SAWs is reexamined. The penetration depth, the declination, and power flow angles estimations are given.

The relation between the radiating partial modes and the bulk slowness at the sagittal plane is revisited, and a novel statement in characterizing the important practical case of the HVPSAW along pure sagittal solutions, symmetries Type 3, is formulated.

239

Page 252: Advances in Surface Acoustic Wave Technology, Systems

1106 M. P. da Cunha

Numerical and experimental HVPSAW and PSAW orientations and planes are listed and analyzed. Finally, solutions for selected orientations in layered structures and the relevance of layer materials and thickness are discussed based on experimental and numerical results.

Acknowledgments

The author is indebted to many suggestions and proofreading of the manuscript by Eric L. Adler, and to fruitful discussions with Prof. Donald C. Malocha. The support of the Brazilian National Council for Scientific and Technological Development (Conselho Nacional de Desenvolvimento Cientifico e Tecnologico - CNPq); the Sao Paulo Research Foundation (Fundagao de Amparo a Pesquisa do Estado de Sao Paulo - FAPESP); and the Advanced Materials Processing and Analysis Center - AMPAC, University of Central Florida, USA, which enable the realization of different parts of the work reported in this article, are gratefully acknowledged.

References

1. H. Engan, K. A. Ingebrigtsen, and A. Tonning, "Elastic surface wave in a-quartz: observation of leaky surface waves," Appl Phys. Lett., vol. 10, pp. 311-313, June 1967.

2. T. C. Lim and G. W. Farnell, "Character of pseudo surface waves on anisotropic crystals," The Journal of the Acoustic Society of America, April 1969, vol. 45, No. 4, pp. 845-851.

3. M. Pereira da Cunha, "Extended investigation on high velocity pseudo surface waves," IEEE Trans. Ultrason. Ferroelec. Freq. Contr., vol. 45, no. 3, May. 1998, pp. 604-613.

4. M. Pereira da Cunha and Eric L. Adler, "High velocity pseudosurface waves (HVPSAW)," IEEE Trans. Ultras., Ferroel, Freq. Contr., vol. 42, No. 5, Sept. 1995, pp. 840-844.

5. T. I. Browning and M. F. Lewis, "New family of bulk-acoustic-wave devices employing interdigital transducers," Electronics Letters, March 1977, Vol. 13, No. 5, pp. 128-130.

6. M. Lewis, "Surface skimming bulk waves - SSBW," in Proc. IEEE Ultras. Symp., 1977, pp. 744-752.

7. K. H. Yen, K. F. Lau, and R. S. Kagiwada, "Recent advances in shallow bulk wave devices," in Proc. IEEE Ultras. Symp., 1979, pp. 776-785.

8. A. Ballato and T. J. Lukaszek, "Shallow bulk acoustic wave progress and prospects," IEEE Trans, on Micr. Theory and Tech.., vol. 27, No. 12, Dec. 1979, pp. 1004-1012.

9. C. A. Flory and R. L. Baer, "Surface transverse wave analysis and coupling to interdigital transducers," in Proc. IEEE Ultras. Symp., 1987, pp. 313-318.

10. N. F. Naumenko, "Quasihorizontal polarized quasibulk acoustic surface waves piezoelectric crystals," Sov. Phys. Crystallogr., Vol. 37, No. 2, March-April 1992, pp. 220-223.

240

Page 253: Advances in Surface Acoustic Wave Technology, Systems

Pseudo and High Velocity Pseudo SAWs 1107

11. K. Y. Hashimoto, M. Yamaguchi, and H. Kogo, "Experimental verification of SSBW and leaky SAW propagating on rotated Y-cuts of LiNb03 and LiTa03," in Proc. IEEE Ultras. Symp., 1983, pp. 345-349.

12. G. Carlotti, D. Fioretto, L. Giovannini, F. Nizzoli, G. Socino, and L. Verdini, "Brillouin scattering by pseudosurface acoustic modes on (l l l) GaAs," Journal of Physics: Condensed Matter, 1992, Vol. 4, pp. 257-262.

13. A. Jhunjhunwala, "Spectrum of Acoustic Waves Excited in Single and Multiple Layered Crystalline Media," Ph.D. Thesis, University of Maine, USA, 1977.

14. M. Adachi, T. Shiosaki, K. Ohtsuka, and A. Kawabata, "Leaky SAW propagation properties on Li2B407 substrates," in Proc. IEEE Intern. Freq. Contr. Symp., 1994, pp. 296-300.

15. T. Sato and H. Abe, "SAW device applications of longitudinal leaky surface waves on lithium tetraborate," IEEE Trans. Ultras., Ferroel., Freq. Contr., vol. 45, No. 6, Nov. 1998, pp. 1506-1516.

16. S. Tonami, A. Nishikata, and Y. Shimizu, "Characteristics of leaky surface acoustics waves propagating on LiNbCO and LiTa03 substrates," Jpn. J. Appl. Phys., vol. 34, Part 1, No. 5B, May 1995, pp. 2664-2667.

17. Y. Kobayashi, N. Tanaka, K. Matsui, H. Okano, T. Usuki, K. Shibata, and Y. Shimizu, "1.9-GHz-Band surface acoustic wave device using second leaky wave on LiTa03 and LiNb03," in Proc. IEEE Freq. Contr. Symp., 1996, pp. 240-247.

18. A. Isobe, M. Hikita, and K. Asai, "Propagation characteristics of longitudinal leaky SAW in Al-grating structure," in Proc. IEEE Ultras. Symp., 1997, pp. 17-21.

19. D. Zhang, X. Tong, and H. Qin, "Study of propagation properties of quasi-longitudinal leaky surface acoustic wave propagating on Y-rotated cut quartz substrates," in Proc. IEEE Ultras. Symp., 1999 (to be published).

20. G. Behme, E. Chilla, and H.-J. Frohlich, "Investigation of longitudinal leaky surface acoustic waves by scanning acoustic force microscopy," in Proc. IEEE Ultras. Symp., 1999 (to be published).

21. R. Veith, "The fabrication technology of Rayleigh wave devices," in Rayleigh-Wave Theory and Application, vol. 2, E. A. Ash and E. G. S. Paige, Ed., Springer series on Wave phenomena, Leopold B. Felsen, Ed., Germany, Springer-Verlag Berlin Heidelberg, 1985, pp. 254-272.

22. C. C. W. Ruppel, R. Dill, A. Fischeraurer, G. Fischeraurer, W. Gawlik, J. Machui, F. Miiller, L. Reindl, W. Ruile, G. Scholl, I. Schropp, and K.C. Wagner, "SAW devices for consumer applications," IEEE Trans. Ultras., Ferroel., Freq. Contr., vol. 40, Sep. 1993, pp. 438-452.

23. K. Yamanouchi and M. Takeuchi, "Applications for piezoelectric leaky surface waves", in Proc. IEEE Ultras. Symp., 1990, pp. 11-18. (see also practically all Proc. IEEE Ultras. Symp. from 1990 to date: several works and different authors).

24. I. D. Avramov, "Gigahertz range resonant devices for oscillator applications using shear horizontal acoustic waves," IEEE Trans, on Ultr. Ferr. and Freq. Control, vol. 40, no. 05, September 1993, pp. 459-468.

241

Page 254: Advances in Surface Acoustic Wave Technology, Systems

1108 M. P. da Cunha

25. M. Pereira da Cunha, "Effects of layer thickness for GSAW, PSAW, and HVPSAW devices," in Proc. IEEE Ultras. Symp., 1997, pp. 239-244.

26. M. Pereira da Cunha, "Effects of layer thickness for GSAW, PSAW, and HVPSAW devices," IEEE Trans, on Ultras. Ferroel. and Freq. Control, (to be published in 2000).

27. Eric L. Adler and Leland Solie, "ZnO on diamond: SAWs and Pseudo-SAWs," in Proc. IEEE Ultras. Symp., 1995, pp. 341-344.

28. D.L. Dreifus, R.J. Higgins, R.B. Henard, R. Almar, LP. Solie, "Experimental Observation of High Velocity Pseudo-SAWs in ZnO/Diamond/Si Multilayers," in Proc. IEEE Ultras. Symp., 1997, pp. 191-194.

29. I. S. Didenko, F. S. Hickernell, and N. F. Naumenko, "Theoretical aspects of GSAW and HVPSAW propagation properties for Zinc Oxide films on silicon carbide and correlation with experimental data," in Proc. IEEE Ultras. Symp., 1999 (to be published).

30. J. C. Andle, M. G. Schweyer, L. A. French, and J. F. Vetelino, "Acoustic plate mode properties of rotated Y-cut quartz," in Proc. IEEE Freq. Contr. Symp., 1996, pp. 532-540.

31. E. L. Adler, "SAW and Pseudo-SAW properties using matrix methods," IEEE Trans. Ultras., Ferroel, Freq. Contr., vol. 41, No. 6, Nov. 1994, pp. 876-882.

32. H. Goldenstein, Classical Mechanics, Addison-Wesley Publishing Company Inc., Cambridge, Massachusetts, USA, 1950, pp. 107-109.

33. A. J. Slobodnik, E. D. Conway, and R. T. Delmomco, Microwave Acoustics Handbook, vol. 1, Surface Wave Velocities, TR-73-0597, AD780172, NITS, Springfield, VA 22151,1972.

34. G. Kovacs, M. Anhorn, H. E. Engan, G. Visintini, and C. C. W. Ruppel, "Improved material constants for LiNb03 and LiTa03 ," in Proc. IEEE Ultras. Symp., 1990, pp. 435-438.

35. M. Adachi, T. Shiosaki, H. Kobayashi, O. Ohnishi, and A. Kawabata, "Temperature compensated piezoelectric lithium tetraborate crystal for high frequency surface acoustic wave device applications," in Proc. IEEE Ultras. Symp., 1985, pp. 228-232.

36. Yu. V. Pisarevsky, P. A. Senushencov, B. V. Mill, N.A. Moiseeva, "Elastic, piezoelectric, dielectric properties of La3Ga5 5Tao 50i4 single crystals", in Proc. IEEE Inter. Freq. Contr. Symp., 1998, pp. 742-747.

37. M. Pereira da Cunha, "Surface and pseudo surface acoustic waves in langatate," in Proc. IEEE Ultras. Symp., 1999 (to be published).

38. G.W. Farnell and E.L. Adler, "Elastic wave propagation in thin layers," Physical Acoustics, vol. 9, W. P. Mason and R. N. Thurston, Ed., New York, Academic Press, 1972, pp. 35-127.

39. J. L. Bleustein, "A new surface wave in piezoelectric materials," Applied Physics Letters, 15 December 1968, pp. 412-413.

40. E. L. Adler, "Matrix Methods Applied to Acoustic Waves in Multilayers," IEEE Trans. Ultrason. Ferroelec. Freq. Contr., Vol. UFFC-37, No 6, November 1990, pp. 485^190.

41. M. Pereira da Cunha, "SAW propagation and device modelling on arbitrarily oriented substrates," PhD Thesis, McGill University, Montreal, Canada, July 1994.

242

Page 255: Advances in Surface Acoustic Wave Technology, Systems

Pseudo and High Velocity Pseudo SAWs 1109

42. N. E. Glass and A. A. Maradudin, "Leaky surface-elastic waves on both flat and strongly corrugated surfaces for isotropic, nondissipative media," J. Appl. Phys., 1992, Vol. 54, no. 02, pp. 796-805.

43. B.A. Auld, Acoustic Fields and Waves in Solids, vols. I & II, Robert E. Krieger Publishing Company, Malabar, Florida, 1990, 2nd. edition.

44. G. A. Coquin and H. F. Tiersten, "Analysis of the excitation and detection of piezoelectric surface waves in quartz by means of surface electrodes," J. Acous. Soc. Amer., vol. 41, 1967, pp. 921-939.

45. R.C. Smythe, R.C. Helmbold, G.E. Hague, and K.A. Snow, "Langasite, langanite, and langatate resonators: recent results", in Proc. IEEE Inter. Freq. Contr. Symp., 1999, (to be published).

46. Eric L. Adler and Leland Solie, "ZnO on diamond: SAWs and Pseudo-SAWs," in Proc. IEEE Ultras. Symp., 1995, pp. 341-344.

47. D.L. Dreifus, R.J. Higgins, R.B. Henard, R. Almar, L.P. Solie, "Experimental Observation of High Velocity Pseudo-SAWs in ZnO/Diamond/Si Multilayers," in Proc. IEEE Ultras. Symp., 1997, pp. 191-194.

48. I. S. Didenko, F. S. Hickernell, N. F. Naumenko, "Theoretical aspects of GSAW and HVPSAW propagation properties for zinc oxide films on silicon carbide and correlation with numerical experimental data," in Proc. IEEE Ultras. Symp., 1999 (to be published).

49. V. P. Plessky and C. S. Hartmann, "Characteristics of leaky SAWs on 36° YX-LiTa03 in periodic structures of heavy electrodes," in Proc. IEEE Ultras. Symp., 1993, pp. 1239-1242.

50. V. P. Plessky, C. S. Hartmann, and J. Koskela, "Suppression of the leakage effect in 64° YX-LiNb03 for thick aluminum electrodes," in Proc. IEEE Ultras. Symp., 1996, pp. 1603-1606.

51. K. Hashimoto, M. Yamaguchi, S. Mineyoshi, O. Kawachi, M. Ueda, G. Endoh, "Optimum leaky-SAW cut of LiTa03 for minimised insertion loss devices," in Proc. IEEE Ultras. Symp., 1997, pp. 245-254.

243

Page 256: Advances in Surface Acoustic Wave Technology, Systems

This page is intentionally left blank

Page 257: Advances in Surface Acoustic Wave Technology, Systems

International Journal of High Speed Electronics and Systems, Vol. 10, No. 4 (2000) 1111-1142 © World Scientific Publishing Company

SAW DEVICE BEYOND 5 GHz

HIROYUKI ODAGAWA Research Institute of Electrical Communication, Tohoku University,

Sendai 980-8577, Japan

KAZUHIKO YAMANOUCHI Tohoku Institute of Technology, Sendai 982-8577, Japan

Here we describe recent high frequency SAW technology based on ultra-fine fabrication techniques using electron beam (EB) exposure direct writing and low-loss wide-band filter applications beyond 5 GHz. We especially consider the propagation property of SAWs in the 10 GHz range, which is important for low-loss characteristics, the fabrication technology, and some examples of low-loss filters using unidirectional transducers and ladder type SAW filters.

1. Introduction

Surface Acoustic Wave (SAW) have been applied to electrical communication systems as various electronic devices, such as band bass filters, high frequency oscillators, convolvers, correlators, switching devices for optical applications, and so on. In mobile communication systems, SAW devices have been also applied and contributed the development. Now the frequency range of the mobile communication system is in the 2 GHz-range, and the SAW devices for the next generation mobile system are studied actively. Considering the remarkable increase of subscribers of mobile communication and the increase of the required date transfer rate, we can expect that the frequency range of mobile communication systems will be expanded to 5 to 10 GHz in the near future. Other applications, such as timing pick-up filters for light wave communication are also requiring devices in the 5 to 10 GHz-range. Accompanying the extension of the frequency range of the systems, SAW devices, which are important elements of electrical communication systems, must also expand their frequency range.

Here we describe a SAW technology based on ultra-fine fabrication techniques for low-loss wide-band filters beyond 5 GHz. We especially consider the propagation property of SAWs in the 10 GHz range, which is important for low-loss characteristics and the fabrication technology. Moreover some examples of low-loss filter using unidirectional transducers and ladder type SAW filters are discussed.

245

Page 258: Advances in Surface Acoustic Wave Technology, Systems

1112 H. Odagawa & K. Yamanouchi

2. Propagation Loss in the GHz-Range for SAW Devices

It is necessary to estimate the losses in GHz-range SAW devices in order to obtain low-loss devices beyond the 5 GHz range. Especially, the propagation loss of SAWs up to the 10 GHz should be evaluated because the propagation characteristics is an essential physical property in SAW devices, and the loss in the 10 GHz-range is one of the greatest interests for SAW device engineers. In this section we describe the losses of SAW propagation in the GHz-range.

2.1. Losses in SAW devices

The total insertion losses in SAW devices can be arranged according to different mechanisms ' as shown in Table 1.

Table I. Losses in SAW Devices

Propagation loss due to surface wave attenuation

Losses due to transducer configuration and geometry

Transducer loss

Interaction with thermally excited elastic waves [Temperature dependent]

Scattering by crystalline defects, impurities, and pits and scratches in the optical polish [Temperature independent]

Energy lost to air adjacent to the surface [Pressure dependent]

Bi-directional loss using conventional two-IDT

Diffraction of surface wave

Conduction loss in electrode

Spurious wave excitation loss

Impedance mismatching loss

Among these mechanisms, propagation loss is the most essential to the SAW devices. The propagation loss is caused by interaction with thermally excited elastic waves (temperature dependent), scattering by crystalline defects, impurities, and pits and scratches in the optical polish (temperature independent) and energy lost to air adjacent to the surface (pressure dependent). The air loading loss is evaluated by measurements under low-pressure conditions. The temperature dependent propagation loss is evaluated by the change of the insertion loss from room temperature to lower temperatures.

246

Page 259: Advances in Surface Acoustic Wave Technology, Systems

SAW Devices Beyond 5 GHz 1113

2.2. Measurement method for the propagation loss

In this section, the temperature dependency of the attenuation for a 10 GHz SAW propagating along the X-axis on 128° rotated Y-cut LiNb03

2,3 is described. The total insertion loss / of a two-transducer filter is given by '

/ = EfAEfB exp(-orP L), (1)

where EfA and EfB are the transducer efficiencies of the launching and the receiving transducers (temperature dependent), ap is the surface wave attenuation per unit length and L is the distance between the transducers. If EfA and EfB have no temperature dependency, the attenuation constant aP is evaluated by the two-transducer system. Unfortunately, the variations of the EfA and EfB are large due to the temperature dependency of the resistivity on the transducer electrodes. Therefore, a three-transducer system as shown in Fig. 1 is necessary to evaluate the propagation loss. In this figure, the SAW excited from transducer A is received by transducers B and C. The ratio of the insertion losses is given by

^ = - ^ - e x p { - a p ( L c - L B ) } . (2) ' B ^ fB

In this equation, if the temperature dependency of Ef!/EfB is sufficiently small, av can be obtained by measuring the IB and /c. Therefore, if the temperature dependency of the insertion loss between transducer A and B (IB) and the loss between transducer A and C (/c) are measured as shown in Fig. 2(a), the difference between lB and lc, as shown in Fig. 2(b) does not contain the transducer characteristics. In Fig. 2(b), a, (-aP

- a0) is the temperature dependent propagation loss caused by the interaction with thermally excited elastic waves, and a0 is temperature independent loss caused by crystalline defects, impurities, and pits and scratches in the optical polish.

LB L c

B A C Fig. 1. Schematic diagram of 3-transducer.

247

Page 260: Advances in Surface Acoustic Wave Technology, Systems

1114 H. Odagawa & K. Yamanouchi

Los

s (d

B)

c o C a

oo a c

Prop

agat

ion

Los

s (d

B/u

nit l

engt

h)

oc OB

C 1

<* 0

(

-

D

i | i |

i . i

Temperature

(a)

' i • i

i . i

Temperature

(b)

1 I

i

(K)

i 1

i 1

(K)

1

^ I B "

300

/

a £

300

Fig.2. Measurement method of the propagation loss.

2.3. Measurement results of propagation loss

The 3-transducer structure fabricated on 128° Y-X LiNb03 is used in the experiment. The wavelength of the transducers is X = 0.4 (im, and the propagation length LB and Lc

are 105 X and 300 X, respectively. The pair number is 10, the aperture is 20 X and the film thickness of the Al electrodes is 30 nm. Table 2 shows the insertion loss of the 3-transducer device at room temperature (293 K) and at low temperature (7 K). In order to control the temperature, a helium flow cryostat is used. In this method, the sample space is directly cooled by temperature controlled helium. The measurement at 7 K is carried out after the sample is stabilized at 7 K for 1 hour, and then after the sample is warmed up to 293 K in a period of more than 3 hours, it is measured at 293 K.

248

Page 261: Advances in Surface Acoustic Wave Technology, Systems

SAW Devices Beyond 5 GHz 1115

Table 2. Insertion loss of 3-transducer device at room temperature (293 K) and low temperature (7 K)

Frequency (GHz)

9.63

9.7

Propagation length (A.)

105

300

Insertion loss at 293 K

31.3

34.2

Insertion loss a t7K

28.3

30.1

The experimental value at 10 GHz obtained from table 2 is plotted on Fig. 3. In this figure, the results at 1 to 5 GHz obtained in previous work 4 are also plotted. As shown in this figure, the attenuation (dBM,) is almost proportional to the 1.25 power of the frequency, and the propagation loss in the 10 GHz-range is about 1.5 dB / 100 X. This value includes the air loading loss and the temperature independent losses. This result shows that SAW propagation is low-loss even in the 10 GHz-range, and that SAW devices should be applicable in this frequency range. Figure 4 shows the frequency dependency of only the temperature dependent loss due to the interaction with thermal phonons. The new result at 10 GHz is obtained in the present experiment while the other results were obtained in Ref. 4. The loss (dB/cm) is almost proportional to the square of frequency. From these experimental results, we can conclude that the propagation loss and air loading loss are not the main contributors to the insertion loss in 10 GHz-range SAW devices.

0.020

^ 0.010 -< 0.008

3 0.005 c 0.004

• 2 0.003

§ 0.002

0.001 0.0008

1 2 3 5 7 10 Frequency (GHz)

Fig.3. Frequency dependency of the propagation loss on 128° Y-X LiNbO? at room temperature.

1 I - T 1 1 1 1 1 |

1.5dB/100A atlOGHz ,/

0.56dB/100A / at 5GHz . /

/0.33dB/10OA / at3GHz "

- 0.08dB/100A at 1 GHz , -

249

Page 262: Advances in Surface Acoustic Wave Technology, Systems

1116 H. Odagawa & K. Yamanouchi

a o

PQ

3 GO

o

o -t—>

03 U ) <tf OH O VH

200

100

50

20

10

5

1

T I I | • ' ' ' | y

141dB at 10GHz

29dB at 3GHz

7.9dB at 2GHz

2dB at 1GHz

1 2 5 10 Frequency (GHz)

Fig.4. Frequency dependency of the loss due to the interaction with thermal phonons on 128° Y-X LiNbOj at room temperature.

3. Influence of Electrode Resistance in SAW Devices

In the previous section we confirmed that the propagation loss in the 10 GHz-range was small. The value was about 1.5 dB / 100 A,, which included the interaction with thermal phonons, scattering by crystalline defects, impurities, and pits and scratches in the optical polish, and energy lost to air adjacent to the surface. In this section, we describe the electrode resistance which largely affects the insertion loss of SAW device beyond 5 GHz-range.

3.1. Influence of the resistance ofAl thin film in 8GHz-range SAW filters

In order to consider the influence of the electrode resistance, filters using a floating electrode type unidirectional transducer (FEUDT) 5'6,7,8 are fabricated and measured at room temperature and low temperature. The structure and features of FEUDTs will be described in Section 5.1. Figure 5 (a) and (b) show the frequency response of a 8 GHz A.,/10 type FEUDT 8 at room temperature (300 K) and low temperature (7 K), respectively. These are result obtained at the second harmonic operation. ' The FEUDT is fabricated by electron beam exposure and lift-off process. The fabrication

250

Page 263: Advances in Surface Acoustic Wave Technology, Systems

SAW Devices Beyond 5 GHz 1117

techniques are described in the Section 4. The substrate is 128° Y-X LiNbO^, the wavelength at second harmonic is ^2=0-45 u.m and the propagation length is 46 A.2. The pair number is 20, the aperture is 46 A,2, the film thickness of the Al electrode is 30 nm, and the electrode width is 0.09 u,m. These frequency responses are obtained by using a time gate to reject the feedthrough of electromagnetic waves. The triple transit echo (TTE) is included in these results.

log MOG

CENTER 9.500000000 OHz SPAN

(a)

<& 0 .0 dB A 10 .0 OB--

* •

MORI E

p o i r ,

;

V/w V

<ER . 3 2 :

V \ \

7 5 C

A / 'V

H z

\P 1

/

A H

$ / \

\

^ /

V i

A , ^ V V

/ V /

CENTER S. 2000650000 Ota SPPN 3.000000000 GHz

(b)

Fig. 5. Frequency responses of a 8 GHz-range A.i/10-type FEUDT filter, (a): measured at 300 K, (b): measured at 7 K.

251

Page 264: Advances in Surface Acoustic Wave Technology, Systems

1118 H. Odagawa & K. Yamanouchi

Comparing both minimum insertion losses, we can see that the minimum insertion loss decreases at low temperature. The insertion loss at 7 K is about 8.5 dB, which is 3.4 dB lower than that at 300 K. Since the propagation length is 46 X2 in this case, the propagation loss due to the thermal phonons is only 0.26 dB according to Fig. 4. Therefore, the major reason of the decrease in the insertion loss is not caused by the propagation loss. Instead, we fined that the insertion loss is largely affected by the electrode resistance. Actually, this resistance, which is measured by DC voltage at 7K, decreases to 1/3 in comparison with its value at 300 K. This means that a reduction of the electrode resistance is very important to obtain the low-loss characteristics in the high frequency range. For example, the use of the wide electrodes, and the development of low resistance metal films are necessary to obtain low loss devices, especially beyond 5 GHz.

3.2. Superconducting IDT using Nb thin film

One of the experiments to deal with the electrode resistance was carried out using a superconducting IDT electrode 2'3 instead of a conventional Al electrode. In this experiment, a Nb thin film is used in a 5 GHz-range Ji,/]0 type FEUDT filter. It is fabricated by electron beam exposure and lift-off technique described later. The substrate is 128° Y-X LiNbOj, the wavelength at second harmonic is ^.2=0.8 (im and the propagation length is 40^2- The pair number is 20, the aperture is 40^.2 and the film thickness of the Nb electrode is 100 nm. Figure 6 (a) and (b) show the frequency responses of the Nb FEUDT filter at 293 K and 5 K, respectively. Comparing both minimum insertion losses, we can see that the minimum insertion loss decreases at low temperature. The insertion loss at 5 K is about 13.3 dB, which is 12.1 dB lower than that at 293 K. The plots in Fig. 7 show the temperature dependency of the 5 GHz-range Nb FEUDT filter. The broken line shows the relative resistivity of the Nb film. As the temperature becomes lower, the insertion loss becomes lower, and finally, it changes markedly by about 2.2 dB at 7 K because the Nb resistance changes from normal to superconducting. The changes in the insertion loss and in the relative resistivity correspond well at the lower temperature. Although these results do not directly show that the superconducting Nb electrode is suitable for low-loss filters, they are important, because they suggest a direction of research on low loss filters beyond 5 GHz.

252

Page 265: Advances in Surface Acoustic Wave Technology, Systems

SAW Devices Beyond 5 GHz 1119

l Oct 1908 Si: 13: 39 CM! 3 g l lop HAO 10 OB/ BEF 0 OB A; -23.4Q3 dB

C o r

G « t

H i d

M

I Vy U

V

/ V

/ /

/ J

\ \ \

4

f\ j

ooe. loo oc

• ^ - ^

^ V V

4 M H l

J /

/

START 3 000.000 000 MHl STOP 3 OOO.OOO OOO MHl

(a)

1 Oct 199B 17: SO: 04 CHI Sg1 lop MAG 10 OB/ P.CF O OB Jj -13.310 OB

pnm

C o r

G a t

H i d

H

i«n vf !

i

!\\ ''V A/i/

Vf

./V AJ w 1

A

' V

A

V

0 7 6 .

A

V V

OO o :

~ \ v

2 MHz

r

START 3 OOO.000 OOO MHz STOP 9 OOO.OOO OOO I

(b)

Fig. 6. Frequency responses of a 5 GHz-range X/10-type FEUDT filter using Nb electrodes, (a): measured at 293 K, (b): measured at 5 K.

253

Page 266: Advances in Surface Acoustic Wave Technology, Systems

1120 H. Odagawa & K. Yamanouchi

PQ

3 S/3

O

c o

C

100 200 Temperature (K)

10 Temperature (K)

Fig. 7. Temperature dependency of the insertion loss of a 5 GHz-range X/10-type FEUDT filter using Nb electrodes (a), and relative resistance of the Nb film (b).

4. Fabrication Process

In the previous section, we considered some mechanisms for the insertion loss beyond 5 GHz. The next important concern for low-loss characteristics is the structure of the device. However, for discussing the device structure, we always have to consider whether a fabrication technique is available to realize the device structure. Therefore, before describing the structure of the filter, we describe in this section the fabrication technology for obtaining ultra-fine IDT electrodes around 0.1 (im.

4.1. Fine lithography technology

SAW device can be fabricated by applying integrated circuit fabrication techniques using lithographic processes. Many types of fine lithography are proposed. Photolithographic techniques using the Hg-sourced G-line/H-line series to I-systems

254

Page 267: Advances in Surface Acoustic Wave Technology, Systems

SAW Devices Beyond 5 GHz 1121

(wavelength of 300 nm to 450 nm) have been developed, and are usually used in modern SAW device fabrication. A more developed method uses shorter wavelength ray sources, such as the excimer-based KrF (248 nm), ArF (193 nm) systems. Wavelengths of the above sources are shown in Fig. 8. In Ultra-Large-Scale-Integration (ULSI) technology, the 0.18 u.m process has started using the KrF excimer laser. Now the next interest is 0.13 and 0.1 u.m technology. Especially, in the 0.1 u.m process, there are several selections, such as electron beam lithography, X-ray lithography using Synchrotron Orbital Radiation (SOR), and F2 excimer laser (157 nm) lithography. Within a few years, the techniques of the 0.1 u.m process will become the mainstream.

bea

m

.—•-

lig

ft

o

Inte

nsit

y

far-ultra-violet

Fi ArF KrF 1 1 • 1 l I 1 1 •

1

ultra-violet visible

XeCI Hg-source high-• voltage laop • . .

_L

g

h I P *

1 100 200 300 400 500

vavelength of generated l igh t (nm)

Fig. 8. Wavelength of the ray source.

4.2. Electron beam exposure process for SAW applications

The direct Electron Beam (EB) writing techniques provide the finest line width, and an almost established technology to obtain 0.1 ^m-width electrodes. One of the drawbacks of the EB process is the throughput, because the conventional EB system uses only one focused electron beam to scan the exposure area. However, the size of the SAW device is inversely proportional to the frequency range of the device, and because it is suffices to use the EB lithography only for the interdigital electrode and it is not necessary to use for the bonding-pads and most of the lines for the electrical connection, which occupy the most of the area in the SAW device. The large area can be exposed by conventional photolithography after (or before) exposing or fabricating

255

Page 268: Advances in Surface Acoustic Wave Technology, Systems

1122 H. Odagawa & K. Yamanouchi

the interdigital electrodes by EB lithography. In this case the time to expose the IDT of one device is only a few seconds. Moreover, the writing speed of EB systems is increasing, and resist materials for EB are being developed. Therefore, it is just a matter of time before SAW device processing using EB lithography may be seriously considered for practical applications.

Figures 9 and 10 show a flowchart of the etching and the lift-off techniques used for EB lithography. Each method has its own features. The most important feature of the etching process is that there are no limitations on the deposition of metal thin film for the electrode, such as deposition method and deposition temperature. Therefore, we can deposit the low resistivity metal film by the best method and the best condition available, and then we fabricate the electrode by etching. However in the etching process, the process parameters such as the etching rate of the metal film and the resist film, the determination of the etching stop as well as the process parameters in the lithography must always be carefully considered.

(1)

(2) I I

Expose and Development

(3)

Dry or Wet Etching

Fig. 9. Flowchart of etching process.

256

Page 269: Advances in Surface Acoustic Wave Technology, Systems

SAW Devices Beyond 5 GHz 1123

On the other hand, with the lift-off process the electrode can be fabricated by a

simpler procedure. In other words, the process parameters in lift-off process are fewer

than that in etching process. When the inverse tapered resist pattern is obtained

reproductively, the process is almost finished in the lift-off process. And the lift-off

process does not cause the mechanical damage on the surface in contrast to the dry

etching techniques. However, in the SAW device fabrication using EB lithography, the

lift-off process requires additional film deposition to avoid charge-up by the electrons

since the substrates of SAW devices are insulator materials. Figure 10(a) shows the

case where a Cr film is used under the resist film, and Fig. 10(b) shows the case where

an Au film is used on top of the resist film.10 Both methods use the 0 2 plasma ashing

technique. 10 Fine Al electrodes with a width of less than 0.1 u.m and a thickness of

about 30 nm were obtained both with the lift-off and the etching process. Figure 11

shows one of the Al electrodes for a 10 GHz-range ladder type SAW filter (described

in Section 6), which is made by the lift-off process shown in Fig. 10(a), and the line

and space width is 0.09 H-m.

EB exposure

Resist

Development, 0 2 plasma ashing

|. | *i^&smmmmmmmvmii

1 1 1 m>.:<r:-^^^^^^t^t^^f^^^^^^^^m^i

Cr etching, 0 2 plasma ashing

Al evaporation

Lift off

Cr etching

Fig. 10(a). Flowchart of lift-off process for dielectric materials.

257

Page 270: Advances in Surface Acoustic Wave Technology, Systems

1124 H. Odagawa & K. Yamanouchi

E.BEAM

Hi ill • " •

Au (100 X)

':*:*:*:*: i P^aiySfxgxfj V - RESIST

/ / / / / / / / / / * * * SUBSTRATE

V Au ETCHING

•Y.-Y.yjyyS.-.'.'V.-i

SUBSTRATE

-RESIST

1} DEVELOPING and

0» PLASMA ASHING

77V / 77V / 7 / """ SUBSTRATE

\ / Al EVAPORATION

V ya / / / / / / / / / /

-RESIST

SUBSTRARE

V LIFT-OFF

^ — A l

/ / 7 T / / 7~7~> / SUBSTRATE

Fig. 10(b). Flowchart of lift-off process for dielectric materials.

258

Page 271: Advances in Surface Acoustic Wave Technology, Systems

SAW Devices Beyond 5 GHz 1125

0 1 5 9 0 6 2 0 . 0 k V X 3 0 . 0 K aa 'enm

Fig. 11. Fabricated Al electrodes for 10 GHz-range SAW filter.

(0.09 |im L/S)

4.3. Advanced fabrication process of narrow-gap structures using anodic oxidation

techniques

The electrode width of a conventional IDT with line and space ratio of 1:1 is A/4 as shown in Fig. 12(a), A being the wavelength at the center frequency f0. In contrast, the electrode width of a comparatively narrow gap IDT (NG-IDT) l0 shown in Fig. 12(b) is about A/2, and the operating frequency is twice that of an IDT using the same width of the electrodes. The operating frequency of NG-IDTs can, therefore, be increased, and the electrode resistivity reduced to about half that of conventional IDTs. Similar to the case of double electrodes, the reflections from the electrodes due to mass loading is reduced. Therefore, the narrow-gap structure is very useful for high frequency SAW

Fig. 12. Structures of a conventional bidirectional transducer (a) and a

narrow-gap transducer (b).

259

Page 272: Advances in Surface Acoustic Wave Technology, Systems

1126 H. Odagawa & K. Yamanouchi

devices.

Comparative narrow gaps can be obtained by separating the electrodes using an anodic oxidized film in the lift-off anodic oxidation method. Figure 13 shows the fabrication techniques using this method. The electrode separation using an anodic oxidized film is more reliable and has a better insulation between electrodes. It can be applied to the EB lithography process. An example of a fabricated narrow-gap electrode is shown in Fig. 14. " This Al electrode is made on 128° Y-X LiNb03, and the period of the electrode is 0.16 urn and the thickness is 30 nm. This electrode works as a 12 GHz-range bidirectional transducer, and the experimentally measured insertion loss of the filter is about 16 dB, which includes the bidirectional loss and mismatching loss as shown in Fig. 15. In this case, because the transducers are bidirectional, the large ripple caused by the TTE appears in the pass band. However Fig. 15 shows that we can excite and receive efficiently the SAW in the 12 GHz range, and we can expect that low-loss and wide-band characteristics will be obtained if we use a unidirectional transducer. The filters using unidirectional transducers with narrow-gap structures will be described in Section 5. The narrow-gap structure and the fabrication process using anodic oxidation are useful techniques in GHz-range SAW devices.

RESIST AUO

SUBSTRATE

(a) Conventional etching, Anodic oxidation

SUBSTRATE

(b) Al evaporat ion

SUBSTRATE

(c) Lift off

Fig. 13. Fabrication process of narrow gap IDTs using the anodic oxidation technique.

260

Page 273: Advances in Surface Acoustic Wave Technology, Systems

SAW Devices Beyond 5 GHz 1127

n r%. *% Tci Y> 3 ^ * •

iilllillliif:MllM^^

Fig. 14. Fabricated Al electrodes for a 12 GHz-range transducer using

narrow gap structure.

S8 to 3 m m O 40

OS ui

5 io

300

1 * 1 • » " 1 * 1

Min.l.LsiMMB ^

kw- % \j-\ y - ••

k - j . 1 - ••• ••

1 . i . i , i . i

* "T ' "I

•• ty ™f-<4

• ;••• • •'• J

i . i 12 S4

FREQUENCY [GHzJ

Fig. 15. Frequency response of 12 GHz SAW filter using bidirectional

transducers with narrow gap structure.

261

Page 274: Advances in Surface Acoustic Wave Technology, Systems

1128 H. Odagawa & K. Yamanouchi

5. SAW Filter Using Unidirectional Transducer Beyond 5 GHz

Conventional IDTs have an inherent minimum insertion loss of 6 dB because of bidirectionality and strong pass band ripple due to TTE. Moreover, in the high frequency range, the reflection of SAWs at the electrodes become large, causing multiple reflections of SAW in the IDT similarly to what happens in SAW resonators because the relative electrode thickness to the wavelength become large. As a result, the radiation efficiency decreases markedly, and wide band characteristics cannot be obtained for low-loss wide-band filter. Therefore use of unidirectional transducers is a practical choice.

Important considerations for low-loss and wide band filters using unidirectional transducers beyond 5 GHz-range are a minimum electrode width, directivity, and radiation efficiency. The minimum electrode width is an important parameter from the viewpoint of low-loss characteristics, since a reduction of the electrode resistance is indispensable for low-loss devices. Moreover, from the viewpoint of the fabrication, the electrode width depends directly on the frequency limitation. The directivity and the radiation efficiency should be chosen by considering the total specification of the required characteristics.

To study unidirectional transducers is very important, not only for achieving low-loss filters but also for the development of novel SAW devices, since there are certain characteristics that can only be achieved by unidirectional filters. Also such properties are indispensable in the SAW filter with good phase characteristics and functional device such as SAW convolver and switching devices for optical applications. In this section, we describe some low loss filters using unidirectional transducers beyond 5 GHz-range.

5.1. FEUDT

One of the most practical unidirectional transducers which are applicable beyond the 5 GHz range is the FEUDT (Floating electrode type unidirectional transducer). 5'6'7'8

Generally, the frequency response of the FEUDT depends on the reflection coefficients of SAWs at the electrodes, which are caused by both mechanical and electrical effects. The A712 type FEUDT 5'6 shown in Fig. 16(a) has unidirectional characteristics mainly due to electrical reflection at the open and shorted floating electrodes. This type has good characteristics, but unfortunately, the electrode width is too narrow beyond the 5 GHz range. Also the 3^/10 type FEUDT 7 shown in Fig. 16(b) has good characteristics. This structure can excite SAWs efficiently in second harmonics operation. Therefore, the minimum electrode width is A,2/5 in second harmonic operation. Moreover, this type can be realized in narrow gap structure using anodic oxidation techniques as shown in Fig. 16(c). 8 I° However, the characteristics of this

262

Page 275: Advances in Surface Acoustic Wave Technology, Systems

SAW Devices Beyond 5 GHz 1129

structure largely depend not only on electrical reflection but also on mechanical reflection at the electrodes. Therefore, an increase of the electrode thickness results in a too large directivity because of the increase in the reflection coefficient with increasing film thickness. A large directivity produces a large variation of the admittance, which causes a ripple in the main lobe of the frequency response. Generally, when the mismatch at an electric port is large, the reflection of the SAW at an acoustic port of the receiving transducer increases with increasing directivity. Therefore, a directivity with a value of around 7 dB is preferred in the FEUDT to

x, (a) r

« = •

| S - * | |6

x, 3 x, 10 10

(c)

< >

- * -2X<

(d)

£=>

x, 1 0

Fig. 16. Structure of FEUDT.

263

Page 276: Advances in Surface Acoustic Wave Technology, Systems

1130 H. Odagawa & K. Yamanouchi

obtain low ripple characteristics. Since thin electrodes make the insertion loss large, thick electrodes relatively to the wavelength are required beyond 5 GHz. It is necessary to use a A.|/l0 type FEUDT which corresponds to a suitable directivity for the thick electrode beyond the 5 GHz range.8

Figure 16(d) shows the configuration of a X\/\0 type FEUDT. This transducer also works efficiently in second harmonic operation. It has a symmetrical arrangement of electrodes in terms of the mass loading effect, with a directivity that does not depend very much on the electrode thickness. Moreover, this A.,/10 type FEUDT is advantageous in terms of fabrication, especially for electron beam lithography, since all the ratios of line to space are 1.0. The electrode width is X2/5 in second harmonic operation. Figure 17(a) shows a frequency response of the X /10 type FEUDT filter working in second harmonic operation in the 8 GHz range. This filter is made by the lift-off process. This is a connecter-to-connecter characteristic. Al wire bonding is used to connect the electrical port to the SMC connectors. The length of the Al wire is chosen to cancel the susceptance in the electrical port of the transducer. This characteristic includes the TTE signal while the feedthrough signal is rejected by time gating. Figure 17 (b) shows a Smith chart of this filter. The electrical matching is not satisfactory as shown in this figure. The insertion loss will be reduced when the electrical matching is carried out completely. The substrate is 128° Y-X LiNb03, the wavelength at second harmonic is ^2=0.45 |i,m and the propagation length is 46A,2. The pair number is 20, the aperture is 46X.2) the film thickness of the Al electrode is 30 nm, and the electrode width is 0.09 |a.m.

REF 0.0 dB 1 10.0 CB' V -11.9B9 OB

MOW

poirv

\A' w **

. 395

iV I Y

5 Gl-

IA I

I

1

1 /

i\l r

j 1 1 1 1 1

t-

\j\ n \

..

\

V \

4*\ f I

— .

} j i

CENTER 8. 200000000 GHz SPON 3. 00000O0O0 GHz

Fig. 17(a). Frequency response of XVIO type FEUDT filter.

264

Page 277: Advances in Surface Acoustic Wave Technology, Systems

SAW Devices Beyond 5 GHz 1131

1/0 Units 300.0 mUnits^

CENTER B. 200000000 GHz SPPM 3.000000000 GHz

Fig. 17(b). Smith chart of \l 10 type FEUDT filter.

5.2. A/4 electrode thickness difference type SPUDT

An electrode configuration similar to that of the conventional 2 fingers/A. IDT is also applicable for high frequency single phase unidirectional transducers (SPUDTs), since the 2/A. IDT has the highest radiation efficiency and wide electrodes. This is important from the points of view of the fine pattern fabrication and for decreasing the electrode resistance. In order to realize such SPUDTs, electrodes of different thickness are useful because they shift only the center of reflection while the radiation efficiency remains high. We have previously reported some practical fabrication processes for electrodes of different thickness. 1213 Figure 18(b) is an electrode thickness difference type SPUDT (ETD-SPUDT) u with an electrode pattern width ofk/4. Figure 18(a) shows a split finger IDT which has unidirectional characteristics due to the difference in the reflection coefficients of the electrodes of different thickness or different material. The mechanism of the unidirectionality for this transducer has been described by Hartmann and Wright. 15 It has high efficiency, and the fabrication for the GHz range is easy if electrochemical fabrication techniques are used. I2

On the other hand, Fig. 18(b) is a narrow gap version of Fig. 18(a) made using anodic oxidation techniques. The mechanism of the unidirectionality is the same as that of the structure in Fig. 18(a), and the radiation characteristics are the same as those of the conventional narrow gap IDT. This IDT is well suited for the high frequencies since the electrode width of the structure in Fig. 18(b) is twice that of the structure in Fig. 18(a), making the electrode resistance approximately half that of the

265

Page 278: Advances in Surface Acoustic Wave Technology, Systems

1132 H. Odagawa & K. Yamanouchi

the conventional 2 finger/A, IDT.

fn\ if (a) r

m / h \ l«-\P) 1*

i + 1

+

+

X '1

m - m x .i

— —

n

! + \

Fig. 18. Configuration of ETD-SPUDTs. (a) Split finger type, (b) XIA type.

The fabrication process of the X/4 ETD-SPUDT is shown in Fig. 19. Only the X/4 line and space processes are used, and no accurate alignment exposures are required. One of the fabrication processes is as follows.

(a) (1) An Al film with a thickness of h, (Al-1) is evaporated onto the piezoelectric substrate and resist patterns (main electrode patterns) are formed on the Al-1. (2) The Al-1 film is etched by conventional wet or dry etching, and Al electrodes (Electrode 1) are fabricated. (3) Without removing the resist pattern, anodic oxidation is carried out in order to make an A1203 film for electrical separation of the + and the - electrodes.

(b) (4) A second Al film with a thickness of h2 (A 1 -2) is evaporated from an oblique direction '6 shown by the arrow in the figure, without removing the resist film.

(5) The areas in the shadow of the resist, which are shown as white areas in Fig.

15(b), are not evaporated by the Al film. (c) After that, a lift-off process is carried out. (d) Electrodes 1 and 2 are connected when a thick Al film for bonding pads is

fabricated by a lift-off process and the desired structure is obtained.

Experimental results obtained using 128° Y-X LiNb03 substrate and Al electrodes are as follows. Figure 20 is a scanning electron microscope (SEM) micrograph of the electrodes of the fabricated 5 GHz range X/4 ETD-SPUDT. The period of the electrodes is 0.185 (im. In this case, the thickness of Al-1 and Al-2 are 60 nm and 45 nm, respectively.

266

Page 279: Advances in Surface Acoustic Wave Technology, Systems

SAW Devices Beyond 5 GHz 1133

(a)

n n Resist

/ K \ Oblique angled evaporation \ / V W W W

?:£•*• Al - 1 Substrate

LJ 'Electreode 1

AJ =Z

Substrate

(c)

Electrode 2 Pad layer

Fig. 19. Fabrication process of A/4 ETD-SPUDT.

Fig. 20. SEM micrograph of the Al electrode of 5 GHz-range A/4 ETD-SPUDT.

Figure 21 shows the directivity of the A/4 ETD-SPUDT measured in the 500 MHz range as a function of the pair number (N) for various film thicknesses. It shows that an IDT with 40 finger pairs has a large directivity (more than 8 dB) within the practical thickness range.

267

Page 280: Advances in Surface Acoustic Wave Technology, Systems

1134 H. Odagawa & K. Yamanouchi

Figure 22 shows the frequency response of a 5 GHz range X/4 ETD-SPUDT filter.

The Al thicknesses are 70 nm (9.5 % of the wavelength) and 50 nm (6.8 % of the

wavelength), and the pair number is 30. This characteristic is extracted from the

experimental S parameter measured by a microwave probe, assuming that ideal

matching circuits are added at the electrical ports. In this case, a minimum insertion

loss of 5.6 dB is obtained in the 5 GHz range.

10

5 2

X /4 = 2 li m

~\—i i i | i—i—i i | i — m — | — i — i — r

:00nm/50nm

..-•'"" 150nm/50nm

100nm/50nm

0 I i i i i 10 20 30 40

Pair Number 50

Fig. 21. Directivity of ETD-STUDT.

Min. I.L. = 5.6 d B

m 20 T3

to s 40

- 1

S 60

<L>

100

MOR

* polrr

. r V \

CER .93^

'JA

s 1

*5 O

A /

tz

A

A • /

/ " / \

\r v i

^ A,, V I A/

' V ^N.

4.5 5 5.5

Frequency (GHz) Fig. 22. Frequency response of 5 GHz ETD-SPUDT

268

Page 281: Advances in Surface Acoustic Wave Technology, Systems

SAW Devices Beyond 5 GHz 1135

6. Ladder Type Filter

Another type of SAW filter, called ladder type filter, 16 does not rely on SAW propagation, but instead uses SAW resonators as impedance elements. Using ladder type filters, low-loss characteristics have obtained beyond the 5 GHz-range. ' ' In this section, low-loss ladder type filters in the 10 GHz-range are described.

In order to study the characteristics of a ladder type filter from equivalent circuit model analysis, we determined the parameters of the equivalent circuit experimentally, as no studies have been carried out on the reflection characteristics of SAWs for thick electrodes. Figure 23(a) shows the admittance characteristic of a 5 GHz-range SAW filter using a A/4 width conventional bidirectional IDT on 128° Y-X LiNb03. The finger pair number of the IDT is 18, the aperture is 30 X, and the thickness of the Al film is 60 nm (0.08A.), where X is 0.75 urn. Large ripples are caused by the reflection in the receiving IDT. We determined the parameters by fitting the calculated results to the

«EF 6.0 Limits REF B.O U~ut.» 3.0 ntlnits ' ' A 3.B nO- i l t ^

n.aea wu *j A.ITG nu •v

MOR

p o i «

.

<ER . 0 7 ;

&•&

L 5 o lz

^~-

• A

vf

Jw

lA 'I I v\\ -^r

CENTER 3.0650000000 O-fct ?>CN 2.000000000 GHZ

(b)

,6,20

03

<

0.8 1 1.2 Normalized Frequency

Fig. 23. Admittance characteristics of the 5 GHz-range filter using conventional

bidirectional IDT. (a) experimental, (b) calculated results.

269

Page 282: Advances in Surface Acoustic Wave Technology, Systems

1136 H. Odagawa & K. Yamanouchi

experimental results. First, the susceptance Bs, which represents phase shifts caused by the energy storage effect and the piezoelectric shorting, 20 is determined as the center frequency is fitted to experimental results, and then the normalized acoustic impedances of the electrodes (Zm) is determined as the admittance characteristics are fitted to the experimental results. Figure 23(b) shows the calculation results. In this case, Bs is 0.16 and Zm is 1.064.

Figure 24 shows the structure of the ladder type filter. It has 6 SAW resonators which have reflectors of 20 strips with widths of X/4 at both sides of the resonators. However, the effects of the number of gratings are small because the reflection coefficients of the electrodes are large. The parameters are shown in Table 3. The ratio of the static capacitance of resonator A to that of resonator B is 1.7. The frequency response calculated using the obtained equivalent circuit parameters is shown in Fig. 25.

Port 1 (IN)

o

ntm

• I N / I N /

I Mil ntm ntm

A A

Port 2 (OUT)

o

IS ntm

Fig. 24. Structure of the ladder type filter.

Table 3. Parameters of the ladder type filter in 10 GHz.

Pair number Aperture Period (wavelength)

Resonator A

Resonator B

120.5

100.5

11.8 XP

lO.OXs

0.38 urn

0.37 urn

270

Page 283: Advances in Surface Acoustic Wave Technology, Systems

SAW Devices Beyond 5 GHz 1137

0

m 1 0

o _i

| 30 o> in

•E 40

50

0.95 1.00 1.05 1.10 Normalized Frequency

Fig. 25. Calculated frequency response of the ladder type filter.

The micrograph of the electrodes in resonator A observed by SEM was shown in Fig. 11 in Section 4.2. The electrode width is 95 nm, and the thickness of the Al film is 30 nm (0.079k). They are fabricated on 128° Y-X LiNb03 using the electron beam exposure system and the lift-off process.

The resolution of the EB system is 40 to 50 nm and the minimum step of the beam scan is 10 nm in the setting of this experiments. Because of the limitation of the scan step, we use the structure shown in Fig. 26 (b) as the resonator B for the purpose of adjusting the resonant frequency of resonator B to the antiresonant frequency of resonator A.

Figure 27 shows the frequency responses of the 10 GHz-ladder type filters. They are measured by microwave probes. A low loss characteristic with a minimum insertion loss of 3.4 dB is obtained as shown in Fig. 27(a). Figure 27(b) shows the characteristic of another filter. A considerable reduction by 25 dB of the electromagnetic feed-through is obtained in the 17 GHz range.

The reduction characteristics in the high frequency range largely depend on the structure of the connection electrodes and the structure of the bonding pads. It is necessary to optimize the structures. These results show the feasibility of SAW devices for communication systems in the 10 GHz-range.

271

Page 284: Advances in Surface Acoustic Wave Technology, Systems

1138 H. Odagawa & K. Yamanouchi

( a ) 0.19 Mm 0 . 19 M m

r* 4« •!

(b) 0.19//m 0.18/im I I I r H — H

Fig. 26. Structure of resonators, (a) Resonator A, (b) Resonator B.

W A 3.B V -3.39J

log MOG

392 cS

'V>

MfiR J

po.r,

; —

<ER 0 . 2 :

«*

L GH;

\

r /

Iv \ \ r—

|

(b) log MPG

* - « = *»

I po ln

/ /

/ /

3101 dB

CER 0.2Z

^

r205 GHz

|

s. tS "('

START B.a43BgBieaa Ota STOP aa.BBBOflawaa GHZ

Fig. 27. Measured frequency responses of 10 GHz-range ladder type filters.

272

Page 285: Advances in Surface Acoustic Wave Technology, Systems

SAW Devices Beyond 5 GHz 1139

7. Prospective

In this paper, we described the recent progress in high frequency SAW technology based on the ultra-fine fabrication using EB direct writing techniques. On the other hand, other approaches using high-speed substrates have also been studied and have shown promise for achieving SAW device beyond 5 GHz-range by means of optical lithography. For example, diamond thin film on Si substrate, 2I'22 longitudinal leaky surface wave on Li2B407

23 and other materials have the possibility to beyond 5 GHz devices without using EB lithography.

In order to realize the 10 GHz-range SAW devices commercially, issues such as electromagnetic feedthough rejection, the durability of the electrodes, the electrode resistance, and fine electrode fabrication techniques should be studied. These are important issues not only for the SAW devices, but generally for high frequency miniaturized devices. However, especially in SAW devices, the substrate is a dielectric material, which sometimes has a high dielectric constant. The design technology that will be required in 10 GHz range does not lend itself to a separate evaluation of the IDT characteristics and the packaging, but must instead be based on a 3 dimensional analysis of the electromagnetic field of the total device, which includes both the substrate, the IDTs and the packaging. By optimizing the total device structure, we will be able to achieve a sufficient electromagnetic feedthough rejection. In fact, we experimentally obtained the 50 dB suppression of the feedthough signal for propagation distance of 100 X at the 10 GHz. Furthermore, advanced trimming techniques will also be required in 10 GHz-range, especially when relatively narrow band characteristics are required.

8. Conclusions

We described SAW device techniques for the 5 to 10 GHz range based on ultra-fine fabrication techniques. The recent advances show that the SAW device technology has reached a level at which practical applications should be considered up to the 10 GHz range. Several approaches may be applied to achieve high frequency SAW devices. Here is shown how SAW devices fabricated by EB lithography may be approaching sufficient maturity to be considered for practical applications.

273

Page 286: Advances in Surface Acoustic Wave Technology, Systems

1140 H. Odagawa & K. Yamanouchi

References

1. A. J. Slobodnik, P. H. Carr and A. J. Budreau, "Microwave Frequency Acoustic Surface-Wave

Loss Mechanism on LiNb03", J.Appl.Phys., Vol.41, No.l 1 (1970) 4380—4387.

2. K. Yamanouchi, "Generation, Propagation, and Attenuation of 10 GHz-Range SAW in

LiNbO,", 1998 IEEE Ultrason. Symp. Proa, Vol.1 (1998) 57—62.

3. K. Yamanouchi, H. Nakagawa, J. A. Qureshi and H. Odagawa, "10 GHz-Range Surface

Acoustic Wave Low Loss Filter Measurement at Low Temperature", Jpn.J.Appl.Phys., Vol.38,

No.5B (1999) 3270—3274.

4. K. Yamanouchi, "GHz-Range SAW Device Using Nano-Meter Electrode Fabrication

Technology", 1994 IEEE Ultrason. Symp. Proc, Vol.1 (1994) 421—428.

5. K. Yamanouchi and H.Furuyashiki, "New Low-Loss Filter Using Internal Floating Electrode

Reflection type of Single-Phase Unidirectional Transuducer", Electron. Lett. Vol.20, No.24

(1984)989—990.

6. M. Takeuchi and K. Yamanouchi, "Coupled Mode Analysis of SAW Floating Electrode Type

Unidirectional Transducers", IEEE Trans. Ultrason. Ferroelec. Freq. Contr., Vol.40, No.6 (1993)

648—658.

7. K. Yamanouchi, M. Takeuchi, T. Meguro, K. Doi and K. Murata, "Wide Bandwidth Low Loss

Filter Using Piezoelectric Leaky SAW Unidirectional Transducers with Floating Electrodes",

Jpn. J. Appl. Phys., Vol.30, Supplement 30-1 (1991) 173—175.

8. K. Yamanouchi, C. S. Lee, K. Yamamoto, T. Meguro and H. Odagawa, "GHz-range

Low-Loss Wide Band Filter Using New Floating Electrode Type Unidirectional Transducers",

1992 IEEE Ultrason. Symp. Proc, Vol.1 (1992) 139—142.

9. K. Yamanouchi, Y. Cho and T. Meguro, "SHF-Range Surface Acoustic Wave Interdigital

Transducers Using Electron Beam Expusre", 1988 IEEE Ultrason. Symp. Proc, Vol.1 (1988)

115—118.

10. K. Yamanouchi, T. Meguro and K. Matsumoto, "Surface-Acoustic-Wave Unidirectional

Transducers Using Anodic Oxidation Technology and Low-Loss Filter", Electron. Lett. Vol.25,

No. 15 (1989) 958—960.

11. H. Odagawa, N. Tanaka, T Meguro and K. Yamanouchi, "Submicron Fabrication

Techniques Using Electro-Chemical Effects and Application to Unidirectional SAW

274

Page 287: Advances in Surface Acoustic Wave Technology, Systems

SAW Devices Beyond 5 GHz 1141

Transducers", 1994 IEEE Ultrason. Symp. Proc, Vol.1 (1994) 437—440.

12. H. Odagawa, T. Kojima, T. Meguro, Y. Wagatsuma and K. Yamanouchi, "GHz-Range

Conventional )J4 Unidirectional Surface Acoustic Wave Transducers and Their Application to

Low-Loss and Zero-Temperature Coefficient Filters", Jpn. J. Appl. Phys., Vol.36, No.5B (1997)

3087—3090.

13. H. Odagawa, T. Meguro and K.Yamanouchi, "5 GHz Range Low-Loss Wide Band Surface

Acoustic Wave Filter Using Electrode Thickness Difference Type Unidirectional Transducers",

Jpn. J. Appl. Phys., Vol.35, No.5B (1996) 3028-3031.

14. C. S. Hartmann, P. V. Wright, R. 1. Kansy and E. M. Garber, "An Analysis of SAW

Interdigital Transducers with Internal Reflections and Application to the Design of Single-Phase

Unidirectional Transducers", 1982 IEEE Ultrason. Symp. Proc. (1982) 40—45.

15. K. Yamanouchi, Z. Chen and T. Meguro, "New Low-Los Surface Acoustic Wave

Transducers in the UHF Range", IEEE Trans. Ultrason. Ferroelec. Freq. Contr., Vol.34, No.5

(1987)531—539.

16. T. Nishihara, H. Uchishiba, O. Ikata and Y. Satoh, "Improved Power Durability of Surface

Acoustic Wave Filters for an Antenna Duplexers", Jpn. J. Appl. Phys., Vol.34, No.5B (1995)

2688—2692.

17. H. Odagawa and K. Yamanouchi, "10 GHz-range Extremely Low-Loss Surface Acoustic

Wave Filter", Electron. Lett. Vol.34, No.9 (1998) 865—866.

18. H. Odagawa and K. Yamanouchi, "10 GHz-Range Extremely Low-Loss Ladder Type

Surface Acoustic Wave Filter", 1998 IEEE Ultrason. Symp. Proc. (1998) 103—106.

19. S. Lehtonen, V. P. Plessky, M. T. Honkanen, VOvchinnikov, J. Turunen and M. M. Salomaa,

"SAW Impedance Element Filters for 5 GHz and beyond", 1999 IEEE Ultrason. Symp. Proc.

(1999)395—399.

20. K. Kojima and K. Shibayama, "An Analysis of Reflection Characteristics of the

Surface-Acoustic-Wave Reflector by and Equivalent Circuit Model", Proc. 7lh Symp.

Ultrasonics, Kyoto 1986, Jpn. J. Appl. Phys., Suppl.26-1 (1987) 117—119.

21. K. Yamanouchi, N. Sakurai and T. Satoh, "SAW Propagation Characteristics and Fabrication

Technology of Piezoelectric Thin Film / Diamond Structure", 1989 IEEE Ultrason. Symp. Proc.

(1989)351—354.

275

Page 288: Advances in Surface Acoustic Wave Technology, Systems

1142 H. Odagawa & K. Yamanouchi

22. A. Hachigo, H. Nakahata, K. Itakura, S. Fujii and S. Shikata, "10 GHz Narrow Band SAW

Filters using Diamond", 1999 IEEE Ultrason. Symp. Proc. (1999) 325—328.

23. T. Sato and H. Abe, "Propagation Properties of Longitudinal Leaky Surface Waves on

Lithium Tetraborate", IEEE Trans. Ultrason. Ferroelec. Freq. Contr., Vol.45, No.l (1998)

136—151.

276

Page 289: Advances in Surface Acoustic Wave Technology, Systems

International Journal of High Speed Electronics and Systems, Vol. 10, No. 4 (2000) 1143-1191 © World Scientific Publishing Company

WIRELESS SAW IDENTIFICATION AND SENSOR SYSTEMS

F. SCHMIDT and G. SCHOLL Siemens AG, Corporate Technology

Surface Acoustic Wave Technology and Wireless Systems Otto-Hahn-Ring 6, 81730 Munich, Germany

Identification and sensor systems based on surface acoustic waves exhibit intriguing properties which have hitherto remained unexploited by semiconductor-based systems. They offer a long readout distance of up to more than 20 meters with purely passive surface acoustic wave (SAW) devices. SAW devices operate with no battery or wiring, withstand extreme temperatures and work reliably and maintenance-free over many decades even in harsh industrial environments. Because they operate at frequencies in the GHz range, SAW identification and sensor systems are well protected from the electromagnetic interference that often occurs in the vicinity of industrial equipment such as motors and high-voltage lines. The fundamentals and design rules of numerous passive wireless SAW sensor and identification systems for industrial and domestic applications as well as relevant practical work will be presented.

1. Introduction

Surface acoustic wave (SAW) filters play a key role in consumer and communication systems thanks to their high performance, small size and high reproducibility.1 These properties also make them attractive for identification and sensor applications.2'3

Generally, any problem will have several technological approaches and various solutions. It is therefore vital to focus on the specific advantages offered by SAW devices. Their most outstanding property is clearly that they can operate with no wire connection or battery over long distances, as they are connected only by a radio frequency link to a transceiver or reader unit.4"9 This is due to the fact that SAW devices can operate even with very low signal levels at their input. In contrast, semiconductor-based devices require a fixed minimum voltage to operate, thus drastically limiting the range of passive coupled ID tags to about 0.5 meters.

In this article, wireless SAW sensor and identification systems will be discussed and various interesting applications will be presented. A schematic drawing of a wireless sensor/identification system is shown in Fig. 1. A high-frequency electromagnetic wave is emitted from an RF (radio frequency) transceiver which is basically a low-cost radar unit.10 This radio wave is received by the antenna of the SAW sensor/ID-tag. The comblike interdigital transducer (IDT) shown in Fig. 2 is connected to the antenna and transforms the received signal into a SAW which propagates along the piezoelectric crystal and is partially reflected by reflectors placed in the acoustic path. The reflected waves are reconverted into an electromagnetic pulse train by the IDT and are then retransmitted to the radar unit. The received signal is amplified and down-converted to the baseband frequency in the RF module. The sensor signals are then analyzed by a digital signal processor. Finally, the measurement results are transferred to a PC or another device for post processing, data storage or to perform specific tasks.

277

Page 290: Advances in Surface Acoustic Wave Technology, Systems

1144 F. Schmidt & G. Scholl

SAW ID tag

Antenna

Transceiver unit

Fig. 1. Schematic drawing of a SAW identification/sensor system.

The velocity of a SAW is lower than that of electromagnetic signals propagated in free space by a factor of approximately 100,000. Signals can consequently be efficiently delayed by a small SAW chip. A delay of 1 |_is requires a chip length of only between 1.5 and 2 mm (the path length between the IDT and the reflector is used twice), depending on the substrate material, whereas radio signals in free space propagate 300 m in the same time. The pulse responses of SAW sensors can thus be delayed by up to several microseconds. This enables them to be separated easily from environmental echoes, which typically fade away in less than 1-2 (xs. If the reflectors are arranged in a predefined bit pattern such as a barcode, an RF identification system can be implemented with a readout distance of several meters. By analyzing the fine structure of the response signals, SAW radio ID and sensor systems can also be applied to the measurement of chemical or physical quantities. In the first part of this article, radar-type sensor and identification systems such as those shown in Figs. 1 and 2 will be discussed.

Transmitted pulse

Antenna

SAW Chip

( «

A») (JUUVLA.

SensorfTag response Reflector

Fig. 2. Schematic drawing of a SAW reflective delay line.

However, such a radar-based system has two drawbacks. Firstly, not many ID tags or SAW sensors can be located within the detection range of the transceiver at the same time. If more than a few sensors are present, their response signals superimpose at the receiver and can no longer be separated. And secondly, the detection range of about 10 meters, although sufficient for many applications, is rather limited.

278

Page 291: Advances in Surface Acoustic Wave Technology, Systems

Wireless SAW Identification and Sensor Systems 1145

Receiver unit

Fig. 3. Schematic drawing of an event driven sensor/identification system.

To overcome these two main restrictions, we have developed a different kind of SAW sensor. The basic idea is that, as sensed processes often involve remarkable amounts of energy in the form of mechanical pressure change, movements or torque forces, temperature change etc., why not simply use this energy to operate the sensor instead of supplying it via radio waves? Consequently, the sensor type described from Section 4 onward does not reflect radar signals but generates its own RF signals with energy drawn from the sensed process as shown in the schematic drawing in Fig. 3. The sensor still makes use of SAW elements. The only difference compared with the radar-type sensor is the origin of the requesting RF signals, which in this case are generated in the sensor itself. We have called it the event-driven sensor.

2. Passive Wireless SAW ID Tags and Sensors Although the first physical and chemical sensors based on SAW devices were reported in the early 1970s, considerable active research is still under way. ' The basic design of reflective delay lines for wireless identification and sensor systems generally follows the same principles as those established for classical SAW filters. An additional difficulty is that many sensors cannot be sealed hermetically like conventional filters but are exposed to the influence of external perturbations. In the case of physical sensors, an application-specific packaging and mounting technology must often be developed. Fortunately, these difficulties do not exist for SAW ID tags. Another advantage is that except for the coding process, the same fabrication techniques as those used for RF SAW filter manufacture can be adopted. Thanks to this compatibility with standard fabrication processes and to the potential size of the high-volume markets for automotive manufacturing, trailer and container tracking, as well as car and personnel access, we believe that SAW identification systems have a very good chance of market success and will pave the way for other SAW-based wireless sensor systems.

279

Page 292: Advances in Surface Acoustic Wave Technology, Systems

1146 F. Schmidt & G. Scholl

2.1 SAW ID tags Like all wireless communications systems, SAW identification systems must operate according to the radio frequency regulations. The ISM (industrial, scientific and medical) band at 2.45 GHz with a bandwidth of 100 MHz is an internationally available frequency band compatible with SAW technology. Although another ISM band at 433.92 MHz is available and is often used for remote entry systems in the automotive industry, its bandwidth is limited to 1.7 MHz. It is consequently not well suited for SAW ID systems, especially when a large code space is required.

Modern RF filters for cellular phones typically employ leaky waves and surface-skimming bulk waves13"15 on high coupling substrates for the three following reasons. The high electromechanical coupling coefficient allows efficient interdigital transducers and reflectors with only a small number of electrodes and therefore a broad bandwidth to be implemented. Because of the high velocity of leaky waves, the line widths that have to be implemented become greater. The power durability is also much higher than for Rayleigh waves. Although the first two arguments are also relevant for ID tag designs, the most important parameter of an ID tag is its insertion loss, especially at 2.45 GHz. As a result, the well-known classical Rayleigh wave substrates LiNb03-YZ and LiNbOy rotl28° are generally used. However, even on these substrates the propagation loss is 6 dB/us at 2.45 GHz. The propagation loss at 433 MHz is a negligible 0.25 dB/us, whereas that at 900 MHz is approximately 1 dB/us. Which substrate is finally chosen will depend on the specific design and on the specifications which have to be satisfied, so that tradeoffs will have to be made.

Basically, there are two ways of arranging the reflectors. Either all the reflectors can be positioned in different tracks (Fig. 4), or several reflectors can be lined up in only a few tracks (Fig. 2).16,17 In the first case, all the reflectors can have 100% reflectivity and thus totally reflect the incoming wave. In the second case, the reflectivity has to be decreased in order to make it homogeneous for all the reflecting elements. The problem of multiple reflections must also be taken into account, thus limiting the dynamic range of on/off-coded ID tags. The optimum number for a 2.45 GHz tag was calculated in Ref.19 as eight reflectors per track. Although the reflectivity of the reflector positioned next to the IDT is typically reduced to -20 dB, we found that a design with multiple reflectors in one track can nevertheless be attractive, especially when a large code space is desired. If the reflectors are placed in different tracks, problems with diffraction effects and track loss arise. Large apertures are desirable in order to minimize diffraction effects, but these lead to problems with the impedance level. On the other hand, if the transducer aperture satisfies the requirements of the termination impedance, the reflector apertures can be smaller than an acoustic wavelength.

Millions of RF filters are manufactured every day for cellular phones. More than a thousand fit onto a 3-inch wafer and one dozen are exposed at a time. SAW delay lines are based on the efficient delaying of RF signals. The size of SAW ID tags is thus limited by the required code space, which results in a reduced number of chips/wafer. If on/off coding is selected as the modulation scheme and a code space of 32 bits is required, then 34 reflectors are needed. Two of them, one start and one stop reflector, are generally used for temperature compensation. If each reflector of the tag is also structured with a wafer stepper, the number of exposures required to structure the same number of chips is three orders of magnitude greater than that for an RF filter. It is therefore vital to reduce the number of reflectors and to develop an economical coding process. Phase coding represents one way of doing this.18

280

Page 293: Advances in Surface Acoustic Wave Technology, Systems

Wireless SAW Identification and Sensor Systems 1147

Fig. 4. Reflective delay line with only a single reflector per track.

Figure 5 shows the measured time-domain response of a 433 MHz ID tag with quadrature shift keying. Although phase-coded tags can be implemented easily at 433 MHz, material and fabrication tolerances prevent the use of this technique at 2.45 GHz. The solution is to use pulse position modulation, in which the information is given by the relative position of the reflectors instead of the phase. The time-domain response at 2.45 GHz of a SAW ID tag with 18 reflectors and a code space greater than 232 is presented in Fig. 6. The tag was measured with a network analyzer and then ideally matched to 50 Q. It can be seen that the insertion loss is approximately 53 dB. Excellent amplitude uniformity was achieved. The time-domain response of a 20 bit ID tag at 2.45 GHz with on/off-keying is shown in Fig. 7 for comparison.

100

CD

2, 0 CD

CO co sz a.

I - -100

2 4 6 8

time [us]

Fig. 5. Time-domain response of a phase-coded ID tag at 433 MHz.

281

Page 294: Advances in Surface Acoustic Wave Technology, Systems

1148 F. Schmidt & G. Scholl

-40

3 4 time [us]

Fig. 6. Time-domain response of a pulse-position coded ID tag at 2.45 GHz.

-*0

time [us]

Fig. 7. Time domain response of an on/off-coded ID tag at 2.45 GHz.

2.2 Binary SAW sensors and programmable reflectors The first ID tags with on/off-keying were designed with open or short-circuited reflectors, the coding being implemented by either structuring a reflector or not. This involved the inherent problem that the uniformity was affected and code-dependence occurred because the attenuation and bulk wave conversion differ depending on whether a reflector is present or not. A solution was to replace an "off reflector with a non-reflecting structure having the same transmission and bulk-wave conversion loss as the "on" reflector.19 In order to allow the reflector to be dynamically changed from "on" to

splitfmger transducer can be used for this component (Fig. 8). If the reflector is open circuited, the transducer reflects an incoming wave. If the reflector is shorted, hardly any reflection occurs. The first and last reflectors in Fig. 8 are shortened to reduce end-effects which generate unwanted reflections when the reflector is "off.

282

Page 295: Advances in Surface Acoustic Wave Technology, Systems

Wireless SAW Identification and Sensor Systems 1149

Fig. 8. Splitfinger reflector with shortened end electrodes.

In Ref. 21 a prototype of a train-control system was implemented in which a reflective SAW delay line with nine splitfinger reflectors was embedded in a commutator network in hybrid technology (Fig. 9). The last reflector was kept permanently "on" to maintain function control. After a trigger burst from the reader unit, an 8-bit data word was transferred from the memory to the commutator network. According to the logical state of each bit, the corresponding reflector was switched "on" or "off and an 8-bit data telegram was retransmitted to the reader unit. Thanks to the coherent storage of the energy in the SAW device, no synchronization was necessary between reader and transponder so that a very high data rate could be achieved.

R c L

Fig. 9. Switching network for SAW reflector.

In Fig. 10 a Reed element is connected to a reflective element of a binary SAW sensor. If the sensor is accelerated beyond a specific value, the magnet moves and causes the Reed contact to change from the open-circuited state to the short-circuited state or vice versa.

Reed Element Fig. 10. Binary SAW sensor with switchable reflectors.

283

Page 296: Advances in Surface Acoustic Wave Technology, Systems

1150 F. Schmidt & G. Scholl

In the left diagram of Fig. 11, all three reflectors have almost the same reflectivity. In the right diagram, the third reflector is shorted by the Reed element. A change of approximately 30 dB in reflectivity can be observed. Sensors of this type can be used as sector-alignment indicators, for checking switch positions, or generally as radio-access switches. In the same way, classical sensors with varying impedance can also be read out in wireless mode when combined with a SAW transponder as in Ref. 22, as will be shown in Section 2.4.

-40

-60

-80

open

2 " ' i ' • '' li

1 6

k L 7 8

-80 2 3 4 5 6 7 8

time [lis] time [jis]

Fig 11. Time-domain response of a binary SAW sensor with switchable reflectors.

2.3 SAW temperature sensors A change in the environmental temperature AQ results in a variation of the path length 31 and the SAW velocity 3v. Accordingly, the propagation time T changes to

T ~ 1/30 v90 T3 = T C D , A T 3 , (1)

where TCDi represents the first-order temperature coefficient of the delay. This equation can be generalized for other physical or chemical sensors to

AT

where the sensitivity S of y to a change z is defined by

^ = l i m l - ^ = i . ^ AZ-»O y \z y az

(2)

(3)

The term 31/1 represents the mechanical strain, which can also be caused by factors such as the direct application of a mechanical force or electrostriction. The second term in Eq. 2 is produced by a change in the material parameters. Either effect can be dominant, depending on the geometry and the substrate material. For instance, when YX-LiNbC>3 is subjected to a biasing electric field, the strain contribution exceeds the velocity by far, whereas on YZ-LiNb03 with a delay temperature coefficient of 85 ppm/K the thermal expansion coefficient is an order of magnitude smaller than the temperature coefficient of the velocity. A SAW temperature sensor with four reflectors and a center frequency of

284

Page 297: Advances in Surface Acoustic Wave Technology, Systems

Wireless SAW Identification and Sensor Systems 1151

433 MHz mounted in a standard SMD package is shown in Fig. 12. The time domain response of an equivalent temperature sensor at 869 MHz is depicted in Fig. 13.

Fig. 12. SAW temperature sensor with four reflectors mounted in an SMD package.

The readout distance is approximately 0.5 m. The time delay is 2.5 p . The sensor pulses are separated by 0.84 |is, 0.7 ps and 0.73 |is respectively. The reflectors were designed for high reflectivity in order to achieve a low return loss of 28 dB. Consequently, the pulses following the four main response peaks, which are due to multiple reflections between the reflectors themselves and between these and the IDT, are suppressed by only 15 dB. To evaluate the temperature value, the phase variations of reflected pulses

A<p = 2nf0AT (4)

are determined instead of the propagation time, where f0 in Eq. 4 stands for the operating frequency. In order to eliminate the influence of the radio channel affecting the sensor signal, only time or phase differences are evaluated ("on-chip reference").

I 2 3 4 5 S delay time §ts]

a 9 10

Fig. 13. Time domain response of a temperature sensor at 869 MHz.

A duration between the first and the last reflector of 2.27 |is, a delay temperature coefficient of 85 ppm/°C and a phase resolution of the transceiver unit of ± 5° allows a temperature resolution of 100 mK to be achieved. If the temperature varies by more than 6°C (Fig. 14), the phase shift is greater than 2 % and a phase ambiguity occurs. As a consequence, a minimum of three reflectors is needed if absolute temperature values have

285

Page 298: Advances in Surface Acoustic Wave Technology, Systems

1152 F. Schmidt & G. Scholl

to be measured. If the sensors are to operate over a broad temperature range, even more than three reflectors would be preferable. The shortest time difference Axmin = AT3-AT2 of the reflected pulses is 30 ns. The measurement range is thus approximately 450°C.

I c 3 o

> <° * !8 1

CO

~

&r-fii : : : I

7^ Ax = 2.27 us

^ AT, = 0.84ns

I I I I I I I I.I I I I I I I I I . I I I I I I I I I I I.I I I I 0 0 5 10 15 20 25 30 35 40 45 50

temperature variation [°C]

Fig. 14. Phase shift vs. temperature change of reflected pulses.

The temperature sensors were successfully tested from -196°C, the boiling point of liquid nitrogen, to several hundred °C. The temperature limits of the various sensor compounds are listed in Tab. 1.

Table 1. Temperature limits of sensor compounds.

Compound Standard solder material PTFE-cable with clamped connectors FR4-laminate for increased temperatures Silicone adhesive High-temperature solder 82Aul 8In Metal and ceramic package A1203 substrate Al electrodes Pt/Ti electrodes Li2B407

LiNb03, LiTa03

a-Quartz La3Ga5Si014 (LGS)

Temperature limit 170 °C

= 200 °C 260 °C, 1 h 350 °C, 1 h

450 °C 500 °C-700 °C 1200-1450 °C

450 °C 1000°C 230 °C 300 °C 573 °C 1470 °C

Standard package technology can be used up to approximately 200 °C. Above this temperature, special adhesives, solder materials and printed circuit boards are required.23

Aluminum electrodes withstand temperatures up to 450 °C. SMD packages resist extreme conditions for at least several hours at about 500 °C - 600 °C. At these temperatures, an increased mobility of metal ions (Au, Ni, Fe, Co) out of the package leads occurs. Thus Ni migrates onto the chip and affects the SAW propagation characteristics. The dielectric isolation of metal package pins and the metal multi-layers in ceramic SMD packages are destroyed at about 700 °C. Noble metals with a high melting point, such as Pt, Rh, or Ir,

286

Page 299: Advances in Surface Acoustic Wave Technology, Systems

Wireless SAW Identification and Sensor Systems 1153

are best suited for electrodes in high-temperature (HT) applications. The adhesion on the wafers can be promoted by a Ti layer. Li2B407 exhibits increased ionic conductivity at elevated temperatures. An enhanced decomposition of LiNb03 can be observed above 300 °C. Nevertheless, between 400 °C and 500 °CS temperatures at which semiconductor devices are irreversibly damaged, LiNb03 substrates remain stable for several days. The well-known phase transition from a-quartz to (i-quartz occurs at 573 °C. LGS seems to be the most promising HT substrate. There is neither a phase transition between room temperature and its melting point of 1470 °C nor any decomposition over an observation period of several weeks at an oven temperature of 850 °C. Figure 15 shows a temperature sensor on LGS sealed with a vitreous silica ampule.

Fig. 15. 433 MHz radio thermometer for high-temperature measurements.

2.4 SA Wpressure sensors A schematic drawing of a SAW pressure sensor is shown in Fig. 16. The SAW propagates on a quartz diaphragm while bending under hydrostatic pressure. A constant reference pressure must be applied to the other side of the diaphragm in order to bend it in a defined manner.24,25 This is implemented by fabricating a hermetically sealed cavity containing the reference pressure: a sand-blasting unit was used to make a blind hole in a quartz cover plate of the same substrate material as the diaphragm. The diaphragm was then attached to the cover plate with an epoxy adhesive.

Fig. 16. Schematic drawing of a SAW pressure sensor.

This all-quartz package sensor is expected to have minimal thermal stress and thus a low temperature sensitivity. To produce pressure sensors with an operating life of several years, use is also made of the glass frit technology already described.26

287

Page 300: Advances in Surface Acoustic Wave Technology, Systems

1154 F. Schmidt & G. Scholl

Fig. 17. FEM analysis of the membrane deformation just before breaking at a pressure of 600 kPa. The dark frame represents the adhesion area of the membrane and the cover plate.

A Finite Element Method (FEM) program is used to determine the geometry of the sensor, since it is very difficult to compute the mechanics of anisotropic materials analytically. The bending of the diaphragm (Fig. 17) and the cover plate as well as the stress/strain distribution were calculated (Fig. 18). It can be seen from Fig. 18 that both compressed and stretched sections exist. The phase velocity of the SAW is lower in the stretched section and higher in the compressed section.

0 2 4 6 8 10 12 14 16 18 20

location si diaphragm / mm

Fig. 18. Calculated stein of the diaphragm at a pressure of 250 kPa, positions of the mterdigital transducer and the ten reflectors.

The reflective delay line requires at least three reflectors. In an optimal reflector configuration, two of these are ideally positioned at the transitions between the stretched and compressed sections. Because this pressure sensor was used as a test device, the delay path was divided by ten instead of three reflectors to obtain more detail. The measured and calculated phase differences between pulses reflected from adjacent reflectors are compared in Fig. 19. Very good agreement was achieved except at the

288

Page 301: Advances in Surface Acoustic Wave Technology, Systems

Wireless SAW Identification and Sensor Systems 1155

boundary of the membrane where this was prevented by mechanical deformations caused by the joining of the quartz plates.

100 80 60 40 20

0 -20 -40-] -60 1 1 l,rl,rhi,rl,n,rl,l I,

Fig. 19. Comparison of phase differences Aq> between reflected pulses at a pressure of 250 kPa (measured results black , calculated results white ).

Although monolithic SAW pressure sensors are extremely stable and offer high pressure sensitivity, several applications require smaller and cheaper sensor modules than can be implemented with standard quartz substrates. Reflective delay lines with electrically loaded splitfinger reflectors offer an attractive alternative in this case.27 An external pressure sensor is connected to the second IDT of the SAW transponder as shown in Fig. 20, so that pressure variations generate a variation in the termination impedance of the IDT which results in amplitude and phase changes in the reflected SAW pulses.

1 \%4 SAW chip \>x. 1

matching circuit

A* pressure sensor

Fig. 20. Hybrid SAW sensor with external matching circuit and pressure sensor.

The reflectivity of an impedance-loaded reflector as a function of the matched sensor impedance Zsensor can be described by the complex scattering parameter

c (7 ) - 2'Pn (5) ^ U ^ sensor)

P33 + 1

Z + 7 sensor match

289

Page 302: Advances in Surface Acoustic Wave Technology, Systems

1156 F. Schmidt & G. Scholl

where the P-matrix elements in Eq. 5 characterize the electro-acoustic behavior of the reflector. Zmatd, represents the impedance of the matching circuit. As the sensor impedance modulates the reflected pulse in both amplitude and phase, there are two ways of calculating the sensor information in the time domain. One strategy is to match the sensor impedance to the electrical impedance of the reflector for maximum amplitude modulation. This is outlined in the Smith chart in Fig. 21, where the amplitude of the reflected pulse is shown as a contour plot as a function of the load impedance. The simulation is based on the scattering parameters of an implemented reflective SAW delay line measured by a network analyzer.

. " -26dB -3QdB

-22dB -35dB '

, ' ' . ' -25dB -40dB '

" -8MB -45dB

• \ -3SdB «SMB, '. _ • ' . . ,

'' -45d8 • • . . -SkHp:50*; \ * ' • ', I •

Fig. 21. Impedance dependent acoustical reflection |Su|. The lines represent constant reflection amplitudes.

As can be seen in Fig. 21, a very high impedance variability is needed to modulate the magnitude of Sn between -22 dB and -60 dB. Reference should also be made to Section 2.2 "Binary SAW sensors", where the load impedances were switched between short and open-circuited modes. In the case of capacitive sensor elements, a high Q-factor of the sensor impedance is also desirable. With the aid of a matching circuit, the sensor impedance can be transformed to the upper right region of the Smith chart where high reflectivity can be observed. Although a reflectivity change of more than 20 dB could be achieved with correctly dimensioned sensors22, there is a drawback in deriving the sensor information from the amplitude of the reflected pulses. A high dynamic range of the amplitudes results in a low signal-to-noise ratio, thus limiting the readout distance. To overcome this limitation, the sensor impedance can be matched to the acoustic reflector such that the phase modulation is maximized. Figure 22 shows the phase <pSn of the reflected pulse as a function of the load impedance.

The contour lines in Figs. 21 and 22 are linked by the Hilbert transform.29 As both a high signal-to-noise ratio and a high phase modulation factor are required, the appropriate matching circuit can be found.

290

Page 303: Advances in Surface Acoustic Wave Technology, Systems

Wireless SAW Identification and Sensor Systems 1157

- w, -"<v*~f ,

,

, t"

ft 1

' '<y f '' • 'O • ^ ^ *

+ 160"

+ 140v

f-120"

•HOO1-

Fig. 22. Lines of constant phase <psn as a function of the electrical load impedance.

A new high-Q capacitive differential pressure sensor based on two quartz plates was developed.27 Figure 23 shows the measured phase difference A<pSn and the insertion attenuation |Sn| of the hybrid SAW pressure sensor module as functions of the applied pressure. It can be seen that a phase modulation of 110 degrees and an accuracy of about ±15 kPa could be achieved. Both the maximum insertion loss of-32 dB and the insertion loss variation of 8 dB are small compared with conventional hybrid SAW sensors.

2 3 p [100 kPa]

Fig. 23. Measured phase difference A<psn and amplitude |Sn| as a function of the applied pressure.

291

Page 304: Advances in Surface Acoustic Wave Technology, Systems

1158 F. Schmidt & G. Scholl

2Ǥ SAW torque sensors Contactless slip-ring-free torque measurements can be performed with passive RF SAW torque sensors without the need to break up the driving shaft.30"31 SAW torque measurement systems can also operate in industrial environments subject to strong electromagnetic interference thanks to their high operating frequencies of between several hundred MHz and approximately 3 GHz and the inherently broadband nature of the SAW sensors. This has been confirmed by torque measurements in a 750 kW high-voltage motor as well as in an identification system for railroad applications where no interference from the parasitic radiation from high-power inverters could be observed.

Like resistive strain gauges, SAW sensors measure the torque indirectly by detecting the strain or stress distribution generated by a torque acting on the shaft (Fig. 24).

M Sf <F r-Fig. 24. Surface deformation caused by a torque acting on a shaft.

The fact that the strain components have opposite signs in the ± 45° directions relative to the shaft axis can be used for temperature compensation. In our experiments, sensor elements were made with two and three overlapping SAW paths oriented in different directions on a single chip. Figure 25 shows a torque sensor on quartz.

Fig. 25. SAW torque sensor mounted on a steel plate.

The SAW paths are orthogonal to each other. The acoustic tracks are slightly slanted due to the beam-steering effect. Because it is impossible in a production environment to

292

Page 305: Advances in Surface Acoustic Wave Technology, Systems

Wireless SAW Identification and Sensor Systems 1159

mount the sensors directly on the shaft, we generally mount them on a steel ground plate and attach the packaged sensors to the shaft with two annular clamps.

3. SAW Identification and Sensor Systems The range of ID applications covers the sectors of security/access control, manufacturing/logistics management and transportation: these include personal access, parking-lot access and anti-theft systems, electronic milestones, container and trailer identification, fleet management, identification of paper and cloth rolls or even the identification of trains and railroad car axes. In the same way as SAW identification systems offer new innovative system solutions for ID applications, wireless SAW sensor systems can also play an important role in improved control, monitoring, maintenance and diagnosis systems by reducing waste, labor and energy costs, protecting expensive equipment, extending equipment life, optimizing process efficiency, avoiding unscheduled downtimes and allowing maintenance on demand.

1 1 SAW IB systems for railroad applications One of the first identification systems of this type was installed in 1989 at toll stations on expressways near the city of Oslo, Norway, where vehicles are identified remotely without stopping.32 Our latest ID system was optimized for railroad applications. A chirp radar operating at 2.45 GHz was developed. It uses a pair of dispersive SAW filters for pulse expansion and compression and has a maximum readout distance of 2.5 m. Its peak output power is lOdBm, which is much lower than that emitted from mobile phones. Because a readout procedure requires only a few microseconds, 100,000 interrogations can be performed per second, thus permitting reliable identification of particularly fast-moving objects. The maximum velocity of a train passing by can be 350 km/h. Figures 26 and 27 give an impression of the installation used in Munich's subway system, where the identification system has operated highly successfully for several years.

Fig, 26. Munich subway car with radar antenna

Figure 28 shows the ID tag used in detail. The plastic housing contains a microwave patch antenna with the SAW reflective delay line mounted on it. The antenna was designed for a gain of 6 dBi. The SAW device has a total of 20 reflectors, providing a code space of 16 bits and a 4 bit parity check.

293

Page 306: Advances in Surface Acoustic Wave Technology, Systems

1160 F. Schmidt & G. Scholl

Fig. 27. SAW ID tag mounted on the car chassis (white).

Another interesting application is the identification and temperature control of freight train axles. Axle maintenance, especially the surveillance of lubricants and operation time, represents a major factor in railroad security. However, the problem arises that a majority of these trains do not have any electrical installations to supply power to the sensor devices. So the advantage of passive operation becomes important. Figure 29 shows a photograph of a patch antenna that fits around train axles. A number of recent experiments have confirmed the feasibility of this form of remote temperature measurement and axle identification.

Fig. 28. SAW ID-Tag in a plastic housing for railway applications. The dimensions of the housing are 158x90x22,5 mm3.

Fig. 29. Axis antenna for identification and temperature sensing at freight train wagons.

294

Page 307: Advances in Surface Acoustic Wave Technology, Systems

Wireless SAW Identification and Sensor Systems 1161

3J SAWID systems far manufacturing and logistics At the end of 1999 a field test was carried out at the automotive manufacturing plant of DaimlerChrysler in Sindelfingen, Germany. Thirty seven SAW ID tags were mounted on skids which passed the reader antenna approximately 125000 times over a period of several weeks. More than 99.9 % of them were read out correctly. The measurement setup is presented in Fig. 30. The reader electronics was assembled in a portable aluminum box together with a laptop computer for processing and visualizing the data (Fig. 31). A low-cost tag was developed for this application. The SAW devices are mounted on thin metal sheets with slot antennas (Fig. 32). The intriguing features of the SAW ID system are its long readout distance and the temperature stability of the SAW devices, allowing highly flexible assembly and the need for only a single ID system in the entire production process.

Fig. 30. Measurement setup in an automotive manufacturing plant.

Fig. 31. Reader and laptop computer in portable box.

295

Page 308: Advances in Surface Acoustic Wave Technology, Systems

1162 F. Schmidt & G. Scholl

Fig. 32. SAW devices mounted on metal sheets with slot antennas.

3.3 The intelligent tire An "intelligent tire", i.e. a tire which provides information about its current state will considerably improve drivers' safety as well as vehicle stability. Thus it is common knowledge that 80 percent of all flat tires result from a gradual loss of pressure rather than actual punctures caused by nails or stones. If such a creeping decrease in tire pressure can be detected in time, many accidents could be prevented. Figure 33 visualizes the interaction between a tire and road/chassis.

¥#h«et# ^ ^ Ufhtcfe

*&*n £&£***

Suffact —

Fig. 33. The interaction of a tire with the vehicle and road.

Several battery-powered systems have hitherto been implemented33,34 for the measurement of tire pressure. However, they suffer from a limited operating life of the pressure sensor unit due to the extreme tire environment. This problem can be circumvented with passive wireless SAW pressure sensors. As described in section 2.4S

we developed a hybrid SAW pressure sensor with the ability to satisfy the requirements of the automotive industry.35 The prototype sensor was designed for a pressure range of 100 kPa up to 400 kPa and an excess pressure stability of 600 kPa. It can be seen in Fig. 34 how the prototype module with an antenna is attached to the rim.

Measurements of road friction with SAW sensors directly vulcanized into the tread elements of tires supply valuable information for future driver-assistance systems

SiirfcK*

296

Page 309: Advances in Surface Acoustic Wave Technology, Systems

Wireless SAW Identification and Sensor Systems 1163

and for optimizing slip-control and vehicle-stability systems.36,37 Indeed, this can be accomplished more precisely and conveniently than with the data supplied by antiblocking systems (ABS). Figure 35 shows a schematic drawing of the SAW friction sensor, principally a housed bending beam with a small metal nose at the end. Deformations of the tread elements were investigated on surfaces with different friction coefficients |i (Fig. 36). Curve A represents the behavior under diy conditions (high p), whereas curve B represents a wet surface (low jx). Both curves show similar behavior while the tire is rolling over the tread except in the area around the curve maximum where the phase shift is much greater on the dry surface.

Fig. 34. SAW sensor module for pressure measurement mounted on the rim.

Tread Element Deformation Flag

Sensor Housing SAW Bending Beam

Fig. 35. SAW road friction sensor integrated in tread element.

distance / cm

Fig. 36. Phase variation of sensor signals for a dry (A) and a wet (B) surface.

297

Page 310: Advances in Surface Acoustic Wave Technology, Systems

1164 F. Schmidt & G. Scholl

3.4 Motor diagnosis and control SAW sensor systems can also be used to advantage for the measurement of vibrations, rotor temperature or torque in electric motors. We successfully tested our SAW sensors in a small 11 kW asynchronous motor as well as in a high-power 750 kW two-pole machine. The temperature sensor that measured the curve shown in Fig. 37 was mounted on the short-circuiting ring of the rotor of the 11 kW motor. The SAW sensor was read out via a wire-loop antenna mounted on the bearing plate. The motor was loaded with an eddy-current brake. During run-up and operation under load, the motor warms up as expected. When the motor is stationary, the temperature curve shows an exponential decay. The crosses mark temperature measurements made with a reference thermometer. Because the thermal contacts of the reference thermometer and the SAW device are not identical, there is a slight difference between the measured temperature values. Figure 38 shows the behavior of the torque after a step excitation of the nominal value of the inverter. Because the test stand was inverter-controlled, the torque increases relatively slowly with time. The solid line shows the measurement results of the SAW system, whereas the dashed curve represents the measured values of a reference-shaft torque sensor connected between the driving and load machines.

- /

^

^ . - ^ — reference measurement

rotation operation under load

time [min]

Fig 37. Temperature behavior of the rotor of a 11 kW asynchronous motor during operation.

100

80

60

40

70

0

-20

-40

A ft A / * / V .

, t /•w~v

«-•..*

) 0.02 0.04 0.06 0.08 0.1 0 time [s]

Fig. 38. Change in the measured torque after a step excitation of the nominal value of the inverter (— SAW sensor, reference sensor).

298

Page 311: Advances in Surface Acoustic Wave Technology, Systems

Wireless SAW Identification and Sensor Systems 1165

3 J High-voltage applications The possibility of reading SAW sensors out over long distances makes them an ideal candidate for high-voltage applications, as isolation problems can be easily avoided. The advantage of SAW sensor systems for power transmission and distribution systems can be seen from the following example.38 At the end of 1997, a long-term field test of a SAW temperature monitoring system for high-voltage surge arresters started in cooperation with Preussen Elektra at a 420 kV substation near the nuclear power plant of Bnmsbuttel, Germany. In the meantime, a second prototype system has been installed at the Celilo converter station in Oregon, USA.

Surge arresters are used to protect equipment from excessive overvoltages. In Fig. 39 a surge arrester built up of two stacked units can be seen. Metal oxide (MO) varistors are stacked inside the porcelain insulators (Fig. 40). Some of the varistors were substituted by aluminum housings containing a SAW sensor. The slot in the wall of the housing acts as a sensor antenna. Each porcelain-housed arrester unit was equipped with a SAW temperature sensor located approximately at the center of the MO varistor inserts. A third SAW sensor was mounted outside the insulators at the intermediate flanges of the arrester, as indicated in Fig. 39.

Fig. 39. 420 kV surge arrester in a PneussenEfektaa substation, equipped with a SAW temperature monitoring system.

The antenna of the radar unit and the RF front-end module are attached to an overhang beam at the arrester base. The RF front-end is connected to the signal processing part of the radar unit by a coaxial cable laid in the ground. A wireless radio modem transmits the

299

Page 312: Advances in Surface Acoustic Wave Technology, Systems

1166 F. Schmidt & G. Scholl

measured temperature data to Berlin, where it is further processed. The main features of this SAW temperature measurement system are that any possible long-term temperature increase (aging), sudden temperature rises (event counter) and energy absorbed by discharge currents (energy monitor) of the arrester during its operating life can be easily detected.

Fig. 40. SAW temperature sensor in a stack of MO varistors.

The energy monitor - a feature which has not hitherto been available for this application - is based on the simple correlation existing between temperature rise and absorbed energy. Figure 41 shows the measured temperatures of both units and the ambient temperature during the first year. Only night temperatures (a single reading taken between midnight and 5 a.m.) were used for this evaluation. The effects of rapid temperature changes, often observed during the day, and in particular the disturbing influence of solar radiation, were thus eliminated.

Fig. 41. Measured temperatures (night values).

Other possible high-voltage applications include temperature measurements of overhead lines, contact surveillance of high-voltage air-insulated disconnectors and temperature monitoring of medium-voltage high-power semiconductor switches.

300

Page 313: Advances in Surface Acoustic Wave Technology, Systems

Wireless SAW Identification and Sensor Systems 1167

4. Event-Driven SAW Sensors 4.1 Overcoming some limitations of radar-type SA W sensors Although there are many applications for the radar-type sensors described above, two main limitations prevent this sensor system from being used on an even wider scale:

1) A maximum reading range of about 10 meters (with directional antennas) 2) A maximum of about five sensors per transceiver

The first limitation is simply a result of the high signal attenuation along the RF signal path, as described in this simplified form of the radar equation:

P, X2 G2

P'= A D< ( 6 )

The power of the received signal Pr increases with the square of the wavelength, the transmission signal power P, and a geometrical factor G depending on the antenna configuration. However, it decreases with the SAW element attenuation A (usually about 30 to 50 dB) and the fourth power of the reading distance D, which also explains why large reading ranges cannot be achieved with the limited transmission power of 10 mW stipulated by the radio frequency control restrictions.

The second limitation results from the synchronized answer mode of different sensors to a single RF request. Since all sensors within the detection range of a transceiver device respond immediately, just like several mirrors in a room to a light flash, there is no way of distinguishing more than a few echo signals. To do so would require the use of differentiated sensors which respond within different time slots - and would allow the use of up to five different sensors, each with a limited number of reflectors and therefore a limited identity-code capacity.

To overcome these two main limitations, we have developed a different kind of SAW sensor. The basic idea is that as sensed processes often involve remarkable amounts of energy in the form of mechanical pressure changes, movements or torque forces, temperature change etc., then why not simply use this energy to operate the sensor instead of supplying it via radio waves? The new sensor type described below therefore does not reflect radar signals, but generates its own RF signals using energy drawn from the sensed process. We have called it the event-driven sensor. In order to operate, this self-sustaining sensor transmitter needs to perform the following steps:

• It must generate a high voltage by "tapping" the energy of the event to be sensed. • It must produce a single, damped high-frequency oscillation. • It must encode the high-frequency pulse with the SAW element, thus adding identity

code and the absolute value of the reading to the signal. • It must transmit the encoded high-frequency pulse via an antenna.

The following sections describe how this can be achieved with a simple device and no active electronic components.

301

Page 314: Advances in Surface Acoustic Wave Technology, Systems

1168 F. Schmidt & G. Scholl

4.2 Operating principle

reflectors piezoelectric

pyro- or piezoelectric RF Band-energy converter pass filter

Fig. 42. Basic Setup of Event Driven SAW Sensors.

The basic circuit of such an event-driven sensor is illustrated in Fig. 42. A high voltage is initially generated by using the piezoelectric or pyroelectric effect - the required energy being obtained from a force or temperature change respectively. This voltage causes a breakdown of a gas-filled spark gap, thus exciting an oscillation in the high-frequency bandpass filter. A single damped high-frequency pulse is then generated. The pulse enters the interdigital transducer (IDT) on the piezoelectric crystal and is then transformed into a mechanical surface acoustic wave. This SAW propagates along the piezoelectric crystal and is partially reflected by reflectors placed in the acoustic path. The reflected parts are reconverted into electromagnetic pulses by the IDT and the resulting signal chain is radiated out by the antenna - just as in case of the radar-type sensor described above. The only difference is the origin of the requesting high-frequency signal, which is no longer generated by the radar device but in the sensor itself.

The signal formed by the SAW reflections is again an image of the geometrical arrangement of the reflecting structures on the SAW element surface, and the minute mutual distance between the separate pulses further depends on the velocity of the acoustic wave on the SAW element. This sound speed is influenced by temperature, mechanical tension and other conditions affecting the crystal. The signal thus contains an identity mark (in the pattern of the reflections, up to 32-bit binary code space, i.e. 232

different codes) and information on the sensed property contained in the minute distance between the pulses. Both the code and the sensed property can be determined by processor-based evaluation of the transmitted signal, which takes place in a receiver unit. Let us now take a closer look at the new components added to this sensor.

302

Page 315: Advances in Surface Acoustic Wave Technology, Systems

Wireless SAW Identification and Sensor Systems 1169

4.3 Radio frequency waves without semiconductors: the spark-gap transmitter The spark-gap transmitter was an early device for generating electromagnetic radio waves which operated with no amplifying elements such as vacuum tubes or semiconductors. First demonstrated by David Edward Hughes in 1879, it became widely known thanks to Marconi's famous transatlantic radio transmission experiments in 1901 and soon played a key role in the dissemination of radio transmission systems basic assembly of such an historic transmitter is shown in Fig. 43.

39 The

SU.4****

Loading 'tfiffucttnK*

t? Secondary *

17" '•-Power

Tr0rtsfmrnf>^f

Fig. 43. Basic circuitry of an early spark gap transmitter.

A high-voltage source charges the capacitor until the breakdown voltage of the spark gap is reached. The spark gap rapidly changes its resistance state from high to low and the resulting current through the primary coil stimulates a damped high-frequency oscillation in the secondary, which is radiated out by the antenna.

We employ the same principle in our event-driven sensors - with a number of modifications as regards power level, frequency range, high-frequency filters and power source. The spark gap turned out to be the crucial part of the whole concept - because for our application we wanted to excite frequencies in the UHF (ultra high frequency) wave range and not in the long to short wave range. So the switching time of our spark gap had to be in the sub-nanosecond range, which was difficult to achieve. Moreover, the "off state of the spark gap had to offer a very high resistance in the 10 TQ (1013 ohms) range because of the high impedance of the potential voltage sources. These high demands meant that the gap could not be operated in the open air like the historical examples but had to be sealed in a gas-proof housing with optimized gas type and pressure in order to ensure stable conditions and high insulation in the "off state. The properties resulting from this optimization are shown in Fig. 44. The current in the "off" state, known as the dark current of the spark gap, increases exponentially with the applied voltage until the breakthrough point is reached. This means that a small current always flows before igniting as can be seen in the curve; it is caused by free ions in the gas filling.

303

Page 316: Advances in Surface Acoustic Wave Technology, Systems

1170 F. Schmidt & G. Scholl

In our spark gap, the dark current has a maximum value of about 10"13 amps at 2,500 volts, which is sufficient to prevent interference from the voltage-source impedance as explained in the section on pyroelectric energy generation. After the ignition, the plasma state of the gas between the electrodes remains stable until the current falls below a certain level - in our case when the capacitor is almost completely discharged.

Current [A]

1 1 I 1 L ^ ~

0 500 1000 1500 2000 2500 Voltage [V]

Fig. 44. Characteristic curve of the developed spark gap.

The next optimization steps aimed to produce a spark gap which generated high-frequency oscillations in the UHF range with high efficiency. To do this, theoretical and experimental methods were applied to examine the geometry, spacing and material of the electrodes. The primary task was to produce very fast switching to the "on" state in less than one nanosecond.

, , .-*

mpl

itud

e

re

1b -

10 -

5 -

0 -

-5 -

-10 -

-15 -0

Fig. 45 Spark gap generated 433 MHz RF pulse (time domain view).

304

Jlkl»„iL,„„ |]j|ll|!|| II, ji||!|l IJlIilii , \ i ;, .1 ih i'iJii'nJMllllilimiJILlUil

11 ll'ln IPP^

ll™

25 50 75 100 time [nsec]

Page 317: Advances in Surface Acoustic Wave Technology, Systems

Wireless SAW Identification and Sensor Systems 1171

Figure 45 shows a time-domain signal of the damped oscillation which is generated by our finally optimized spark gap. The oscillation time is about 50 ns, which means a signal bandwidth of 20 MHz, thus perfectly matching the high bandwidth requirements of the SAW element. A frequency range up to 2.5 GHz was investigated. Figure 46 gives an impression of the peak power which can be generated by discharging a capacitor of about 3 pF and 2500 V into the oscillating circuit.

3 C

J-

o [U

I$

er[d

l

3 C

cpow

3 C

ca ,KJ

OH

500 1000 1500 2000 2500 3000

frequency [MHz]

Fig. 46. Measured peak power of the spark gap generated RF pulses, measured with 10 MHz.

The diagram shows the peak power attained, measured with a spectrum analyzer at a bandwidth of 10 MHz for frequencies between 400 MHz and 2.5 GHz. Taking into account that the signal-encoding process with the SAW element causes a signal power attenuation of about 30 dB, it can be seen that the useful frequency range for these kinds of sensors is from 100 MHz up to about 1 GHz. The power of the sensor reflections is reduced to -5 dBm at 1 GHz, which gives a sufficient detection range of the signal for many applications, as will be shown by the following considerations:

Assuming that the propagation range is limited mainly by the thermal noise level, which is -174 dBm for each 1 Hz of bandwidth, the maximum path loss can be calculated by adding:

+ 70 dB: for a system bandwidth of 10 MHz + 14 dB: signal-to-noise ratio SNR required for a correct evaluation + 10 dB: receiver noise figure (2 dB) and external noise sources (8 dB) + 0 dBi: antenna gain + 5 dBm: transmission power level of the sensor signal - 5 dBm at 869 MHz

•=> The maximum path loss which can be overcome with the system requirements set to the above values is 75 dB.

Figure 47 shows the transmission path loss for free-space RF propagation (calculated) and for propagation in buildings of different types (measured) at 869 MHz. The horizontal line indicates the maximum path loss of 75 dB. The maximum measurement

305

Page 318: Advances in Surface Acoustic Wave Technology, Systems

1172 F. Schmidt & G. Scholl

range in meters that can be achieved can be seen at the points of intersection. So the maximum transmission range in the case of free-space propagation distance can be estimated to be about 200 meters. The maximum propagation range in the case of reinforced concrete buildings with two brick walls in the propagation path is about 30 meters.

attenuation [dB]

- 4 0 " -

- 5 0 - -

-60—

-70—

free space propagation propagation in large, furnished rooms propagation in corridors (2x2 Meter) propagation at single floor level(reinforced concrete, 2 massive brick walls in the propagation path)

i max. tolerable attenuation: 75 dB -80" (-> max. range)

^sT

10 20 50 100 distance in meters Fig. 47. Sensor transmission path loss and maximum detection range in buildings and free space at 869 MHz.

We will now examine how the power of the signal to be sensed is converted into the high voltage required. As already noted, a small capacitor of about 3 pF must be charged at 2,500 volts in order to ignite the spark gap. The energy in this capacitor is as low as:

E = - C V2=- 3 10-12 25002Wsec =9.4nWsec 2 2

(7)

In order to compare this with dimensions that can be more easily visualized, it may be mentioned that the energy needed to heat a drop of water (about 20 mg) by IK is some 13,000 times greater. This explains why such a tiny amount of energy can be easily drawn from the sensed processes. The reason why this amount of energy, which is hardly sufficient to power a modern semiconductor-based RF transmitter, can power our sensor transmitter lies in the high bandwidth or very short transmission time. Because the energy stored in the capacitor is released in a very short time, considerable transmission powers are produced.

306

Page 319: Advances in Surface Acoustic Wave Technology, Systems

Wireless SAW Identification and Sensor Systems 1173

4.4 Piezoelectric energy conversion and piezoeieciricmlly powered sensors Many processes which we want to sense contain mechanical movements that can be "tapped" by our sensor using the piezoelectric effect: pressure changes in liquids or gases, weight changes, torque changes on axles or hand-operated keys, vibrations on mechanical parts etc. This effect is exhibited by some crystals and polyciystalline materials and consists in the generation of an electric voltage as result of applying mechanical force to the material in a certain direction. The piezoelectric effect was first examined thoroughly by Jacques and Pierre Curie in 1880 and is now well understood and widely used in commercial applications such as ultrasonic transducers and tweeters, piezoelectric motors and transfomiers, quartz crystal time bases, piezoelectric fuel-injection valves and many others.40

Q

construction with two levers

Fig. 48. High voltage spark generator for light switches.

Piezoelectric ceramics are polycrystalline materials which offer a particularly easy way of converting mechanical "events" into the required high voltage. Commercial examples of high-voltage generation are gas-fuelled cigarette lighters with piezoelectric ignition and piezoelectric lighters for gas cookers.

To demonstrate the operation of our system, we decided to implement light switches that can be used in private homes, for example. These switches consist of a piezoelectric energy converter that acts when the rocker switch is pressed and activates the spark gap transmitter with SAW element coding described above.

We used commercially available piezoceramic cylinders 4 mm in diameter and 15 mm in length with metallization on their end faces. Because the maximum permissible-mechanical deformation of this element is in the region of several pn, it was necessary to develop the force transducer based on a two-lever principle which can be seen in Fig. 48.

high voltage connector

« ^

307

Page 320: Advances in Surface Acoustic Wave Technology, Systems

1174 F. Schmidt & G. Scholl

ip*w I T • I * t

Fig. 49. Both cirquit board sides of the experimental spark gap transmitter for wireless switch applications.

The properties achieved for this spark generator are:

two sparks with 3,000 V at 5 pF load capacitance a mechanical input energy of 5 N and a lever distance of 5 mm

The spark-gap transmitter used can be seen in Fig. 49, which is a photograph of both sides of the 869 MHz high-frequency board containing the spark gap, a SAW element and a high-frequency bandpass filter in stripline technology. The spiral antenna combines small size, low cost and acceptable efficiency. This antenna type is also preferred in mobile phone devices.

The results obtained with this experimental version of the switch in terms of detection range and code recognition probability are almost as good as expected on the < basis-of the theoretical considerations discussed in Section 5.8. The main potential for improvements can be seen in the efficiency of the piezoelectric energy converter and the code capacity and attenuation of the SAW element. The model built is not yet a prototype suited for production, so one of the next tasks will be to develop a technology that allows production at a competitive cost level.

Our laboratory version attained the following parameters:41

energy at the rocker on-switch for generating two transmissions: 5N, 5 mm frequency of operation: 869 MHz

- bandwidth 20 MHz RF pulse power delivered by the spark gap measured at the bandpass filter: 27 dBm RF pulse power of the pulse chain, resulting from the SAW encoding: -7 dBm code capacity of the SAW: 16 bits possibility of extracting sensory information (e.g. temperature or force from the transmitted signal (not used in these experiments, see next section)) duration of one transmission: 5 pec antenna gain: 0 dBm

308

Page 321: Advances in Surface Acoustic Wave Technology, Systems

Wireless SAW Identification and Sensor Systems 1175

We see many potential applications for the components described here, i.e. piezoelectrically driven switches and sensors that transmit an identifying RF code and a sensor value when a force is applied. The following list is intended to give some ideas for potential applications. As the technique is still in its beginnings, this list is certainly incomplete. However, some applications that seem reasonable at the present time may well turn out to be impractical or unfeasible. It must be emphasized that all measurements accessible to radar-type sensors can also be performed by these event-driven sensors -provided that a small source of energy may be tapped by the piezoelectric converters, which must be adapted to the specific environment.

Private home market: Light switches, state monitoring of windows, shades, alarm switches, remote controls or electronic keys. All elements operate without wiring or a battery and transmit signals to a single receiver which performs the specified tasks.

Production control: Hand-operated switches and remote controls, event-operated switches (by vibration, knock, excess force or pressure), state transmission of balances or scales.

Transportation: Force measurements such as tire pressure, torque, weight, hand-operated switches in cars and trains, event-operated switches (by vibration, knock, excess force or pressure).

Energy: Wireless state monitoring of high-voltage parts such as circuit breakers.

Healthcare: Personal alarm switches, access control.

4.5 Pyroelectric energy conversion andpyroelectrically powered sensors The other mechanism we utilize to power the event-driven sensors is the pyroelectric effect. Pyroelectricity may be found in some crystals and ceramic compounds, which often also show piezoelectric properties. Although not as well known as the piezoelectric effect, it was already mentioned by the ancient Greek philosopher Theophrastus 2,300 years ago. He described the pyroelectric effect of tourmaline, which attracted small particles of ash when heated up and repelled them when cooled down. The term pyroelectricity was coined by the physicist Brewster in 1824, who derived it from the Greek word for fire, namely pyr. The effect consists of a directed separation of electric charges at opposite faces of pyroelectric crystals as a result of a temperature change. The crystal dimensions and a photograph of some of the pyroelectric materials used can be seen in Figs. 50 and 51.

309

Page 322: Advances in Surface Acoustic Wave Technology, Systems

1176 F. Schmidt & G. Scholl

z- axis

^ 4-

c

Fig. 50. Crystal dimensions and dc equivalent circuit.

Let us take a look at some properties of these materials which are important for selecting those which offer optimum performance for our purpose.

The strength of the pyroelectric effect is characterized by the material constant p [C m"2 K"1], which means that the separated electrical charge Q depends on the temperature change and the crystal space ab as follows:

Q(AT)=p a b AT

Further, if we know the electric capacitance of the crystal plate capacitor, given by

a-b C=eQ-er d

m

(9)

then we can calculate the resulting voltage as a function of the temperature change

V(AT)=- d AT (10)

which yields the result that the voltage does not depend on the crystal dimensions a and

••|pK8

Fig. 51. Pyroelectric materials: ceramics (dark) and monocrystals.

310

Page 323: Advances in Surface Acoustic Wave Technology, Systems

Wireless SAW Identification and Sensor Systems 1177

b, but solely on the dimension d along the z-axis. The energy generated by a temperature change can now be determined to be

F , / A T 1 a b d (p AT)2

E(AT) = ^ — (11) 2 e0 er

This shows a linear influence of the volume on the transformed energy as well as the importance of the pyroelectric constant p.

Another important parameter is the leakage resistance R of the material, given by the electrical resistivity p as

d R = Q (12)

a b

This means that the crystal will discharge by 36% within a time tdischarge

(discharge = ^ 0 %r G (13)

This duration must be greater than the time needed for generating the spark-gap breakthrough voltage in the event of slow temperature changes - otherwise the breakthrough will not be reached. Interestingly, this time does not depend on the crystal shape and thus cannot be influenced by means of the architecture.

The last property we need to know about in this context is the Curie temperature of the pyroelectric material. If the material is heated up to this point, the pyroelectric effect disappears, so our system must be maintained below this temperature. Some crystal materials are listed in Table 2 below in order to determine the most suitable one for our application: barium titanate BaTi03, lithium niobate LiNb03 and lithium tantalate LiTa03.

Table 2. Some material constants of the investigated crystals.

Material

BaTi03

LiNb03

LiTa03

Curie temperature T 1 c 120 to 160 °C* 1210 °C 603 °C

Permittivity e 1600 29 43

Resistivity

P lE+12Qm 5E+12Qm 5E+12Qm

Pyroelectric constant p

20 nC crn2.rC' 4 nC cm2.K_1

19 nC cm llC1

The important properties, in particular the voltage and energy generated for these three materials, can be calculated with the aid of the formulas derived above. The basis of the calculation is a temperature change of 1 K, a crystal thickness of 1 mm and a crystal face of 1 cm2. The results are summarized in Table 3.

311

Page 324: Advances in Surface Acoustic Wave Technology, Systems

1178 F. Schmidt & G. Scholl

Table 3. Derived crystal properties.

Material

BaTi03

LiNb03

LiTa03

Pyroelectric voltage

14 V

155 V

499V

Pyroelectric energy

141 nJ

311 nJ

4740 nJ

Capacita nee

1.41 nF

25 pF

38 pF

Insulation

10 TQ

50 TQ

50 TQ

Discharge time

14,160 s (=4h)

1,250 s (=21 min)

1,900 s (=33 min)

On this basis, we want to calculate the crystal volume needed for a given energy output of 4.77 uJ K"2 which is generated when the output voltage of the crystals with a face of 1 cm2 changes by 500 V per K temperature change (Table 4).

Table 4. Required crystal sizes for a given energy change for different crystals.

Lithium tantalate LiTaCh Lithium niobate LiNbCK Barium titanate BaTiCh

a b = 10- 10 mm2

d= 1 mm Volume = 100 mm3

V = 500V.K-1 E=4,77 uJ.K-2 C=40 pF R=50 TQ tdischarge = 33 min

m

a.b = 21 -21 mm2

d = 3,22 mm Volume = 1420 mm3

V = 500V.K-1 E=4,77 uJ.K-2 C=35 pF R=36 TQ •discharge = 21 min

a.b = 9,6 • 9,6 mm2

d = 35 mm Volume = 3175 mm3

V = 500V.K-1 E=4,77 uJ.K-2 C=37 pF R=380 TQ tdischarge = 234 min

/

L7

312

Page 325: Advances in Surface Acoustic Wave Technology, Systems

Wireless SAW Identification and Sensor Systems 1179

The "winner" of our comparison is clearly lithium tantalate because of its high energy density which allows the construction of relatively small energy converters. Again, we have to charge the 3-pF capacitor at 2,500 volts. This time the energy

E = - C V2=- 3 10-n 25002Wsec =9.4uWsec (14) 2 2

must be found from a temperature change of the pyroelectric material. Let us consider our piece of lithium tantalate from the chart with a volume of 0.1 cm . To obtain the required energy of 6.4 uWsec, we must heat it up by 1.34 K. Since the voltage generation depends on the height of the crystal and the energy on its volume, we have now the basis for the design of a temperature-powered sensor which drives an RF transmission containing identity and temperature data every time that the designated temperature change is reached.

Fig. 52. Pyroelectrically powered temperature sensor, with SAW sensor element, spark gap transmitter and spiral antenna, RF bandpass filter on the back side.

Figure 52 shows a photograph of a temperature sensor designed to transmit RF telegrams. It contains a 16-bit identity code and detects the absolute temperature at every 0.5 K. temperature change. The housings of the pyroelectric energy converter with spark gap and SAW element are opened to allow a view onto the inner assembly.

Every temperature change in either direction of 0.5 K generates a voltage of 2,500 volts at the metallized faces of the crystal. This causes the spark gap, consisting of thin platinum wires, to ignite. The stripline bandpass filter (on the reverse side, not visible) is excited and the damped oscillation is conducted to the SAW sensor element where it is encoded and transmitted by the spiral antenna. The sensor parameters are:

one transmission per 0.5 K temperature change minimum temperature change rate to reach the breakthrough voltage: 0.1 K/min operating range -20 °C to +150 °C frequency of operation: 869 MHz, bandwidth 20 MHz

313

Page 326: Advances in Surface Acoustic Wave Technology, Systems

1180 F. Schmidt & G. Scholl

RF pulse power supplied by the spark gap measured at the bandpass filter: 27 dBm RF pulse power of the pulse chain, resulting from the SAW encoding: - 7 dBm code capacity of the SAW: 16 bits measurement accuracy without sensor calibration 0.5 K duration of one transmission: 5 usee antenna gain: 0 dBm

This device has potential applications for temperature measurement in many fields, especially where wiring is not feasible or too expensive:

Private home market: Temperature monitoring: inside and outside the house, oven, refrigerator and cooking pots, fire alarm, heat-cost allocation.

Production control: Temperature monitoring between - 50 °C to about 300 °C in process chambers, on or in containers, smoke monitoring, on moving or rotating parts.

Transportation: Brake temperature, axle temperature, overhead line temperature, tire temperature.

Energy: Temperature measurements on high-voltage parts such as overvoltage protectors, rotor windings, aerial lines and gas insulated high-voltage coaxial cables.

Healthcare: Temperature measurements in rooms, sterilization chambers, X-ray tubes, autoclaves and refrigerators.

4.6 Receiver concept and signal evaluation

In order to complete our sensor system, we have developed a receiver with digital signal processing. The block diagram can be seen in Fig. 53.

antenna

Y LNA digitizing buffer data processing memory

f \ C

V / V_ :?) t

' 856 > MHz

. LO J

H fx J - FIFO

t sequencer

-

DSP 56002

i

i J

L

serial

interface

Fig. 53. Block diagram of the developed receiver and evaluation unit.

314

Page 327: Advances in Surface Acoustic Wave Technology, Systems

Wireless SAW Identification and Sensor Systems 1181

The sensor signal is received by the antenna, bandpass filtered and amplified by a low-noise amplifier. It is then down-converted to an intermediate frequency of 13 MHz and digitized at a sampling rate of 40 Ms/sec. Because of the high dynamic range of the signal, we chose an amplitude resolution of 12 bit. After storage, the signal is ready for evaluation by the signal processing unit. Figure 54 shows such a received sensor signal which is encoded by a SAW with ten reflectors.

Fig. 54. Received time domain signal of a temperature sensor with identity code.

This signal in Fig. 54 was obtained from a temperature sensor at a distance of about 10 meters. The first three reflections are used to determine the temperature, whereas the following seven reflections form a 16-bit identity code. The encoding is realized by setting each reflector on one of several possible positions, thus allowing a code space of more than pn to be implemented where n is the reflector number and p the number of positions for each reflector.

For the signal evaluation, the following steps have to be carried out by the signal processor:

digital bandpass filtering adaptive notch filtering for suppression of small bandwidth interference sources Hilbert transformation and demodulation of the signal interpolation of reflector positions, code evaluation, parity check calculation of phase values and sensed values such as temperature, force etc. transferring the results via a serial interface

315

Page 328: Advances in Surface Acoustic Wave Technology, Systems

1182 F. Schmidt & G. Scholl

Figure 55 shows the phase constellation of the first four reflections in the signal. Our concept uses a Hilbert transformation of the received signal to obtain the phase information, which makes it possible to manage with only one receiver channel instead of two as in the case of I/Q concepts. The phase values obtained in this manner are used to calculate the corresponding value of the physical property to be sensed, such as the temperature or force. At this point, the questions arise as to where the accuracy limitations of this method lie and how the system must be designed in order to achieve optimum measurement accuracy. These questions will be examined in the next section.

-1 -J 5 0 05 1 i

Fig. 55: Example of a measured phase constellation of the first four reflectors

5. Measurement Accuracy The measurement accuracy of the sensor systems described here will now be discussed on the basis of SAW temperature sensors. However, the results - which generally refer to single measurements - are transferable to other SAW sensor applications such as torque and pressure sensors. Stochastic measuring errors can of course be reduced by averaging. The measurement system will be considered as ideal except for the considered interferences.41

5.1 Basic relations for error qualification The time domain response signal e(t) of a SAW delay line with R reflectors can be described as a chain of carrier pulses weighted with the envelope curve h(t). This pulse chain is the result of the reflection of the single high-frequency pulse by the various reflectors of the SAW element. Assuming that the reflection is ideal (R((u) = const.), noise h,(t) is added to the measurement.

316

Page 329: Advances in Surface Acoustic Wave Technology, Systems

Wireless SAW Identification and Sensor Systems 1183

e(t)HF =J^Ar V ^ - ^ i .A(r-Tr)+|(/) (15)

In the case of Gaussian pulses of the bandwidth Af, the enveloping curve takes the form

h(t) = e~ 4 1 n 2 . (16)

The high-frequency measurement signal e(t)HF will usually be mixed down with a fixed carrier frequency:

e(t)NF =e(t)HF • eJ*-* ' = | v ^ " ^ ^ -h(t-xr) + ^t) r=l ( 1 7 ^

(<°NF =0)HF C)mix)

The run times on the SAW chip xr change as a function of the temperature:

T r = T r 0 - ( l + C r - ( T - r 0 ) ) , (18)

where %o is the run time at temperature T = T0 and Cx is a material constant with a value of about 100 ppm/K for lithium niobate. This temperature-dependent run time constitutes our measurement effect. As the following calculation shows, it makes no sense to measure this run time shift Atr directly due to the very small changes of, say, ATr = 0.1 nsec/K for a reflector distance of 1 usee. The attainable accuracy of the time measurement in this case would depend directly on

the noise level £ of the signal. The signal-to-noise ratio SNIt^^ is given by the ratio of

the maximum noise level £ to the maximum signal amplitude e :

| n = | a n d S N R m a x = 2 0 1 o g l | l . (19)

If we now want to measure the time shift Atr of the reflections directly with the maximum of the envelope curve, we obtain the following fluctuations caused by Gaussian signal noise :

2Vln(2) ln(l/(l-|J A T > v v v . (20)

n-Af To perform measurements with a typical bandwidth of Af = 10 MHz to an accuracy of 0.1 nsec or 1 K we would need a SNR ax of 250 dB! This is clearly impossible and indicates that another method of time-shift measurement will have to be found.

317

Page 330: Advances in Surface Acoustic Wave Technology, Systems

1184 F. Schmidt & G. Scholl

Let us now consider a phase-sensitive evaluation of the carrier signal. Here we measure and evaluate the phase differences at discrete time positions Txr of the signal (preferably x„ = z^). To eliminate constant interference, only phase changes between two reflections will be considered, thus producing a differential measurement. The signal phase (per of the received pulse chain at a position of the reflector r at a time T„ is then:

(Per =^S{eNF(t=t„)} = a)NF -Txr -0)HF -Xr +(p0r. (21)

Taking into account that txr is generally not exactly equal to x^, we write the time positions of the phase measurement as txr as txr = 1,0 + 8,. Together with (18), this yields:

<Per = ®NF ' (* rO +Sr)-(OUF- Xr0 .(1 + CT • (T - TQ )) + <p0r . (22)

Assuming a stable mixing frequency, this term can be simplified to

(Per =(Pcr + ®NF ' &r ^HF -tr0 • CT • (T-TQ) . (23)

The phase difference A$jk between two reflections (with index j and k) is then

A$jk = (Pej ~ Vek = A 0 A O + ^NF (8 j ~ 8 k) + C0HF • Cj • (T - TQ) • (T k0 - T J0 ) .

(24)

The terms (pcr and A-djko are constants in this case.

5.2 Phase measurement errors caused by amplitude noise

For measurement signals of the form (17) we estimate the phase measurement error to be:

_ sin(e) + | n [sin(e)l , „ , . 8m„ = a tan^ : n [ - a tan- — ^ - } . (25)

|cos(e) + ^ n J lcos(e)J

This term reaches its maximum when

sin(e) + %n= -cos(e) - £ n , (26)

resulting in a maximum phase measurement error of

5 < p e r m a x < ± a S i n ( V 2 ^ n ) . (27)

The temperature-dependent phase shift at a reflection distance of 1 |xs and at a measurement frequency of 433 MHz is about 16° (phase) per °K (cf. 24). A moderate SNRnax of 15 dB is thus needed to measure the temperature to an accuracy of 1 °K.

318

Page 331: Advances in Surface Acoustic Wave Technology, Systems

Wireless SAW Identification and Sensor Systems 1185

5.3 Phase measurement errors caused by frequency fluctuations Our calculations have hitherto been based on the assumption that the frequency does not fluctuate within the duration of a single measurement. We will now investigate the effect of short-term frequency fluctuations known as phase noise. Equation (24) provides the basis for this procedure.

Let us assume the ideal condition where 8j = 8k = 0, or at least 8j = c\. It is then easy to see that the temperature measurement error T-T0 increases in proportion to the fluctuations of the frequency COHF- Changes in the oscillator frequency of 1% also cause changes of 1% in the measured temperature. Given a measurement range of ±100° K, this yields a maximum temperature error of 1° K .

Much greater interference occurs in the case where 8j * 8k. If the phase readings Txr are not performed at the exact time positions, the frequency fluctuations result in serious measurement errors. For example, a difference between the 8j and 8k position of 100 nsec causes a ±10 °K temperature error when the frequency deviates by 1%.

It is therefore important to choose the time positions xxr for phase measurement with care and to keep the time difference between them strictly constant. As this can be achieved by careful hardware assembly, we only have to consider the interference on 8j and 8k which is unavoidable because of the limits of technological accuracy in fabricating SAW elements. The main error sources of this kind and their influence on the accuracy of the measurements are listed in the following chart.

Table 5. Error sources and their influence on the accuracy of temperature measurements.

Error source

Errors caused by digitization

Positioning errors of the wafer stepper

Fluctuations of sound velocity on the substrate Aging a) oxidation b) degasifying of the adhesive Main effect of sound-speed change due to temperature

Error dimension

1 / fsampie (can be improved up to ten times by signal interpolation)

ca. 1 urn (speed of sound on the substrate = 3480 m/s) 1 m/s o ca. 300 ppm

100 ppm/year 300 ppm / year

In proportion to the frequency change

Effect on 8jk = |§ - 5k]

8jk <<C 1 / fsampie

Sjk < 30 ps

8jk < 300 ppm |%T tj0|

Sjk < 300 ppm !%>- xj0| / year

Resulting temperature error ST, Example for COHF = 433 MHz ± 1 %

|Tko- tjo| = 1 Us fsampie =50 MHz

A#ik = ± 32° => 8T « 2 K (or 8T « 0.2°K with interpolation) AAk = ± 0.005° => ST < 0.003 K

A#ik = ± 0.5° =* 8T < 0.03 K

8T < 0.3 K in 10 years

8T = |T-T0|' 1 % Measuring range T0± 100K,8T< I K

319

Page 332: Advances in Surface Acoustic Wave Technology, Systems

1186 F. Schmidt & G. Scholl

As can be seen in Table 5, the accuracy is not seriously affected by the parameters investigated here. When the frequency is determined by the quality of the SAW element as a frequency filter, the calculated errors can be reduced even further.

5.4 Interference by multi-path propagation RF signal reflections from the surroundings are responsible for the multi-path propagation of the radio signals transmitted by the sensor. The different propagation paths vary in length and the various signal components superimpose at the receiver unit. We will now investigate the circumstances under which multi-path propagation can cause measurement errors and what dimensions these may have.

The model based on (15) can be extended to include different propagation paths of variable length:

e(t)HF =tta? -Ar •** [^{'-^M-Kt-Tr -T,) + S(0, (28) p=\ r=l

where P is the number of propagation paths, dtp the attenuation and tp the signal delay of the path p. Assuming that all reflectors R on the SAW element are at a distance that prevents the reflected signal components from overlapping in the time domain and neglecting the amplitude shape of the signal, we can write (28) in the following form:

e(t) HF

p

= ^ap-e-^-p=\

' R £^.e;[<%H<-M+<<v]+ (£W

_r=l

(29)

We can see here that the signal phase change caused by multi-path propagation affects the whole signal in the same manner. As stated before, the measurement uses the phase difference between two reflections produced by the SAW element. Equation (29) makes it obvious that multi-path propagation has no direct influence on the phase difference and therefore cannot affect the measurement. It must be emphasized that this is only true when the distance between the reflectors on the SAW chip is greater than the run-time differences caused by multi-path propagation. This factor must be taken into account in designing the SAW elements.

In the next step we will examine how multi-path propagation influences the signal shape at the receiver. The shape and amplitude of the signal can be considerably affected by the interference effects of multi-path propagation. Because these effects are very difficult to formulate analytically, we have simulated multi-path propagation on the basis of equation 28 and performed a statistical analysis.

The following assumptions were made for the simulation:

433 MHz measurement frequency 3 MHz signal bandwidth 100 m detection range 500 reflectors at stochastically evenly distributed distances between 0 and 100 m the amplitude of the reflected echoes decreases linearly with the path length

320

Page 333: Advances in Surface Acoustic Wave Technology, Systems

Wireless SAW Identification and Sensor Systems 1187

The results can be seen in Fig. 56. The graphs show the signals received by our simulated SAW element equipped with three reflectors. The distances between the SAW reflectors are 0.5 usee and 0.6 usee respectively. The variations in shape and amplitude resulting from different propagation-path configurations can be clearly recognized. The amplitude is scaled with respect to the direct propagation-path amplitude. The results indicated that in spite of the extreme conditions underlying the simulations, the signal can be received correctly in more than 99% of all simulated cases.

Figure 57 shows the statistical probability distribution of signal amplitudes for a test set of 2,000 measurements based on the same assumptions as before, i.e. a great variety of 500 different reflecting structures surrounding our propagation area. Surprisingly, there are only a few cases where the amplitude resulting from multi-path propagation is lower than that of a single direct transmission. The fluctuations in power amplitude are smaller than 20 dB. However, the results change when there are only a few different propagation paths of similar length - the extreme case would be that of two signals whose path lengths differ by a multiple of the half wavelength (0.7 m in our example). Unlike the total cancellation which would occur in this case with time-continuous signals, the high bandwidth pulse-shaped signals used here cannot be canceled out by destructive interference. The higher the bandwidth, the more of the signal amplitude remains in such a case.

Figure 58 shows the result of a simulation with five different paths whose lengths vary between 0 and 10 meters. The test simulates 10,000 measurements with various reflector sizes and positions. All other assumptions remain the same as before. The amplitude values are considerably smaller than in the configuration with a multitude of propagation paths. However, the error probability for code recognition is still below 1%. The lower amplitude values reduce the signal-to-noise ratio SNR and therefore the phase measurement accuracy. Our last error consideration deals with the relationships between SNR and phase measurement accuracy.

Figure 59 shows the effect of multi-path propagation on the phase error probability. The simulation is based on 500 paths varying in length between 0 and 100 m, a frequency of 433 MHz, 3 MHz bandwidth and 0.5 usee time difference between the reflectors on the SAW chip. Two thousand individual measurements with varying surrounding reflectors were performed to obtain the distribution shown. Most of the measurement errors are within a phase error range of ±2°, which results in temperature errors of ±0.25° K.

Summarizing, we can state that multi-path propagation does not seriously affect the measurement accuracy provided that the time distances of the reflectors on the chip are not too small. Fluctuations in amplitude and SNR also have little effect on the measured phase differences when the SNR is above 15 to 20 dB, depending on the configuration of reflectors in the propagation area.

321

Page 334: Advances in Surface Acoustic Wave Technology, Systems

1188 F. Schmidt & G. Scholl

* 5

>jtArw*A A*V^A) fr^Wv

r 0 0.5 1

n U A WU/ftlrAiVAJ^V, A X^Afl/VA^f^AJ)(WvA

0 0.5 1 1.5 2 2.5 3 3.5 4 4.5 5

— ^ t/M-S

-

-

-

-

— .~.^*4-^.,J

J\ \ V

y\ / V_

-

-

-

^

^

1.5 2.5 3 3.5 4 4.5 5 —* t/Ms

r ---

-

-/

/ \ / w ) l/%

A ; ">A

-

-

-

-

-

3

I 2 1

0 0 0.5 1 1.5 2 2.5 3 3.5 4 4.5 5

—> t/|xs

Fig. 56. Simulation results: signal shape influenced by multipath propagation with different echo distributions in the environment (500 echoes, distributed between 0 and 100 m).

1 if \ **> fe^V' :'

•:':•: I.

A / A

Fig. 57. Influence of multipath propagation (500 paths) on the signal amplitude.

10

322

Page 335: Advances in Surface Acoustic Wave Technology, Systems

Wireless SAW Identification and Sensor Systems 1189

10 A/A

Fig. 58. Influence of multipath propagation (5 paths) on the signal amplitude.

10 A/A

Fig. 59. Phase error probability distribition resulting of different multipath arrangements (500 propagation paths, 0,5 usee reflector distance, 2000 simulated transmissions.

Summary SAW systems offer new and innovative solutions for identification and measurement tasks. The principles of wireless sensing based on wireless, passive, rugged and crystal stable SAW devices have been discussed. It has been shown that with event-driven sensors the limitations of radar-type SAW identification or sensor systems can be overcome. SAW ID tags as well as binary sensors and sensors for the non-contact measurement of temperature, pressure and torque were investigated. A broad variety of possible applications for industrial and domestic were presented.

Acknowledgment The authors would like to thank their partners in the business units for the support of their work. There are also many people in our group not standing on the author list which contributed significantly to the success of the experiments and test installations described

323

Page 336: Advances in Surface Acoustic Wave Technology, Systems

1190 F. Schmidt & G. Scholl

above. Therefore we want to thank the head of our department W.E. Bulst for constant inspiration and encouragement and our colleagues R. Abl and C. Seisenberger (DSP-programming, prototype systems), A. Albsmeier (DSP unit), F. Dollinger (automotive applications), C. Korden (antenna design and development), M. Mohr and L. Reindl (tag and sensor design), T. Ostertag (RF electronics) , K. Pistor (torque measurement), K. Riek (precision engineering), E. Riha (material investigations), O. Sczesny (railway applications), M. Vossieck (theoretical considerations), U. Wolff (applications in extreme environments) for their support and excellent work as well as our highly engaged PHD students H. Scherr (quartz pressure sensor) and G. Schimetta (SAW hybrid sensors). We also want to thank our university partners for their basic work and their inventiveness in Vienna, Linz, Munich and Clausthal-Zellerfeld.

References 1. C.C.W. Ruppel, R. Dill, A.Fischerauer, G. Fischerauer, W. Gawlik, J. Machui, F. Muller, L.

Reindl, W. Ruile, G. Scholl, I. Schropp, K.C. Wagner, "SAW Devices for Consumer Applications," IEEE Transactions on UFFC, vol. 40, no. 5, 1993, pp. 438-452.

2. A. J. Ricco, S. J. Martin; "Thin metal film characterization and chemical sensors: monitoring electronic conductivity, mass loading and mechanical properties with surface acoustic wave devices," Thin Solid Films, vol. 206, no. 94,1991, pp. 94-101.

3. G. Fischerauer, "Surface Acoustic Wave Devices" in: W. Gopel, J. Hesse, J. N. Zemel (Series Eds.), H. Meixner, R. Jones (Volume Eds.), Sensors. A Comprehensive Survey, vol. 8. Weinheim: VCH, 1995.

4. H. Hurwitz, US Patent #3,273,146, Sept. 13,1966. 5. M. Epstein, US Patent #4,059,831, Nov. 22,1977. 6. K. Vogel, US Patent #4,623,595, April 21,1981. 7. F. Seifert, W.-E. Bulst, C.C.W. Ruppel, "Mechanical Sensors Based on Surface Acoustic

Waves," Sensors and Actuators A44,1994, pp. 231-239. 8. F. Schmidt, O. Sczesny, L. Reindl, V. Magori, "Remote sensing of physical parameters by

means of passive surface acoustic wave devices ("ID-TAG")," IEEE Ultrasonics Symposium Proceedings, 1994, pp. 589-592.

9. L. Reindl, G. Scholl, T. Ostertag, C.C.W. Ruppel, W.-E. Bulst, F. Seifert, "SAW Devices as Wireless Passive Sensors," IEEE Ultrasonics Symposium Proceedings, 1996, pp. 363-367

10. M.I. Skolnik: Introduction to Radar Systems, 2. Ed. 1980, McGraw-Hill. 11. R. M. White, "Acoustic Sensors for Physical, Chemical and Biochemical Applicati

Frequency Control Symposium, 1998, pp. 587-594. 12. Special Issue on Sensors and Actuators. IEEE Transactions on UFFC, vol. 45, no. 5,1998. 13. T. Yamashita, Kenya Hashimoto, M. Yamaguchi, " Highly Piezoelectric Shear-Horizontal-

Type Boundary Waves," Japanese Journal of Applied Physics, vol. 36,1997, pp. 3057-3059. 14. V. P. Plessky, "Two Parameter Coupling-of-Modes Model for Shear Horizontal Type SAW

Propagation in Periodic Gratings," IEEE Ultrasonics Symposium Proceedings, 1998, pp. 195-200.

15. M. V. Belubekyan, A. R. Mukhsikhachoyan, "Shear Surface Waves in Weakly Inhomogeneous Elastic Media," Acoustical Physics, vol. 42, no. 2, 1996, pp. 155-158.

16. H. Skeie, P. A. Nysen, US-Patent #4,625,208, Nov. 25,1986. 17. G. Ostermayer, A. Pohl, C. Hausleitner, L. Reindl, F. Seifert „CDMA for wireless SAW

sensor applications," Proceedings of the IEEE Symposium on Spread Spectrum Techniques & Applications (ISSSTA), 1996, pp.795-799.

18. P. A. Nyson, M. R. McCoy, US Patent # 4,734,698, March 29, 1988. 19. L. Reindl, W. Ruile, "Programmable Reflectors for SAW-ID-Tags, "IEEE Ultrasonics

Symposium Proceedings, 1993, pp. 125-130. 20. E. Ehrmann-Falkenau, K. Langeder, Europ. Patent #0088400, Sept. 15,1983.

324

Page 337: Advances in Surface Acoustic Wave Technology, Systems

Wireless SAW Identification and Sensor Systems 1191

21. L. Reindl, T. Ostertag, W. Ruile, C.C.W. Ruppel, A. Lauper, R. Bachtiger, H. Ernst, "Hybrid SAW-Device for a European Train Control System," IEEE Ultrasonics Symposium Proceedings, 1994, pp. 175-179.

22. R. Seindl, A. Pohl, F. Seifert, "Impedance Loaded SAW Sensors Offer a Wide Range of Measurement Opportunities, MTT-S Digest, pp. 1453-1456, Anaheim, June, 1999.

23. J. Hornsteiner, E. Bom, G. Fischerauer, E. Riha, "Surface Acoustic Wave Sensors for High-Temperatrure Applications," IEEE Frequency Control Symposium, 1998, pp. 615-620.

24. R. M. Taziev, E. A. Kolosovsky, A. S. Kozlov, "Deformation-Sensitive Cuts for Surface Acoustic Waves in a-Quartz," IEEE International Frequency Control Symposium, 1993, pp. 660-664.

25. H. Scherr, G. Scholl, F. Seifert, R. Weigel, "Quartz Pressure Sensor Based On SAW Reflective Delay Line," Proceedings of the IEEE Ultrasonics Symposium, 1996, pp. 347-350.

26. G. K. Montress, T. E. Parker, J. Callerame, "A Miniature Hybrid Circuit SAW Oscillator Using An All Quartz Packaged Resonator," Proceedings of the IEEE Ultrasonics Symposium, 1985, pp. 277-282.

27. G. Schimetta, F. Dollinger, R. Weigel, "A Wireless Pressure Measurement System Using A SAW Hybrid System," to be published in the MTT-S Digest, Session TH-2A-1, Boston, June 11-16,2000.

28. G. Tobolka, "Mixed matrix representation of SAW-transducers," IEEE Transactions on UFFC, vol. 26, 1979, pp. 426-428.

29. D. P. Morgan: Surface Wave Devices for Signal Processing. Elsevier, Amsterdam, pp. 92f and 199f, 1985.

30. T. Sachs, R. GroBmann, J. Michel, E. Schriifer, "Remote Sensing Using Quartz Sensors," Proceedings of the SPIE Symposium on Smart Structures and Materials, vol. 2718A-05, 1996.

31. U. Wolff, F. Schmidt, G. Scholl, V. Magori, "Radio Accessible SAW Sensors for Non-Contact Measurement of Torque and Temperature," Proceedings of the IEEE Ultrasonics Symposium, 1996, pp. 277-282.

32. Technology Focus: Norway Implements RF Vehicle Identification, Microwave and RF Engineering, Feb. 1989, pp. 42-43.

33. B. DeGreeter, O. Nys, M. Chevroulet et. al. "A Wireless Tyre Pressure and Temperature Monitoring System," Sensor Expo Conference Proceedings, 1996, pp. 61-63.

34. R. Grelland, H. Jakobsen, B. Liverod, "A Low Cost Fully Signal Conditioned Pressure Sensor Microsystem with Excellent Media Compatibility," in D. Ricken, W. Gessner (Eds): Advanced Microsystems for Automotive Applications '99, Springer, New York, 1999, pp. 121-131.

35. G. Schimetta, F. Dollinger, R. Weigel, " Tire Pressure Measurement Using A SAW Hybrid Sensor," to be published on the 16th IMEKO World Congress, Sept. 2000, Vienna.

36. W. Hosp, D. Zittlau, M. Prenninger, L. Reindl, A. Pohl, R. Steindl, "Passive SAW Radio Sensors for the Intelligent Tyre, " VDI Berichte, no. 1494,1999, pp. 209-221.

37. A. Pohl, F. Seifert, "Wirelessly Interrogable Surface Acoustic Wave Sensors for Vehicular Applications," IEEE Transactions on Instrumentation and Measurement, no. 4, vol. 46,1997, pp. 1031-1038.

38. V. Hinrichsen, G. Scholl, M. Schubert, T. Ostertag, "Online Monitoring of High-Voltage Metal-Oxide Surge Arresters by Wireless Passive Surface Acoustic Wave (SAW)Temperature Sensors, "11th ISH London 23.-27.08.1999.

39. R.W.Simons, "Guglielmo Marconi and Early Systems of Wireless Communication", GEC Review, Vol. 11, No. 1, pp. 37-55,1996

40. M. v. Ardenne, "Effekte der Physik und ihre Anwenndungen", VEB Deutscher Verlag der Wissenschaften, pp. 477-478, 1988

41. F. Schmidt, M. Vossiek, E. Chemisky, "Ergebnisbericht der Studie Heizkostenverteilung mit Oberflachenwellen-Funksensoren", Siemens AG Miinchen, internal report, pp, 21-30,1998

325

Page 338: Advances in Surface Acoustic Wave Technology, Systems

This page is intentionally left blank

Page 339: Advances in Surface Acoustic Wave Technology, Systems

International Journal of High Speed Electronics and Systems, Vol. 10, No. 4 (2000) 1193-1227 © World Scientific Publishing Company

INTERACTION OF SURFACE ACOUSTIC WAVES, ELECTRONS, AND LIGHT

ACHIM WIXFORTH Sektion Physik and Center for NanoScience,

Ludwig-Maximilians-Universilat Miinchen, 80539 Miinchen, Germany

The interaction of surface acoustic waves with free carriers in semiconductor nanostructures has turned out to yield a powerful tool not only for the investigation of the dynamic conductivity of such quantum systems. The latter has been shown in the study of the dynamics of the fractional and integer quantum Hall effect and many other interesting physical phenomena. The interaction is based on a relaxation type and impedance matching effect. However - to make practical use of this strong interaction, the electromechanical coupling coefficients of state-of-the-art semiconductor layered systems are too small. A hybrid technique, merging the strong piezoelectricity of LiNbC>3 or similar substrates with the excellent electronic properties of band gap engineered semiconductor quantum wells tackles this problem. Based on this new hybridization technique, several acoustoelectric high frequency devices have been realized. But also optically generated free electrons and holes in a semiconductor efficiently interact with the piezoelectric fields and potentials accompanying the surface wave. Those are able to field-ionize optically generated excitons leading to an acoustically induced quenching of the photoluminescence of a semiconductor quantum well, and to a system in which photonic signals can be efficiently converted into spatially separated electrons and holes which then can be transported over macroscopic distances along the quantum well. Finally - at a predetermined time and location on the sample - they can be reassembled into photonic signals. But also much simpler photonic devices can be realized using surface acoustic waves on semiconductor samples. For instance, we report on a simple, yet efficient camera type of device for pattern recognition and image processing.

327

Page 340: Advances in Surface Acoustic Wave Technology, Systems

1194 A. Wixforth

1. Introduction

Surface acoustic waves (SAW) propagating at the surface of piezoelectric substrates are accompanied by strong piezoelectric fields. If the substrate is a semiconductor, or as in our case a layered semiconductor system providing low-dimensional quantum system,1

these fields can either directly interact with free carriers in the semiconductor or impose a strong potential modulation to its band structure. Both effects have been exploited to open a new field of research in semiconductor physics but also to develop new concepts for high frequency devices. It turns out that the interaction of SAW with free carriers in a piezoelectric semiconductor yields a powerful tool to investigate their dynamic conductivity, being especially interesting for semiconductor systems exhibiting quantum effects. The interaction can be basically described by a change of the electrical boundary conditions of the SAW caused by the finite conductivity of the free carriers. For a specific, critical conductivity of the electron system it leads to a strong absorption of the SAW, induced by screening currents, and to a renormalization of the speed of sound.4

Both quantities can be used to actually map out the interaction and gain profound insight into the properties and nature of the dynamical conductivity of quantum systems.5

Momentum transfer between the SAW and free carriers in a semiconductor leads to the occurrence of acoustoelectric effects, i.e. sound induced currents and potentials in the electron system, which also can be used to probe the quantum nature of the system under consideration. Applying the same concepts as used in basic quantum research, the combination of SAW and semiconductor layered systems can also be used to develop new concepts for high frequency devices. Here, too, the interaction between SAW and free carriers in the semiconductor can be exploited for many different effects leading to a whole class of novel tunable SAW devices.

As free carriers in a semiconductor can also be generated by incoming photons, an interaction between SAW on a semiconductor and light in general can be expected. On the one side, again, the direct interaction between the free charges in the substrate and the SAW can be observed. It leads to a intensity and energy dependent attenuation of the SAW and to a renormalization of the speed of sound, both quantities can be strongly modulated via the intensity and/or energy of the incoming light. This type of interaction can be used for optical signal processing and image reconstruction. On the other hand, the strong piezoelectric potentials accompanying the SAW lead to a strong modulation of the band structure of the semiconductor layered system. This modulation leads to a completely new type of interaction between light and SAW and also to a complete change of the optical properties of the semiconductor system. Many different effects arise from this interaction, that can be exploited to, e.g., acoustically induce the storage of light in a solid.

The present review article is organized as follows: After a short introduction into the basic theory of the interaction between SAW and free carriers in a semiconductor layered system, we proceed in section 3 with a description of the use of SAW to probe the dynamic conductivity of a low-dimensional electron system under quantizing conditions. Here, we address our early experiments related to the quantum Hall effect. However, not the quantum effects themselves will be on focus, they will only be used to elucidate the interaction between the SAW and a low-dimensional electron system in a semiconductor quantum well. It turns out that the interaction between the SAW and the free charges in a semiconductor system is strongly governed by some material constants of the substrate, which in our case only allow for a quite moderate strength of the

328

Page 341: Advances in Surface Acoustic Wave Technology, Systems

Interaction of Surface Acoustic Waves, Electrons, and Light 1195

interaction itself. The discussion of the above points together with the urge to incorporate the described effects into real devices, lead us to the need for the development of hybrid systems between semiconductor thin films and piezoelectric substrates for SAW devices.8 Bringing up the strength of interaction by nearly two orders of magnitude as compared to the monolithic case leads us to the description of many different devices and new acousto-electric effects that might be exploited for future devices. Section 4 is devoted to such new concepts.

In section 5, we address the interaction between SAW and light in general again mediated by a semiconductor layered system. We discuss the effect of bipolar photo generated charges in a semiconductor quantum well and compare it to the case of a unipolar doped system. The strong piezoelectric potentials, that accompany a SAW on a piezoelectric substrate lead to a strong and tunable modulation of the band structure of the semiconductor under consideration. We show that this leads to a completely new class of acousto-optic effects like the possibility to quench the photoluminescence of a semiconductor quantum well, acoustically driven storage of light in a solid and to the development of novel acousto-optic devices for pattern recognition.

Section 6, finally, addresses some future prospects of the interaction between SAW, electrons and light and reports on some initial experiments and thoughts about even more exotic types of interactions that might be exploited for new and powerful devices and analytic tools based on SAW technology.

2. Electrical boundary conditions for SAW in two dimensions

It has been known for quite some time that the electrical boundary conditions at the surface of a substrate on which a SAW is propagating strongly influence the propagation parameters of the SAW itself. In the literature, the limiting cases are often referred to as 'open' and 'shorted' boundary condition of the surface, depending on the conductivity of a thin layer on top of the substrate. To give an introduction to the basics of the interaction between a SAW and free charges in a semiconductor, we start with a short description of this interaction in terms of the electrical boundary conditions for the SAW as imposed by an electron system of variable sheet conductivity close to the surface of the substrate. In contrast to the case of an isotropic solid, where all properties of a SAW are independent of the choice of the surface and propagation direction of the SAW, for anisotropic solids like semiconductor crystals, one has to include this anisotropy into the description of the SAW itself. For crystals with the lack of inversion symmetry (like the zincblende lattice as in GaAs), additional effects arise from the piezoelectricity of such materials. Regarding such a piezoelectric crystal and ignoring free charges for a moment, the wave equation for the SAW is usually written in terms of a modified elastic constant c*, taking into account the effect of piezoelectricity.

d2u , d2

— c * ^—5- = 0 ; c* = c dt1 dr1

V c-ej = c(l + K2) (1)

Here, p, c, and e denote the components of the piezoelectric, the elastic, and the dielectric 2 2 tensor. Usually, these material constants are combined into a single constant K =p /ce,

describing the amount of piezoelectricity of the respective substrate. The effect of

329

Page 342: Advances in Surface Acoustic Wave Technology, Systems

1196 A. Wixforth

piezoelectricity hence slightly stiffens the substrate, leading to a somewhat higher sound 2

velocity v=v„+Av/vo, being connected to the bulk coupling coefficient K via

v 0 ~ 2 ~ 2 ( 2 )

To distinguish between the constant K used in equ. 2, and defining the piezoelectric stiffening in bulk material, the index eff is introduced for the effective electromechanical coupling to surface waves.

We now turn to the description of the effect of a thin, massless metallization at the surface of our piezoelectric substrate, on which a SAW is propagating. This has been discussed in great detail by several authors before,2'4-5'9 so we can restrict ourselves here to just give the result. It turns out that a thin, massless metallic layer at the surface of a piezoelectric substrate leads to a conductivity dependent attenuation T and a shift of the SAW velocity Av/v0 given by:

2 l+(o/cO v0 2 l + (o-/am)2

where k=2rc/X denotes the wave vector of the SAW, o the sheet conductivity of the metallic layer, and om =v„e0 (l+£s) the 'natural' conductivity of the problem. In Fig. 1, we plot the result for the attenuation T and the renormalization of the sound velocity Av/v„ as a function of the sheet conductivity a. We see that for c= am maximum attenuation occurs, whereas Av/v0 exhibits a sharp step like increase around this value. This is exactly the effect of piezoelectric stiffening mentioned above. The physical meaning of the critical conductivity a m is Dest described in terms of a transmission line model describing the energy flow from the SAW into the conducting layer. a m then represents the wave impedance of the SAW delay line, at which impedance matching is obtained. Comparing am to the field impedance Z0 =377 Q. of the vacuum yields:

- ^ L = ^ A W ( l + £ r ) ( 4 ) IQ C

where Y0=l/Z0 .

From this, it becomes clear that cm actually plays the role of a field impedance if

transformed from the speed of light to the speed of sound. For GaAs, am =3.3-10"7Q_1, the largest interaction between the SAW and a conducting layer at the surface is hence expected to occur at very small conductivities.

330

Page 343: Advances in Surface Acoustic Wave Technology, Systems

Interaction of Surface Acoustic Waves, Electrons, and Light 1197

0.25

0.2

o i l 0.15

0.1

0.05

0.5

04

"fcCB

3*02

0.1

0.01 0.1 1 10 100

Fig. 1. Conductivity dependent attenuation (a) and relative change of sound velocity (b) for a SAW on a piezoelectric substrate, on which a thin conductive layer is deposited. Maximum attenuation occurs at 0 =G,„, where the impedance matching condition is met.5

To illustrate the effect of a conducting layer on top of a piezoelectric substrate even further, we plot in Fig. 2 the magnitude of the piezoelectric potential as a function of depth into the substrate for GaAs. The two limiting cases 'open (o =0)' and 'shorted (0=°°)' are shown. One can see that the perfect screening in the shorted case is related to a vanishing potential directly at the surface. For open conditions, the piezoelectric potential has its maximum value exactly at the surface. However, one can also note that for larger depths below the surface, the piezoelectric potential partially recovers until at about 3/4 of the wavelength no difference between open and shorted is left. We will come back to this important fact when we discuss gated semiconductor systems.

331

Page 344: Advances in Surface Acoustic Wave Technology, Systems

1198 A. Wixforth

in

'c X)

a.

< GO

9-

0 0.25 0.5 0.75 1

DEPTH ZASAW

Fig. 2: Normalized piezoelectric potential for a SAW on GaAs for the given crystal cut. Both the potential for the 'open' condition (free surface) and the 'shorted' condition (metallized surface) is shown. Note that for a finite depth into the substrate the potential recovers from the screened case directly at the surface.

So far, we have discussed the effect of a thin conducting layer on top of the surface of a piezoelectric substrate. Once this conductivity is tunable, we would expect to also tune the degree of attenuation and phase velocity accordingly. This tuneability of the sound velocity in a crystal by external means is of great importance for the readability of novel high frequency devices. However, as we can see from our simple model, the degree of tuneability strongly depends on the strength of the electromechanical coupling. As we will see below, here, too, some tuneability can be achieved.

3. SAW probing the dynamic conductivity of low-dimensional electron systems

A perfect system to study the effect of a tunable sheet conductivity onto the propagation parameters of a SAW is the quasi two-dimensional electron system in a semiconductor heterostructure. Moreover, the quasi two-dimensionality of such electron systems leads to the occurrence of quantum effects that have been a major subject of modern semiconductor physics over the last years.' A semiconductor heterostructure consists of different semiconductor materials, which are, preferably lattice matched, deposited in a layer by layer process on a host substrate. Most commonly, this is done during an epitaxial growth, for instance by molecular beam epitaxy. The most common semiconductor heterostructure is the single interface heterojunction, where a material of lower bandgap (e.g. GaAs) is capped by a material of larger bandgap (e.g. AlxGa,.xAs). The resulting conduction band discontinuity results in an approximately triangular quantum well of about lOnm width, in which free electrons can occupy quantized electron levels. These electrons are introduced into the structure by remote doping of the larger bandgap material, resulting in an unusual high electron mobility, especially at low

332

GaAs (100) cut [011] propag.

Page 345: Advances in Surface Acoustic Wave Technology, Systems

Interaction of Surface Acoustic Waves, Electrons, and Light 1199

temperatures, where phonon scattering is strongly suppressed. The second heterostructure used in our experiments is a so-called quantum well, where a thin layer of low bandgap material is sandwiched between two layers of higher bandgap material serving as potential barriers. This structure strongly resembles the textbook example of a one-dimensional potential well with well defined quantized energy levels in both the conduction- and the valence band. In Fig. 3, we schematically depict the band diagrams of such heterostructures.

As mentioned above, the quasi two-dimensional electron gas (2DEG) in such structures exhibit very interesting quantum effects, one of which is the quantum Hall effect, which was discovered in 1982 by von Klitzing10 who later was awarded with the Nobel prize in physics for this discovery. Connected with the quantum Hall effect, though conceptually different, is the fractional quantum Hall effect, for which the Nobel prize of 1998 was given to Stormer, Tsui, and Laughlin. Without going into the details of these very exiting physical quantum effects, both provide a nice test system for the discussion of the interaction between a SAW and a 2DEG on a semiconductor heterojunction.

AlxGaj_xAs GaAs

AixGal-xM

Fig. 3. Schematic representation of the semiconductor layered systems used in our experiments. The left figure shows a simple semiconductor quantum well. It consists of a low bandgap material (e.g. GaAs) sandwiched between two layers of a material of higher bandgap (e.g. AlxGai_xAs). The finite thickness of the well leads to quantized energy levels in the conduction (Ec) and valence band (Ev). The right sketch shows the band structure resulting from a semiconductor single heterojunction of two different materials. Once the higher bandgap material is doped, the self-consistent potential leads to the formation of a nearly triangular potential well at the interface between both materials. Here, too, quantized levels in the conduction band exist. The lower part of the figure indicates the layer sequence that can be tailored during the epitaxial growth of the structure.

The occurrence of the quantized Hall effect at low temperatures and in high magnetic fields is connected with deep minima in the magneto conductivity of a 2DEG. These deep minima are related to gaps in the magnetic field induced density of states in the energy spectrum of the electron system. Here, the 2DEG undergoes a form of a metal-insulator transition whose details are very important for the precise understanding of the physics underneath.

333

Page 346: Advances in Surface Acoustic Wave Technology, Systems

1200 A. Wixforth

Fig. 4. Sketch of the sample layout as used in the magnetoconductivity measurements as explained in the text. The active area of the semiconductor heterojunction is shaped into the form of a 'Hall-bar' by a wet etching process. This allows for an independent determination of the magneto conductivity tensor components. To the left and the right of the active area, two interdigital transducers (IDT) to launch and detect a 70MHz SAW.5

In Fig. 4, we show the sample layout for our investigations of the quantum Hall effect using surface acoustic waves. Two interdigital transducers for the generation of surface acoustic waves are prepared to the left and the right of the sample. Between the transducers, the active area of the sample containing the 2DEG is prepared in a wet etching process. Ohmic contacts to the 2DEG allow for the static measurement of the magneto conductivity. Surface acoustic waves can be excited at one transducer and after passing the area with the 2DEG can be analyzed in terms of transmitted intensity and phase. The result of such an experiment is shown in Fig. 5, where we plot the transmitted SAW intensity and the relative change of the sound velocity together with the longitudinal magneto conductivity component axx. As briefly described above, this magneto conductivity exhibits strong quantum oscillations (Shubnikov-de Haas effect) as a function of the external magnetic field B. Deep minima or even zeroes in cxx are a clear indication of the quantum Hall effect in the system. We observe that these quantum oscillations of the conductivity are accompanied by strong quantum oscillations of both the transmitted SAW intensity as well as the sound velocity. Whenever the magneto conductivity shows a minimum, the transmitted SAW intensity also drops and the sound velocity increases. An interesting feature is the splitting of the quantum oscillations in the SAW intensity for very high magnetic fields. As it turns out, this splitting is a sensitive test of the theoretical description of the interaction. At very high magnetic fields the minima in the magneto conductivity are very deep, virtually approaching zero for specific magnetic fields. These zeroes in the magneto conductivity indicate the full quantization of the 2DEG in the quantum Hall effect. To theoretically describe our observations, we use eq. (3) and replace a by the measured magneto conductivity tensor componen cxx. The result is shown as dotted lines in the figure. Clearly, the agreement is quite perfect, given the simplicity of our model. Even the splitting of the high magnetic field oscillations in the transmitted SAW intensity are reproduced. They can be understood in terms of a double crossing o om, if the magneto conductivity approaches zero in this case. The result of this experiment shows that the relaxation type interaction as given by eq. (3) seems to be a very good description of the physics behind the interaction. As it turns out later, this description has to be modified somehow, especially for higher frequencies to account for the fact that the 2DEG is not exactly located at the surface of the semiconductor but rather in same depth below the surface. Then, finite thickness effects lead to a frequency dependence of both Keff

2 and Gm.

334

Page 347: Advances in Surface Acoustic Wave Technology, Systems

Interaction of Surface Acoustic Waves, Electrons, and Light 1201

T 1 1 r - . -

2 3 4 5 6 7 8

MAGNETIC FIELD B(T)

9 10

Fig. 5. Magnetic field dependent SAW attenuation (a), change of SAW velocity (b), and magneto conductivity (c) of a high mobility quasi two dimensional electron system (Q2DES) in a semiconductor heterojunction. The complete quantization of the electron system in high magnetic fields and at low temperatures (here T=4.2K) leads to a strongly oscillating conductivity o"xx. These quantum oscillations are directly reflected ion the SAW transmission parameters. Note that the interaction between SAW and Q2DES is strongest, when a x x approaches zero, i.e. under the conditions of the quantum Hall effect.3

So far, we have used the quantum Hall effect as a mean to modulate the sheet conductivity of a 2DEG. This modulation was reflected in a strong modulation of both the sound velocity and the SAW attenuation. Another way of gradually changing the conductivity of such a 2DEG is the use of a gate electrode on top of the sample.12 Here, similar to a field effect transistor, the carrier density in the quantum well can be changed by application of a gate bias. However, a metallic gate at the surface of the semiconductor would, according to Fig. 2, completely screen out the piezoelectric fields which are essential for the interaction described here. To overcome this problem, the 2DEG can be buried a little bit deeper into the substrate material. As we have seen, at larger depths, the

335

Page 348: Advances in Surface Acoustic Wave Technology, Systems

1202 A. Wixforth

piezoelectric fields and potential recover partially, which in turn leads to a recovery of the interaction with depth. To prove this design criterion, we show in Fig. 6 the transmitted SAW intensity for a gated sample, where the 2DEG was buried somewhat below the physical surface of the sample. Also, the SAW frequency in this case was 800 Mhz, corresponding to a shorter wavelength. By application of a gate bias, the carrier density in the electron channel could be changed from N$=3 10 cm"2 down to zero. The transmitted SAW intensity clearly exhibits the strong peak as expected from eq. (3) and Fig. 2, when the conductivity reaches am.

At the same time, the relative change of the sound velocity shows its step like behavior, which is not shown in the figure. It should be noted, however, that the maximum attenuation in this case is smaller than in Fig. 5, although the frequency dependence of T should lead to a larger attenuation. This apparent inconsistency can be explained by the effect of the metal gate on top of the sample. It screens out part of the piezoelectric potential, as indicated in Fig. 2., leading to a reduction in the effective electromechanical coupling coefficient being responsible for the strength of the interaction in general. This is a major drawback for the implementation of the interaction in a exploitation in form of a device. As we will show below, this problem can be overcome by a hybridization technique as explained in the next section.

| ^ craO asaM a>nM °

t 1 1 | I 1 1 | I I 1 I | I I I I

I I , , ' , , , ' , ,-i 1 1 1 1 1 L 1 1 1 1 1 L >J. I

-2 -1.5 -1 -0.5 0

Vga«e M

Fig. 6. Gate bias dependent attenuation of a SAW on a semiconductor heterojunction with variable carrier density as depicted in the inset. Note the strong SAW absorption around V Q = - 1 . 1 Volt, close to the threshold voltage for depletion of the electron system. The maximum attenuation in this case is only about 1 dB/cm, caused by the weak piezoelectric coupling in GaAs.

336

Page 349: Advances in Surface Acoustic Wave Technology, Systems

Interaction of Surface Acoustic Waves, Electrons, and Light 1203

4. Hybrid systems

As discussed in the last chapter, the interaction between a SAW and the electron system in a semiconductor heterostructure is governed by the size of the electromechanical coupling coefficient Keff

2. For a given material, crystal cut, and type of the surface wave, this material constant is fixed and cannot be altered externally. Following an old idea, where we used a sandwich of a strong piezoelectric (LiNb03) and a GaAs/AlGaAs heterojunction to investigate the quantum Hall effect in such systems, we recently developed a technique, where the coupling coefficient can be enhanced by nearly two orders of magnitude as compared to the monolithic case. The idea is simple: We excite the surface wave on the strong piezoelectric (large Keff

2) and then bring the electron system into close vicinity to the surface. The evanescent fields of the SAW then couple into the semiconductor layer and mediate the interaction between the SAW and the electron system. This is achieved by removing the active layers of the semiconductor heterojunction from their natural substrate and transfer them on the piezoelectric SAW delay line. The removing of the active layers is possible by employing a technique introduced by Yablonovitch,8 the so-called epitaxial lift-off (ELO). Here, below the active layers of the heterojunction a sacrificial layer is grown, which can be selectively etched away, hence leaving the substrate and the thin active layers separated. The typical thickness of such an ELO film ranges between 100 nm and 500 nm, pieces as large as 5 5 mm2 have been successfully removed from their substrates this way. Once established, the procedure is reproducible and results in high quality semiconductor heterojunctions which are bonded to the surface of the LiNb03 substrate. The process is so well understood that we even could design the growth of the semiconductor layered system taking into account the new free surface and the corresponding surface states, which are not present before the lift-off. For this purpose, a one-dimensional self-consistent Schrodinger - Poisson calculation has to be performed. The thin film needs not to be glued or pressed to the substrate surface as van der Waals forces are strongly attaching the film to the SAW delay line. Both pre-processing as well as post-processing of the semiconductor film is possible, if one needs to alloy contacts or to evaporate a gate on top of the semiconductor. The semiconductor film can also be etched selectively against the LiNb03 substrate, allowing for a welldefined geometry and alignment of the film. In Fig. 7, we depict a sketch of the architecture of such a hybrid sample. The semiconductor layers in this example form a voltage tuneable field effect transistor to allow for a defined variation of the carrier density in the heterojunction.

In Fig. 8, we depict a measurement of the SAW transmission parameters of such a device.14 The sample layout is given in the inset. Here, we have deposited a semiconductor quantum well sample which has been optimized for the ELO process on a LiNb03 SAW delay line with split-four interdigital transducers, allowing for the generation of four different frequencies between 100 MHz and 700 MHz. The semiconductor layer is equipped with a gate electrode and an Ohmic contact to apply a bias voltage between the gate and the electron system in the well. The sample is optimized to operate at room temperature. As the gate bias is swept between VG=0V and some negative bias, the electron channel becomes gradually depleted, leading to a decreasing sheet conductivity. As expected from eq. (3) and Fig. 1, we observe a strong increase of attenuation around VG= -6 V, indicating that the conductivity approaches the critical conductivity am. At the same time, the sound velocity exhibits its typical step

337

Page 350: Advances in Surface Acoustic Wave Technology, Systems

1204 A. Wixforth

gate electrodes

contact to 2DES

gate electrode

2DES

AIGaAs InGaAs

LiNbCX

conduction / band

quantum 'well

Fermi-level

Fig. 7: Sketch of a hybrid system consisting of a strongly piezoelectric substrate and a high mobility semiconductor heterojunction. The active semiconductor layers have been selectively removed from their natural substrate. The epitaxial lift-off (ELO) technique was used for this purpose.

like change around this very gate bias or equivalently sheet conductivity. The dashed lines in the figure represent again the result of our simple model calculation. This time, however, eq. (3) had to be modified to account for the effective coupling constant and the effective critical conductivity in the hybrid structure. This modification, based on a perturbative ansatz, is described in detail in.'6, ' The most striking observation is the fact that the effective coupling in this artificial hybrid system is enhanced by nearly two orders of magnitude as compared to the monolithic case.

The maximum change in velocity reaches nearly 2%, indicating a very useful system for potential device applications. One of the first real devices fabricated this way, a voltage controlled oscillator, is shown in Fig. 9. The transducer layout in this case is somewhat more complicated as in the previous cases, as it is designed for a high Q oscillating circuit. In the resonator sound path a semiconductor film with gate electrode and Ohmic contact is prepared, allowing for a tunable change of the sound velocity by application of a gate bias. Operating at a center frequency of 434.4 MHz, the semiconductor phase shifter allows for a tuning of the resonance frequency by about 0.8 MHz, only a few Volts of gate bias are necessary for this tuning range. A very nice feature of the device structure is that we can integrate the RF amplifier and the other necessary electronic components directly into the GaAs/AlGaAs MISFET structure of the ELO film.18

338

Page 351: Advances in Surface Acoustic Wave Technology, Systems

Interaction of Surface Acoustic Waves, Electrons, and Light 1205

-8 -G -4 gate voltage V [V]

Fig. 8. SAW transmission and velocity change for a semiconductor / piezoelectric hybrid structure as depicted in the inset. Using a gate electrode, the carrier density in the quantum well can be tuned, leading to a tuneable conductivity in the electron channel, as shown in the lower panel. Using this conductivity, we can calculate the expected SAW transmission parameters as shown as dashed lines in the upper panel. The agreement with the experimentally obtained data (full lines) is quite perfect, given the fact that no fitting parameter is used. Note the very large absorption and change of sound velocity in exceed of 1%. Compared to the monolithic case, nearly two orders of magnitude in the strength of interaction are gained.

Another very useful application of these new hybrid systems with large coupling coefficient is the use as a radio-interrogable voltage sensor. As has been shown before, the coupling efficiency of LiNb03 SAW delay lines is so high, that the SAW can be remotely excited by simply connecting the input transducer to an appropriate antenna. A radio transmitted RF pulse is picked up by this antenna and efficiently converted into a surface sound wave. Provided a reflector at the end of the sound path, the reflected SAW is then reconverted into an electromagnetic signal which is again emitted by the antenna. Thus, an interrogative RF pulse to the sample is converted into a reflected SAW pulse that can be picked up at a remote location by a radio receiver circuit. Once not only a single reflector is used but a series of separate reflectors, the incoming pulse can be even converted into a train of reflected pulses that might be used to reveal the identity of the SAW delay line ("ID tag"). Such arrangements can serve as identification tags, so to speak the electromagnetic analogue to the bar code that is used on many items nowadays

339

Page 352: Advances in Surface Acoustic Wave Technology, Systems

1206 A. Wixforth

for identification purposes. The most intriguing feature of such devices is that they can be operated without any energy source connected to them. It would be nice, however, if not only the identity of the SAW delay line could be retrieved but also some physical measurement for remote sensing applications.

4 3 4 _ 0 I — • . • i — . — i — . i . . . t . . . i . . . I

-10 -8 -6 -4 -2 0 Gate voltage V [V]

Fig. 9. A voltage controlled oscillator (VCO) based on a semiconductor / piezoelectric hybrid structure. The large effective electromechanical coupling coefficient of this composite material allows for a large tuning range of the resonant frequency of the device. The inset schematically shows the sample layout.

This is, where we can again use our voltage controlled SAW phase shifter. The sensor element in this case is designed to operate as a Mach-Zehner interferometer with two interferometer branches. In one of the branches, a thin semiconductor ELO film is deposited, which again is equipped with a gate electrode and an Ohmic contact to allow for a voltage controlled phase shift or change in sound velocity, respectively. Every sensor element providing an output voltage being proportional to some physical quantity that the sensor is monitoring, can in principle be connected to the remotely interrogable SAW delay line. We have constructed such a remote sensing device and have been able to show that different physical quantities like pressure, temperature or light intensity can be remotely monitored with very high sensitivity using this scheme.

One major problem with the devices addressed above, however, has to be clarified at this point: As we have seen form Fig. 1, Both the change in sound velocity as well as the attenuation of the SAW scale with the effective coupling coefficient Ketr • As both quantities are physically connected to each other via a Kramers-Kronig relation, it seems to be unavoidable that an increase in the maximum obtainable change in sound velocity is linked to a very large attenuation of the SAW at the same time. This large attenuation would principally hamper the operation of a voltage controlled oscillator or specially the remote sensor described above. In fact - this appearing problem made it nearly impossible for us to realize real operating devices in the first place. Hence, one has

340

Page 353: Advances in Surface Acoustic Wave Technology, Systems

Interaction of Surface Acoustic Waves, Electrons, and Light 1207

to think about a way around this obstacle. One way to decrease the overall attenuation of the tunable SAW phase shifter would be to simply shorten the interaction length to a minimum length that is consistent with the maximum change in phase needed for a useful operation. For many purposes, like for instant a phase modulator, a maximum phase change of 90° is sufficient for most applications. In this case, the ELO film may be made very short in the direction of sound propagation, hence minimizing the attenuation that accompanies the phase shift in the sample. However, for many other applications like the VCO or the remote sensor element, much larger voltage controlled phase shifts are necessary. In this case the large attenuation would dampen out the SAW which in turn would not allow the device to reliably operate.

A second way around this problem would be a variable amplifier in the feedback loop of the VCO, keeping the oscillator level constant by adjusting the amplitude of the SAW to a constant level, independent of the internal attenuation of the device. This, however would ask for some additional electronic circuitry which is not really desired for such a simple device.

. . i , i . i — . — i — . — i — . — i — i — • — i — i — • — i — • — i — • — i

-11 -10 -9 -8 -7 -6 -5 -4 -3 -2 -1 0 Gate voltage [V]

Fig. 10. Gate voltage dependent phase shift (upper panel) and SAW intensity (lower panel) for a hybrid sample with a split gate electrode. If both gates are connected and held on the same potential, the large phase shift in this case is accompanied by a strong attenuation around V G = - 7 . 5 Volt. Biasing only a single gate (either gate 1 or gate 2) leads to a significant reduction of the attenuation, but also the phase shift. The situation changes, if both gate electrodes are biased at slightly different voltages. Here, the overall attenuation is approximately the same as for a single gate, the phase shift, however, adds up in this case, leading to the desired result. The voltage difference needed to obtain this positive effect is approximately given by the width of the attenuation peak on the voltage scale.

341

Page 354: Advances in Surface Acoustic Wave Technology, Systems

1208 A. Wixforth

We overcame this apparent problem using a very simple trick: Looking at Fig.l, we realize that the attenuation as a function of the sheet conductivity of the 2DEG in the MISFET exhibits a maximum at a=am . For sheet conductivities larger than am the attenuation grows with decreasing conductivity, whereas for conductivities a<ara the attenuation decreases with decreasing conductivity. We can use this fact to efficiently reduce the overall attenuation of our delay line by simply dividing the 2DEG in the ELO film into two parts, both of which are somewhat differently biased. The sample consists again of a LiNb03 SAW delay line with an ELO film on top of it.

Instead of having a single gate electrode, however, we deposit two gate electrodes (Gate 1 and Gate 2) that can be biased independently (Vgi, Vg2), leading to two separate 2DEGs in the semiconductor film, having two different densities.20 The result of the respective measurements on such a sample are shown in Fig. 10. Here, we plot again the relative phase shift (upper panel) and the transmitted SAW intensity (lower panel) as a function of the applied gate bias for different gate configurations. If both gates are connected to a common voltage source, they act like a single gate. This leads to a large phase shift, but also to the large, unwanted attenuation as shown in the dashed traces. If only one gate is connected to the voltage source, the gate bias leads to a depletion of the 2DEG only below this gate. As the length of this gate is approximately only half of the whole sample, only half of the phase shift and half of the attenuation is observed in this case. The same is of course true for the other gate electrode (see traces for Gate 1 and Gate 2). If, however, both gates are slightly differently biased, on can achieve the situation that the attenuation is distributed across the sample in the direction of the SAW propagation, whereas the change in phase adds up for both gates, leading to the maximum phase change as for both gates connected in series. Hence, a simple slit in the gate electrode, dividing the gate into two parts led to a significant smaller attenuation, whereas the desired phase change remained approximately the same as in the original sample. We can expand this idea even further and divide the gate electrode into three or four separate electrodes. Accordingly, the overall attenuation could be reduced to one third or even one fourth as compared to the single gate electrode. The phase change remains always the same, exactly as desired. The different bias to the different gate electrodes can be very easily implemented to the sample, if one produces a resistive voltage divider directly on-chip. A single applied voltage to this network then would act as different, welldefmed gate voltages leading to the same effect. Applying the idea of a distributed attenuation to our devices, the desired operation could be achieved without loosing the large phase shift as provided by the interaction between the SAW and the electron system in the semiconductor layer.

Another way of significantly reducing the attenuation of the SAW without loosing the desired large phase shift is connected with a completely new phenomenon in the interaction between SAW and electronic systems in a semiconductor layered structure: For the hybrid systems as described in this chapter, the lateral potential modulation in the semiconductor caused by the strong piezoelectric fields in the LiNb03 can lead to strongly non-linear effects in the interaction.21 Eq. (3) has been basically derived assuming a small signal limit.2 Thus, the theoretical description of the interaction is completely linear. Without going into details at this point, we could recently show that this linear description fails in the case of our hybrid systems already for not too high SAW power. The strong lateral potential modulation of the band edges in the semiconductor may lead to a situation where the local carrier density in the quantum film is strongly modulated by the wave. In the extreme case, a formerly two-dimensional sheet of charge can be broken up into an array of stripes, having the periodicity of the SAW. This is exactly, what we observe for our samples at high SAW powers as we will

342

Page 355: Advances in Surface Acoustic Wave Technology, Systems

Interaction of Surface Acoustic Waves, Electrons, and Light 1209

point out below. The most striking effect of the strong periodic lateral density modulation of the electron system is a significant reduction of the electronic attenuation as compared to the small signal regime. As we could show in a hydrodynamic approach,22 this reduction of the attenuation at high SAW levels can be described in terms of a strong reduction of the screening currents, being responsible for the electronic attenuation. In the extreme case of a completely separated electron system in the form of periodically arranged stripes of charge, no screening currents at all are induced in the electron system. Hence, the electronic attenuation becomes very small in this case.

Fig. 11. Gate bias dependent attenuation and change of phase shift of a SAW on a semiconductor heterojunction with variable carrier density. For low SAW power, the interaction is still linear, the traces for the attenuation and the phase shift ressemble those shown in, e.g., Fig.9. For high acoustic powers, however, the situation completely changes: The attenuation is strongly reduced, whereas the over all phase shift remains the same as for low power. This is a new effect, arising from a strongly non-linear interaction between the SAW and the 2DES in the semiconductor thin film transistor. The density of the 2DES becomes so strongly modulated by the intense SAW that eventually it breaks up into separated stripes.

In Fig. 11, we depict the basic results for such kind of experiments. The sample in this case is a standard ELO film on top of a LiNb03 SAW delay line, again equipped with a gate electrode and Ohmic contacts to provide the possibility to tune the electron density

343

Page 356: Advances in Surface Acoustic Wave Technology, Systems

1210 A. Wixforth

in the quantum well. For a fixed carrier density in the well, we observe with increasing SAW power a strong reduction of the electronic attenuation as compared to the small signal case. The relative change in sound velocity, however, remains approximately constant. Also, with increasing SAW power, the voltage at which the interaction is maximum shifts to smaller values. The important parameter for the density modulation of the electron system is the ratio of the height of the potential modulation and the Fermi-level or chemical potential | i s in the 2DEG. This ratio R = USAW/ | S can be tuned either by tuning the SAW power or by tuning the carrier density in the well. Once R becomes unity, the separation of the formerly connected 2DEG into stripes can take place, at least to first order. As the theoretical description for this new non-linear effect is not exactly straightforward, we refer the reader to ref. 24. The indirect indication that the 2DEG in the semiconductor quantum well can be broken up into an array of independent stripes of charge by an intense surface sound wave can also be proved and observed directly. For this purpose, we prepare a somewhat more complicated sample as depicted in Fig. 12.

injection gate

V-

MJz

V — I detection Ovoscope transport gate |~gg" "' Ohmic

contact

quantum well with

2DES

Fig. 12: Sketch of a semiconductor / piezoelectric hybrid sample for the investigation of acoustic charge transport. The SAW is excited by interdigital transducers, as usual. Two Schottky gates act as charge injector (left) and charge sensing diode (right). The major part of the sample forms a transport channel, whose potential depth can be adjusted by a bias voltage to the transport gate. The insets show schematically the resulting, laterally modulated band structure, and the layer sequence of the hybrid device.

Here, actually three different gate electrodes are involved. One electrode, the longest in the direction of SAW propagation serves to define a 'transport channel', in which the propagating SAW can convey the stripes of electrons to the other end of the sample. The ratio R = USAW/ (XS in this case can be very well adjusted by a combination of appropriate gate bias to this 'transport gate' and the SAW power on the other hand. Two other, very short gate electrodes to the left and the right of the transport gate serve as charge injector (left) and charge detector (right). Injection of charge into the moving

344

Page 357: Advances in Surface Acoustic Wave Technology, Systems

Interaction of Surface Acoustic Waves, Electrons, and Light 1211

SAW potential superlattice is achieved by removing the negative gate bias from the injecting gate for a short moment. As a result, the depletion below this gate is lifted for a short moment of time, the resulting pulse of charge can now be picked up by the SAW traveling potential and convey it to the other side of the transport channel. Here, a Schottky diode is used to capacitively detect this arriving charge.

The result of a typical experiment with this sample is shown in Fig. 13. Here, we plot the detected charge at the Schottky gate as a function of time after the injection of charge at the other side of the transport channel for different SAW power. The transport gate bias is adjusted such, that the 2DEG below the transport gate is just depleted. The SAW is launched as a continuous wave. For quite small SAW powers, we observe the arrival of a tiny and broad charge pulse at very large times, much longer as the propagation time of the SAW from left to right. With increasing SAW power, the pulse becomes larger and narrower. At the same time, it's arrival to the detection gate happens at shorter times. Eventually, the amplitude and width of the detected pulse of charge saturates, as does the time of arrival. In other words, for the highest SAW powers shown in this figure all the charge is transported with the same velocity to the detection gate. This velocity turns out to be identical to the SAW velocity, as expected. For smaller SAW powers, where the trapping of charge is incomplete, only some average momentum is transferred to the electron system. As this involves a statistical process, the charge pulse is much broader and also the average time of flight is longer as compared to the complete trapping of charge in the moving potential wells of the SAW.

There are many more applications of the novel hybrid structures described in this chapter, which will be omitted here for the sake of space. We did not address any effects arising from the fact that even in the small signal limit not only energy is transferred from the SAW to the electron system but also momentum transfer takes place. This phenomenon leads to the occurrence of acousto electric effects, like sound induced currents and potentials in the plane of the electron system. As might be expected, those acousto electric effects as originally described by Weinreich, are of unusual large amplitude in our hybrid system.25 On the other hand, also momentum from a drifting electron system can be transferred to the SAW. This can be achieved by passing a current through the electron layer, either in the direction of sound propagation or anti-parallel to it. The resulting effect is an increased attenuation of the SAW, exceeding the one that has been addressed before for the static electrode system (see. eq. 3). If the direction of the current is reversed, acoustic amplification can occur.26 Based upon this, we proposed a non reciprocal SAW device, where the transmission of RF power strongly depends on the direction of SAW propagation. Again, we have to refer the reader to the respective original publications for further reading. 26

345

Page 358: Advances in Surface Acoustic Wave Technology, Systems

1212 A. Wixforth

<

~ca c

'<n \-O <

1 i ' i • i < i 14dBm—•*

increase of RF •power

30.5 dBm

• • • • • • • •

114 MHz 340 MHz Theory

»

u -?

-4

-6

-8

a) a> m *-» o > fl) « O) c o o a) r

- 1 0 1 2 3 4 5 6 7 8 9

Time (|xs)

•10

Fig. 13. Acoustic charge transport (ACT) in the semiconductor / piezoelectric hybrid as shown in Fig. 12. The ACT-signal is plotted as a function of the time-of-flight of the detected charge. For low SAW power, a small signal arrives at a very late time at the detector diode. The higher the SAW power, the larger is the signal and the earlier it arrives. Eventually, at the highest SAW power, a strong signal arrives exactly after the SAW delay time, indicating that all charge is conveyed across the transport channel. The inset shows the measured average velocity as a function of the amplitude of the SAW potential together with the theoretical prediction of a hydrodynamic model.

As we have shown in the last paragraph, the combination of high quality band gap engineered semiconductor layered structures with SAW devices based on strong piezoelectric leads to a completely new class of SAW devices with superior performance over many standard applications reported so far. However, the process of the ELO and wafer fusing itself needs to be optimized in the future to also make these novel devices really competitive. It is worth to be noted at this point that the actice layer in the hybrids, containing the free charge not necessarily has to consist of GaAs based material. As we are using a hybridization technique, this layer even does not have to be a piezoelectric. One could imagine that Silicon based layered structures or even semiconducting polymer films could prove the same performance as discussed above.

346

Page 359: Advances in Surface Acoustic Wave Technology, Systems

Interaction of Surface Acoustic Waves, Electrons, and Light 1213

5. Interaction between SAW and light

In the previous sections, we have seen how efficiently surface acoustic waves can couple to free charge carriers in a semiconductor layered system. So far, we have discussed doped semiconductor quantum wells and heterojunctions, in which free carriers were present all the time. In this chapter, we would like to discuss undoped quantum wells, where electrons and holes are generated by illumination of the sample. If a photon with an energy hv > EG larger than the band gap energy EG is absorbed by a direct bandgap semiconductor, an electron-hole pair is created. These photo generated charges usually decay after some lifetime while re-emitting a secondary photon. This process is called photoluminescence (PL). Electron-hole pairs may be generated in a quantum well but also in the substrate or barrier material, depending on the energy of the incoming primary photon. To simplify the following discussion, we would like to restrict ourselves to the simplest case of a selective pumping of the quantum well in a semiconductor system similar to the one sketched in Fig. 3. Here, a thin InGaAs - layer is sandwiched between two GaAs barriers, forming a one-dimensional potential well along the growth direction. Once this quantum well is illuminated with light of sufficiently high energy, but not high enough to also create electron-hole pairs in the barrier material, photo generated charges are only present in the well. These bipolar charges, electrons in the conduction band states and holes in the valence band states may now interact with a SAW propagating across the structure.

In Fig. 14, we depict one of the first experimental results that have been obtained while studying the influence of a SAW on the optical properties of a semiconductor quantum well. We measure the photoluminescence of such a quantum well and watch its behavior once a SAW is propagating through the optically excited area of the sample. The sample in this case is a single InGaAs quantum well between GaAs barriers, exhibiting a strong and narrow PL line at low temperatures and with no SAW present. The energetic position, the intensity and the width of this line tells us that it is of excitonic origin, as expected for these experimental conditions. An exciton may form from a photo generated electron-hole pair, if the temperature of the experiment is low enough to be exceeded by the exciton binding energy. This exciton binding energy can be estimated from a modified hydrogen model and turns out to be of the order of 10 meV in our case. The temperature of the depicted experiment was 4.2 K, being low enough to allow for efficient exciton formation. The effect of a SAW traversing the illuminated region on the sample, where excitons are formed by laser illumination and from where the PL light originates is clearly seen from the figure:27 With increasing SAW power, the intensity of the PL strongly decreases, whereas the width and also the energetic position of the line remains nearly unaffected. Eventually, at the highest SAW power shown in the figure, the PL becomes completely quenched.

How can we explain this surprising findings? First, we have to discuss the effect of an external electric field on an exciton in a semiconductor quantum well. As has been shown before, such an external electric field actually acts on the exciton due to its internal structure. The exciton consists of a negatively charged electron, and a positively charged hole, bound together by their mutual Coulomb attraction. A typical exciton radius is about 10 nm for the materials discussed here. As the wave function overlap between the electron and the hole is very large at these short distances, there is a finite probability for the exciton to decay and to emit a photon, which then is detected as PL light. However, an external electric field polarizes the exciton complex, hence reducing the wave function overlap and reducing the probability of radiative recombination by some amount. Eventually, for strong enough electric fields (which have to exist in the

347

Page 360: Advances in Surface Acoustic Wave Technology, Systems

1214 A. Wixforth

plane of the quantum well in our quasi two-dimensional case) the exciton might even break apart, or field ionize. A simple estimate of the necessary electric field for ionization of an exciton in a semiconductor quantum well yields E=104 V/cm.29 As one can also easily show, such electric in-plane electric fields are obviously achievable with a SAW of moderate power even on weakly piezoelectric GaAs substrates.

• P1 = -28.5 dBm

" ^ IDTT IDT2

Fig. 14. Photoluminescence of a semiconductor quantum well under the influence of a surface acoustic wave. With increasing SAW power, the intensity of the PL strongly decreases, whereas its energetic position and line width remain nearly unchanged.

Ionization, however, means that the electron and the hole, the fragments of the exciton, are spatially separated by an electric field. This spatial separation in turn very strongly reduces the wave function overlap between the electron and the hole, and the probability for radiative recombination. Hence, it results in a strongly suppressed PL intensity. This is exactly what we observe in our sample.

How can we prove that it is indeed SAW induced exciton ionization that leads to the remarkable suppression of the PL in our quantum well? For this proof, we remember the fact that a SAW can be very efficiently used to probe the dynamical conductivity of a system of free charges. This conductivity, however, should be very different for neutral excitons and for ionized excitons consisting of free electrons and holes. An experiment to prove the ionization process directly, is depicted in Fig. 15: Here, two SAW delay lines with propagation directions that are perpendicular to each

348

Page 361: Advances in Surface Acoustic Wave Technology, Systems

Interaction of Surface Acoustic Waves, Electrons, and Light 1215

other have been prepared on a GaAs substrate containing an undoped InGaAs quantum well.29 The two delay lines, as indicated in the figure, operate at different frequencies to avoid possible spurious signals arising from cross talk on the sample. One SAW, say the one from left to right, serves as the 'pumping' SAW for exciton ionization as shown in Fig. 14. The second, perpendicularly propagating SAW (from top to bottom) acts as a probe beam for the measurement of the dynamic conductivity in the illumination area at the junction.

=3

• J—I

a

<

i i | i i i i—p-1—r-r-f

0.1 dB

1

P L -Intensity (a.u.)

"—'•'•s,

rn i>»Mil

-60 -50 -40 -30 -20 -10 0 10 p l , p u m p ( d B m )

Fig. 15. Direct prove for the exciton ionization in the strong lateral piezoelectric fields accompanying the surface acoustic wave even on GaAs substrate, we plot the transmitted SAW intensity of a probe SAW beam as a function of the amplitude of the pumping SAW being responsible for the exciton ionization and spatial separation of the photogenerated electrons and holes. The inset shows the sample layout and the intensity of the PL in the pumping SAW (cf Fig. 14). At around Pl=-6 dBm, a sharp increase of the probe SAW attenuation indicates exciton ionization by the pump SAW.2'

However, at about Pi= -6 dBm, we observe an abrupt attenuation of the probe SAW. Recalling our results as explained in sections 2 and 3, we interpret this abrupt increase in SAW attenuation as an abrupt increase of the dynamical conductivity in the interaction area. This is exactly compatible with the assumption of exciton ionization as pointed out before. As long as the photo generated excitons are still intact, i.e. at relatively low pumping SAW power, they behave a s more or less neutral particles, being unable to screen the electric fields of the probe SAW. As soon as the excitons become ionized at large enough in-plane electric fields, they break up into free electrons and holes which in turn, are able to screen the piezoelectric fields of the probe SAW. This screening induces currents in the electron-hole system, which have been attributed to the attenuation of a SAW in sections 2 and 3. With further increasing SAW power we even

349

Page 362: Advances in Surface Acoustic Wave Technology, Systems

1216 A. Wixforth

observe the reduction of the attenuation as expected once the average conductivity of the electron-hole system exceeds the critical conductivity ora.

Now that the process of SAW field induced exciton ionization seems to be settled, let's further think about the consequences for our experiments: We have seen that the electric fields in the SAW and in the plane of the quantum well can be strong enough to spatially separate the photo generated electrons and holes. In figure 15, we depict the transmitted SAW power of the probe SAW as a function of the power of the 'pumping SAW. Over a wide range of pump power Pi, there is no change in the transmitted probe SAW intensity, indicating no significant change in the local dynamic conductivity at the illuminated cross junction.

As the potential modulation of the quantum wells band structure is periodical in space and time, we also expect the electron and hole density distribution to be modulated. In other words, after ionization, the electrons will drift to a local potential minimum in the periodically modulated conduction band states, whereas the holes will drift to a local potential minimum in the respective valence band state. Like in the charge conveyor belt shown in Fig. 12, we may expect to now have spatially separated strips of charges in the moving potential wells of the SAW. In contrast to the experiment shown in Fig. 12 and Fig. 13, however, we now have bipolar charges, stripes of electrons and holes, that are spatially separated by approximately one-half acoustic wavelength.

This dynamic structure resembles a two-dimensional lateral n-i-p-i system,30

where n-type carriers are followed by an intrinsic region, which is followed by a p-type system and again by an intrinsic region. In Fig. 16, we try to illustrate this remarkable situation. Once the spatial separation between the electrons and the holes is large enough, the wave function overlap will be vanishingly small, as will be the probability for radiative recombination.

Fig. 16. Sketch of the laterally modulated conduction and valence band in the semiconductor quantum well, leading to a spatial separation of the photogenerated charges.

The first effect of this dramatic increase of the carrier lifetime is the above-seen suppression of the PL of the system. However, there is another, even more intriguing consequence of this spatial separation and the trapping of charges in the moving lateral potential wells of the SAW: We are able to also transport this trapped charge over macroscopic distances across our sample. If we are able to intentionally lift this lateral potential modulation of the SAW at some location on the sample, being remote from the location of optical generation of the electrons and holes, we should be able to re-convert the trapped bipolar charges into light. The experimental setup and the result of this

350

Page 363: Advances in Surface Acoustic Wave Technology, Systems

Interaction of Surface Acoustic Waves, Electrons, and Light 1217

experiment is shown in Fig. 17. In the upper part of the figure, we depict a sketch of the experimental setup and the sample layout. To the left of the active layers containing an InGaAs quantum well as described above, an interdigital transducer is deposited to excite an intense SAW pulse at t=0, being strong enough to completely ionize all excitons that are generated by illumination.

100

75 3

CO

£• 50

- In

tens

ro

01

_J D.

0

pulsed lase

1

IDT "

^/A^-—

gated detector

H

,.y

1

metal

v-—•— p

1 ^ V S A W

; xin

:

t = t l

RF Laser

" A

xout at

' •

/ \

v / V t=t2

i

400

time (ns)

800

Fig. 17. A 'photon conveyor belt' realized in a semiconductor layered structure as shown in the upper part of the figure. At t=0, a short SAW pulse is launched at the transducer which arrives at t=t| at the point, where a laser beam excites electrons and holes. Even after the laser has been long shut off, we observe a bright luminescence at the other end of the sample, and after a long delay time. Here, a thin metal layer acts to screen the piezoelectric fields of the SAW, thus lifting the spatial separation of the electrons and holes in the traveling potential wells of the SAW."

Somewhat to the right of this transducer ( at x = x i n ) , we hence focus a laser beam onto the sample, providing the necessary photons to generate electrons, holes and excitons in the quantum well. Some millimeters further to the right, in the direction of sound propagation, we have evaporated a thin, semitransparent NiCr metal layer to serve as a screening electrode for the in-plane SAW fields. Once the SAW pulse and the trapped electrons and holes arrive at this electrode, we expect a complete screening of the

351

Page 364: Advances in Surface Acoustic Wave Technology, Systems

1218 A. Wixforth

confining fields and a flattening of the bands in the quantum well. Hence, the electrons and hole are no longer trapped and, free to move again, drift against each other, driven by their mutual Coulomb attraction. The consequence is a strong increase in wave function overlap and connected to this, a dramatic increase of the probability for radiative recombination. The result of the experiment together with its timing sequence is shown in the lower part of the figure. A photomultiplier tube selectively monitors the PL intensity coming from the remote recombination area on the sample.

Several hundred nanoseconds after the generation of electron hole pair by the laser, we observe an intense flash of light coming from the recombination gate, indicating a time delayed PL as expected from our above reasoning. One can regard this experiment as a realization of acoustically induced 'storage of light in a solid', ' or equivalently, the demonstration of a semiconductor based delay line for photonic signals. At one end of a semiconductor sample, a photonic signal is fed into the structure and after a time delay of many hundred nanoseconds, this optical signal leaves the sample at the other end. If we compare the intensities of the PL at the site of generation with the one of the time delayed PL at the site of recombination, we obtain the result depicted in Fig. 18. Here, we plot the integrated intensities of both PL lines as a function of the SAW power. Like in Fig. 14, we observe the threshold behavior of the ionization process in both traces. At the same power level, where the 'direct' PL intensity decreases, the 'delayed' PL intensity increases.

to C

N Us

i • • • • i

«• * o o «

fSAW = 8 4 0 M H Z

delayed PL * direct PL

1 • f

1

o -30 -25 -20 -15 -10 0 10

Acoustic Power P-, [dBm] Fig. 18. Comparison between the intensity of the 'direct' PL as observed at the location of excitation and the 'delayed' PL intensity as observed at the location of generation (x = x0,„ in Fig. 17). The decrease of the 'direct' PL intensity is directly connected to the increase of the intensity of the 'delayed' PL. This indirectly indicates the transport mechanism as discussed in the text. The data are normalized. In reality, the delayed PL intensity is only about 20% of the direct one.31

This is a clear indication for the validity of our model of charge trapping and conveyance to a remote location. Absolutely, however, only about 20% of the intensity of the 'direct' PL can be recovered at this site. We attribute this 'loss of charge' to some small, but finite probability for non-radiative recombination, mainly caused by traps at the rough edges of the active quantum well region on the sample.

352

Page 365: Advances in Surface Acoustic Wave Technology, Systems

Interaction of Surface Acoustic Waves, Electrons, and Light 1219

So far, however, the delay time of this 'photon conveyor belt' is fixed and basically given by the length of the SAW path between injection point and recombination region. For practical applications, it would be highly desirable to be able to tune the delay time. This can be done by a different approach to 'flatten out' the lateral potential modulation of the SAW in which the electron hole pairs are trapped: For this experiment, we use a second, counter propagating SAW apart from the one that serves as a conveyor belt. The result of this experiment is shown in Fig. 19. we plot the intensity of the detected PL at the generation site of the sample as a function of the power of the second counter propagating SAW. The first SAW is used to partially ionize the generated excitons and its power is adjusted such that the PL is already quenched to about half its maximum intensity. At low power of the counter propagating SAW (which has the same frequency as the first one), this PL intensity is nearly unaffected. However, at a power level, where both SAWs have approximately the same amplitude, the PL intensity strongly increases, indicating a complete reassembly of the electrons and holes and a subsequent radiative recombination.

3

CO

CO c CD

t 1 1 1 r-

fSAW = 840 MHz P-l = +4.5 dBm

P1>P2 propagating

/w°vy0v

p1=p2

standing wave •

O*X>00G0 * <°\)eG

=z>

-20 -10 0 10 Acoustic Power P2 (dBm)

20

Fig. 19. Direct PL intensity at x = xc as a function of the acoustic power P2 for a constant acoustic power P\ = 4.5 dBm. For the standing wave geometry at P\ = P2 the spatial separation between electrons and holes is lifted, resulting in a recovered PL intensity due to the increased transition probability.

The experiment is easily understood in terms of interference between the two SAWs: Once they both have the same frequency and amplitude, the interference pattern is the one of a standing wave. In such a standing wave, the bands are temporarily flattened and radiative recombination of the formerly trapped charges may occur. Apart from this demonstration of the tuneability of the delay time, this experiment is also a proof for the fact that the exciton ionization is not induced by a possible heating of the sample under

353

Page 366: Advances in Surface Acoustic Wave Technology, Systems

1220 A. Wixforth

the influence of the intense SAW. Although the acoustic power density is increased by some orders of magnitude, the PL comes back at these high powers, which would not be possible for a purely thermal effect. It should be noted, that the standing wave experiment can also be done for equal intensities but slightly different frequencies of the counter propagating SAWs. Here, it turns out that the frequencies have to be equal to one part in 10 to allow for radiative recombination! Then, and only then, a true standing wave pattern ist formed by the interference of the two waves.

It is also possible to deliberately change the energy of the time delayed PL in such kind of experiments: as we are able to induce radiative recombination of trapped charges at a location of the sample being remote from the one of their generation, we can deliberately alter the optical properties of the quantum well at this point. This can be achieved by several wellknown means, like the quantum confined Stark effect,32 or deliberate deformation of the semiconductor crystal at this site by the deposition of a stressor layer. Many other possibility useful applications of this remarkable effect of acoustically induced storage of light have been thought of and realized in the recent past. Again, at this point we have to refer the reader to the original publications.31,"'34

That a spatial separation of about one micron is enough to induce much longer storage times than the ones reported her, has been recently shown in a very similar experiment: this time, however, using a static lateral potential modulation. In this publication, we were able to demonstrate storage times in excess of 35 |isec,35 meanwhile we have reached several milliseconds.

Combining all our knowledge about the interaction between a SAW and free carriers in a semiconductor, we can realize another new set of devices that can be used for imaging processes. We have seen above, that optically generated charged carriers in a semiconductor can effectively couple to the piezoelectric fields accompanying a SAW at the speed of sound. If we were able to detect this kind of interaction spatially resolved, we should be able to reconstruct the intensity distribution of illumination of the sample, hence to reconstruct an image. Recently, we have developed such a device, which will the subject of the last part of this section. Spatial resolution of the interaction between a SAW and free charges in a piezoelectric semiconductor could be principally achieved if one knew the exact location of a SAW pulse on the sample surface. To gain such knowledge, we have used a different type of interdigital transducer, that has been invented to increase the bandwidth of SAW devices. ' The spacing between neighboring transducer fingers in this case is not constant over the aperture of the transducer. Instead, it changes linearly, forming a 'tapered' transducer structure as sketched in Fig. 20. A SAW is only efficiently excited, when the ratio of the sound velocity and the period of the transducer equals the applied frequency. Hence, for each frequency within the bandpass of such a tapered transducer the SAW is excited at a different location along the aperture of the transducer. The frequency response of such tapered transducers is also shown in Fig. 20, where we superimpose the responses of three transducers with different tapering factors t. As expected, the bandpass for the transducer with the largest tapering is largest, approximately 100 MHz in this case. A simulation of the SAW fields excited by such transducers,38 and the mapping of the SAW fields on a real sample using a X-ray technique39 confirmed the validity of our ideas. Using a tapered transducer, one is able to scan a narrow SAW beam over the width of the transducers aperture across the sample. This way, one-dimensional spatial resolution can be achieved. To date, we can demonstrate a spatial resolution of less then about 5(xm, being competitive with state of the art CCD cameras at this point.

354

Page 367: Advances in Surface Acoustic Wave Technology, Systems

Interaction of Surface Acoustic Waves, Electrons, and Light 1221

T T 560 610 660

frequency [MHz]

Fig. 20. Schematic description of the operation of a 'tapered' interdigital transducer. In this case, the spacing between adjacent fingers is not constant along the aperture of the IDT but varies linearly. The degree of 'tapering't is defined as the percentage of the variation of the finger spacing from top to bottom. The lower panel shows the resulting frequency response of the tapered transducers. As compared to a standard untapered IDT, the bandpass behavior is strongly broadened. This can be explained by a local excitation of narrow sound paths, as sketched in the upper panel.

If we monitor the transmitted SAW in terms of intensity or phase after the interaction with optically generated charges, we can use this signal to extract some information about the number of charges or the intensity of the illumination at a given location of the sample. To also achieve two-dimensional spatial resolution, we again use two SAWs, that are propagating under a right angle to each other.41 Technically, both sets of tapered transducers have been designed such that their individual bandpass filter characteristics directly follow on the frequency scale. This way, the whole sample can be connected to a single high frequency generator. If the input frequency is swept between the limiting frequencies of this combined bandpass filter, first a narrow SAW beam will be swept over the, say x-direction, and then normal to this in the y-direction. If the active area of the sample, i.e. the area of overlapping SAW beams, is illuminated by a focused light spot, the transmitted SAW intensity exhibits two attenuation peaks at two specific frequencies.

355

Page 368: Advances in Surface Acoustic Wave Technology, Systems

1222 A. Wixforth

_ l I I I I I • ! • • • ! • • | |_ | 1—1 1—1 1—1 l_J r/J

800 820 840 860 880 900 920

Frequency [MHz]

JU

. - % • - • • • • Y • • •

«•

• m m

reconstructed position of a laser spot on the sample

Fig. 21. Spatially resolved detection of photogenerated electron-hole pairs on a GaAs semiconductor sample with two sets of tapered transducers as explained in the text. The frequency passbands of the two orthogonal SAW delay lines are adjusted such that they provide two passbands just behind each other (see upper panel). The low frequency transmission band corresponds to the tapered delay line probing the x- coordinate, the high frequency passband provides information about the y- coordinate of the photogenerated charge plasma. In the lower part of the upper panel the detected SAW attenuation caused by the interaction with free charges is shown for three different positions of the laser spot on the active sample area. The lower panel shows the reconstructed gray-scale images for six different spot positions.

Those frequencies directly correspond to the x- and y-coordinates of the illumination spot. The result of this experiment is shown in Fig. 21, where we plot the reconstructed images for different locations of a laser spot on our sample. The measured quantity in this case was the phase shift of the SAW caused by the interaction with the photogenerated charges in the illumination region. Given the speed of data collection using our simple camera, one could think about an application of this simple device as a two-dimensional position detector for very rapid changes of a deflected light beam.

Even more complex images can be obtained if one uses more sophisticated data processing techniques. Also, the projected image has to be scanned from all directions to obtain maximum information about its shape. To do so, we used an optical scheme that rotates the projected image by 180 degrees while the two-dimensional SAW scans are taken. For the subsequent data processing, we incorporate well known software algorithms that are usually applied for computer tomography (CT) purposes, where a similar data collection technique is used.42 The result of such images, where we have projected quite complex shapes like letters onto the active area is shown in Fig. 21. Clearly, there is more to be done to further increase the resolution and the sharpness of the images, but our first results already might indicate a certain potential of this approach.

356

Page 369: Advances in Surface Acoustic Wave Technology, Systems

Interaction of Surface Acoustic Waves, Electrons, and Light 1223

Fig. 22. Early attempt to reconstruct the images of the letters *S\ 'A*, and W which have been projected onto the active area of our 'camera'. The size of a projected letters was about 200 u,m. The circular sub structure is an artifact caused by the image processing algorithm used.

6. Summary and future prospects

As I have tried to point out in the last chapters, the combination of SAW and semiconductor layered systems offers a great wealth of possible applications, both in science and technology. It turned out that this combination introduces new de^ees of freedom to either investigate the properties of electronic systems in the semiconductor structure or even to completely alter some of the physical and material parameters of the semiconductor. A good example might be the optical delay line, where the mere presence of a SAW on a very simple semiconductor quantum well system led to a dramatic change of its optical properties. The hybridization of a piezoelectric substrate and a semiconductor thin film device has also led to a new class of novel devices. Here, especially the strongly enhanced coupling coefficient opened the door to very interesting applications. It is this hybridization, that might also be of some importance for future applications. In this review article, I restricted myself more or less to classical semiconductor systems. This it not necessarily the optimum combination. Especially as far as the technology to fabricate real devices is concerned, a lot more work has to be done to make this novel hybrids competitive to the market. However, one could also imagine to replace the semiconductor ELO thin film by other alternatives, for example the meanwhile well established TFT (thin film transistor) technology43 that is widely used in display applications. The enormous advantage of a hybridization technique lies in the fact that the 'active' layers, containing mobile charges need not to be piezoelectric themselves. As I could show, the evanescent fields of the SAW on the piezoelectric substrate are strong enough to effectively couple to the charges in the thin film. Moreover, in the last few years, there has been an evenly enormous progress in the development of organic thin film devices.44 Transistors, light emitting diodes, even lasers4 have been described on this basis. The major advantage of such 'plastic' devices is their tremendously simplified preparation technology as compared to conventional semiconductor devices. One has to wait and see, how far this development will lead us in the future.

Another important aspect that I like to address at this point is the emerging rebirth of purely mechanical systems. Nowadays micro- or even nano-technologies enable us to scale down well known mechanical concepts to the micrometer or even nanometer scale.46,47,48 There are already synonyms around that describe the features of this new and fascinating field. MEMS (micro-electro-mechanical-systems) and NEMS (nano-..) are abbreviations, being very well acknowledged, to date. There has been already a considerable amount of reports on the mechanical properties of such small systems and the first results are very promising. Purely mechanical resonators can be made so small

mr

Page 370: Advances in Surface Acoustic Wave Technology, Systems

1224 A. Wixforth

that their mechanical eigenmodes range to the multi-hundred Megahertz regime. There, these MEMS or NEMS meet the surface acoustic waves. I can very well imagine that a combination of both might again offer a whole wealth of new applications and novel physical effects for device, sensing and signal processing technologies. This might be what R. Feynman meant, when he stated in 1959: "There's plenty of room at the bottom".

7. Acknowledgments

It is my great pleasure to acknowledge the fantastic co-operation and those many stimulating and brainstorming discussions with many good friends and colleagues that I had the pleasure to work with over the last couple of years. As one can see from the list of co-authors as cited in the references, they are so many that it would be dangerous to list them all by name - too easily I could simply forget one of the most important! Instead, I try to thank them in general: without you, it would have been impossible to follow all these different directions and surely, it would have been very boring!

On the other side, I don't want to omit the generous financial support that we had the pleasure to receive by many different funding agencies: The projects described here have been funded by the Deutsche Forschungsgemeinschaft DFG under various different contracts, and the Sonderforschungsbereich SFB 348, the German - Israeli -Foundation GIF, the Volkswagenstiftung, the Bayerische Forschungsstiftung. Special thanks to the Siemens Corporate Research group for their unconventional and stimulating support, both financially as well as on the personal level. Finally, I would like to thank Prof. Art Gossard and co-workers, Prof. H. Kroemer and co-workers and Prof. Pierre Petroff and co-workers, all with the University of California, Santa Barbara for their support, help, and fabulous samples!

References:

1. See, e.g., John H. Davies, "The Physics of Low-Dimensional Semiconductors", Cambridge University Press, (Cambridge, New York, Melbourne) 1998

2. A. Wixforth, M. Wassermeier, J. Scriba, J.P. Kotthaus, G. Weimann, and W. Schlapp, Phys. Rev. B40, 7874 (1989)

3. M. Rotter, C. Rocke, S. B6hm, A. Lorke, A. Wixforth, W. Ruile, and L. Korte Appl. Phys. Lett. 70, 2097 (1997)

4. A. R. Hutson, and D. L. White, J. Appl. Phys. 33, 40 (1962) K. A. Ingebrigsten, J. Appl. Phys. 41, 454 (1970). R. Adler, IEEE Trans, on Son. and Ultrasonics SU-18, 115 (1971)

5. A. Wixforth, J. P. Kotthaus, and G. Weimann, Phys. Rev. Lett. 56, 2104(1986)

358

Page 371: Advances in Surface Acoustic Wave Technology, Systems

Interaction of Surface Acoustic Waves, Electrons, and Light 1225

6. A. L. Efros, and Yu. M. Galperin, Phys. Rev. Lett. 64, 1959 (1990) A.Esslinger, R. W. Winkler, C. Rocke, A. Wixforth, J. P. Kotthaus, H. Nickel, W. Schlapp, and R. Losch, Surface Science 305, 83 (1994)

7. C. Rocke, S. Zimmermann, A. Wixforth, J. P. Kotthaus, G. Bohm, and G. Weimann, Phys. Rev. Lett. 78, 4099 (1997)

8. E. Yablonovitch, T. Gmitter, J. P. Harbison, and R. Bhat, Appl. Phys. Lett. 51, 2222 (1987)

9. S. H. Simon, Phys. Rev. B 54, 13878 (1996)

10. K. v. Klitzing, G. Dorda, M. Pepper, Phys. Rev. Lett. 45, 494 (1980)

11. D. C. Tsui, H. L. Stormer, and A. C. Gossard, Phys. Rev. Lett. 48, 1559 (1982)

12. C. Rocke, S. Manus, A. Wixforth, H. Nickel, W. Schlapp, and G. Weimann, Appl. Phys. Lett. 65, 2422 (1994)

13. A. Wixforth, J. Scriba, M. Wassermeier, and J.P. Kotthaus, J. Appl. Phys. 64, 2213 (1988)

14. M. Rotter, A. Wixforth, J.P. Kotthaus, W. Ruile, D. Bernklau, and H. Riechert IEEE Ultrasonics Symposium 1997, Toronto, Canada

15. H. Engan, IEEE Trans. Son. Ultrason. , SU-22, 395 (1975)

16. B. A. Auld, "Acoustic Fields and Waves in Solids", II, John Wiley&Sons, New York (1973)

17. M. Rotter, unpublished

18. M. Rotter, W.Ruile, G. Scholl, and A. Wixforth, IEEE Transactions on Ultrasonics, Ferroelectrics, and Frequency Control 47, 242 (2000)

19. L. Reindl, and W. Ruile, Proc. IEEE Ultrason. Symp. 1993, Vol. I, 125 (1993)

20. M. Rotter, W. Ruile, D. Bernklau, H. Riechert, and A. Wixforth, proceed, of the IEEE Ultrsonics Symposium 1998, Sendai, Japan

21. M. Rotter, A.V. Kalameisev, A.O. Govorov, W. Ruile, and A. Wixforth, Phys. Rev. Lett. 82, 2171 (1999)

22. A.O. Govorov, M. Rotter, M. Streibl, C. Rocke, A.V. Kalameitsev, A. Wixforth, and J.P. Kotthaus, proceedings of the 7th Int. Symp. "Nanostructures: Physics and Technology", Ioffe Institute St Petersburg 1999, pp. 157-162

23. G. Weinreich, H. G. White, Phys. Rev. 106, 1104 (1957)

24. A. O. Govorov, A. V. Kalameitsev, M. Rotter, A. Wixforth, J.P. Kotthaus,

359

Page 372: Advances in Surface Acoustic Wave Technology, Systems

1226 A. Wixforth

K. H. Hoffmann, and N. Botkin, Phys. Rev. B. (2000), in press

25. M. Rotter, W. Ruile, D. Bernklau, H. Riechert, and A. Wixforth, Appl. Phys. Lett. 73, 2128 (1998)

26. D. L. White, Jour. Appl. Phys. 33, 2547 (1962)

27. S. Zimmermann, A. O. Govorov, A. Wixforth, C. Rocke, W. Hansen, J.P. Kotthaus, M. Bichler, and W. Wegscheider, Physica E2, 35 (1998)

28. D. A. B. Miller, D. S. Chemla, T. C. Damen, A. C. Gossard, W. Wiegmann, T. H. Wood, and C. A. Burrus, Phys. Rev. B32, 1043 (1985)

29. C. Rocke, A.O. Govorov, A. Wixforth, G. Bohm, and G. Weimann Phys. Rev. B57, R6850(1998)

30. G. H. Dohler, Phys. Rev. Lett. 47, 864 (1981)

31. C. Rocke, S. Zimmermann, A. Wixforth, J. P. Kotthaus, Phys. Rev. Lett. 78, 4099(1997)

32. G. D. Sanders, and K. K. Bajaj, Phys. Rev. B36, 2308 (1987)

33. A. Wixforth, C. Rocke, S. Zimmermann, J. P. Kotthaus, G. Bohm, and G. Weimann, Physica E 2, 431 (1998)

34. Achim Wixforth, Physica E 3, 145 (1998)

35. S. Zimmermann, A. Wixforth, J.P. Kotthaus, W. Wegscheider, and M. Bichler SCIENCE 283, 1292 (1999)

36. A. P. van de Heuvel, Appl. Phys. Lett. 21, 280 (1972)

37. L. Solie, proc. IEEE Ultrason. Symp., 1998

38. M. Streibl, unpublished

39. W. Sauer, M. Streibl, T.H. Metzger, S. Haubrich, S. Manus, A. Wixforth, J. Peisl, A. Mazuelas, J. Hartwig, and J. Baruchel, Appl. Phys. Lett. 75, 1709 (1999)

40. M. Streibl, A. Wixforth, J.P. Kotthaus, A.O. Govorov, C. Kadow, and A.C. Gossard, Appl. Phys. Lett. 75, 4139 (1999)

41. A. Wixforth, proc. IEEE Ultrason. Symp. UFFC, Lake Tahoe (1999)

42. One of the first descriptions of a tomografy algorithm is found in : Johann Radon, Ber. Verh. Sachs. Akad. Wiss. Leipzig, Math-Nat. 69 262-277 (1917)

43. See, e.g., Michael Shur, Choong Hyun, Michael Hack, Journ. Appl. Phys. 59, 2488 (1986)

360

Page 373: Advances in Surface Acoustic Wave Technology, Systems

Interaction of Surface Acoustic Waves, Electrons, and Light 1227

44. H. Fuchigami, A. Tsumura, H. Koezuka, Appl. Phys. Lett. 63, 1372 (1993)

45. U. Lemmer, C. Kallinger, A. Haugeneder, W. Spirkl, J. Feldmann, U. Scherf, A. Gombert, and V. Wittwer, Optics & Photonics News , Volume 9, p. 24, 1999

46. For a comprehensive collection of information about the present stat of MEMS, see : http://mems.isi.edu/

47. A. N. Cleland, M. L. Roukes, Nature 392, 160 (1998)

48. A. Erbe, R. Blick, Appl. Phys. Lett. 73, 3751 (1998)

361

Page 374: Advances in Surface Acoustic Wave Technology, Systems

www. worldscientific. com 4626 he