801 シリコンの放電加工特性 - jsme.or.jp16 b 16 c 32 d 21 (a) 3.2 実験2...

42
シリコンの放電加工特性 Electric Discharge Machining Properties for Si ○学 稲尾 卓哉(九大) 大西 修(九大) 土肥 俊郎(九大) 黒河 周平(九大) 佐島 隆生(九大) 水江 宏(大分県産業科学技術センター) Takuya INAO, Kyushu University, Motooka 744, Nishi-ku, Hukuoka Osamu OHNISHI, Toshiro DOI, Syuhei KUROKAWA, Takao SAJIMA, Kyushu University, Hiroshi MIZUE, Oita Industrial Research Institute Key Words : electrical discharge machining, wire EDM, die sinking EDM, Si, machining properties, tool electrode 1. 半導体製造における超高純度シリコンウエハ製造工程 の中で,単結晶シリコンインゴットからウエハ化するスラ イシングでは,一般的にラッピング原理を応用したワイヤ ソーが用いられている.しかし,ワイヤソーでは切りくず として無駄に削り取られる切り代の部分,つまりカーフロ スが非常に大きく,資源,コストの削減などの点からこの カーフロスを極力小さくすることが求められている. ワイヤ放電加工には非接触で加工力が小さいという特徴 があり,ワイヤソーよりワイヤを細径化できカーフロスを 削減できると考えられる.そこで本研究では,カーフロス の小さなシリコンのワイヤ放電スライシング法の確立を目 的とする.本報では,基礎実験として単結晶シリコンに放 電加工を行い,送り速度,開放電圧値,電流値,電極材料 を変化させ加工特性の違いを調査した. 2. 実験方法 2.1 実験 1 (ワイヤ放電加工) ワイヤ放電加工を用いた実験条件を1 に示す.ワイヤ 放電加工機(三菱電機 NA2400P)を用いて,厚さ 5mm Si 板に 5mm の切込みを加工した.このとき,送り速度, 開放電圧値,電流値をそれぞれ変化させて加工実験を行っ た.加工後,工作物の加工始め,中間点,加工終わりの 3 箇所で溝幅を計測するとともに切断面の表面粗さの測定・ 観察を行い,加工特性を調査した. Table 1 Condition for experiment 1 Workpiece Si (Thickness: 5mm) Wire Material: Brass Diameter: 0.2mm Condition Feed speed [mm/min] Setting of discharge current* Open voltage [V] A 10.0 7 80 B 2.0 7 80 C 10.0 8 80 D 10.0 7 75 *電流値は放電加工機独自のものである. 2.2 実験 2 (形彫り放電加工) 形彫り放電加工の実験条件を2 に示す.開放電圧 60V の設定では,電極材料として銅,黄銅,チタン,アルミニ ウム合金を,100V の設定では,黄銅,チタン,アルミニウ ム合金を使用した.電極を放電が起こるまで徐々に下げて いき,放電が起こったら電極を止め放電が終わるのを待ち, 放電が終わったら再び電極を下げていく.これを繰り返し て連続して放電加工を行い,電極を工作物表面より 500μm 下げる.加工後,電極および加工面の観察を行い,加工特 性を調査した. Table 2 Condition for experiment 2 Workpiece Si (Thickness:5mm) Electrode Cu (Diameter:2mm) Brass (Diameter:3mm) Ti (Diameter:3mm) Al (Diameter:3mm) Open voltage 60, 100V Discharge frequency 1kHz Duty factor 0.5 3.実験結果および考察 3.1 実験 1 (ワイヤ放電加工) ワイヤ放電加工後の工作物表面および切断面の SEM 像をそれぞれ1 および2 に示す.また加工した工作物 の溝幅を3 に,切断面の表面粗さを3 に示す.溝幅測 定の結果より,開放電圧,電流,送り速度が小さい方が, 加工溝幅が小さくなるということがわかった.一方,表面 粗さの測定結果より,電流が小さい方が表面の状態が良好 で,また開放電圧が大きい方が表面の状態が良好というこ とがわかった.他方,送り速度の変化による加工面の表面 粗さの変化はほとんどみられなかった. 1mm 1mm Fig.1 Slash made by WEDM Fig.2 Section of workpiece after WEDM 801

Upload: others

Post on 16-Feb-2020

5 views

Category:

Documents


0 download

TRANSCRIPT

シリコンの放電加工特性

Electric Discharge Machining Properties for Si

○学 稲尾 卓哉(九大) 正 大西 修(九大) 正 土肥 俊郎(九大)

正 黒河 周平(九大) 佐島 隆生(九大) 水江 宏(大分県産業科学技術センター)

Takuya INAO, Kyushu University, Motooka 744, Nishi-ku, Hukuoka Osamu OHNISHI, Toshiro DOI, Syuhei KUROKAWA, Takao SAJIMA, Kyushu University, Hiroshi MIZUE, Oita Industrial Research Institute

Key Words : electrical discharge machining, wire EDM, die sinking EDM, Si, machining properties, tool electrode

1. 諸 言

半導体製造における超高純度シリコンウエハ製造工程

の中で,単結晶シリコンインゴットからウエハ化するスラ

イシングでは,一般的にラッピング原理を応用したワイヤ

ソーが用いられている.しかし,ワイヤソーでは切りくず

として無駄に削り取られる切り代の部分,つまりカーフロ

スが非常に大きく,資源,コストの削減などの点からこの

カーフロスを極力小さくすることが求められている.

ワイヤ放電加工には非接触で加工力が小さいという特徴

があり,ワイヤソーよりワイヤを細径化できカーフロスを

削減できると考えられる.そこで本研究では,カーフロス

の小さなシリコンのワイヤ放電スライシング法の確立を目

的とする.本報では,基礎実験として単結晶シリコンに放

電加工を行い,送り速度,開放電圧値,電流値,電極材料

を変化させ加工特性の違いを調査した.

2. 実験方法

2.1 実験 1 (ワイヤ放電加工)

ワイヤ放電加工を用いた実験条件を表 1 に示す.ワイヤ

放電加工機(三菱電機 NA2400P)を用いて,厚さ 5mm の

Si 板に 5mm の切込みを加工した.このとき,送り速度,

開放電圧値,電流値をそれぞれ変化させて加工実験を行っ

た.加工後,工作物の加工始め,中間点,加工終わりの 3箇所で溝幅を計測するとともに切断面の表面粗さの測定・

観察を行い,加工特性を調査した.

Table 1 Condition for experiment 1 Workpiece Si (Thickness: 5mm)

Wire Material: Brass Diameter: 0.2mm

Condition Feed speed [mm/min]

Setting of discharge current*

Open voltage [V]

A 10.0 7 80 B 2.0 7 80

C 10.0 8 80 D 10.0 7 75

*電流値は放電加工機独自のものである.

2.2 実験 2 (形彫り放電加工)

形彫り放電加工の実験条件を表 2 に示す.開放電圧 60Vの設定では,電極材料として銅,黄銅,チタン,アルミニ

ウム合金を,100V の設定では,黄銅,チタン,アルミニウ

ム合金を使用した.電極を放電が起こるまで徐々に下げて

いき,放電が起こったら電極を止め放電が終わるのを待ち,

放電が終わったら再び電極を下げていく.これを繰り返し

て連続して放電加工を行い,電極を工作物表面より 500μm下げる.加工後,電極および加工面の観察を行い,加工特

性を調査した.

Table 2 Condition for experiment 2 Workpiece Si (Thickness:5mm)

Electrode Cu (Diameter:2mm) Brass (Diameter:3mm) Ti (Diameter:3mm) Al (Diameter:3mm)

Open voltage 60, 100V Discharge frequency 1kHz Duty factor 0.5

3.実験結果および考察

3.1 実験 1 (ワイヤ放電加工)

ワイヤ放電加工後の工作物表面および切断面の SEM 画

像をそれぞれ図 1 および図 2 に示す.また加工した工作物

の溝幅を図 3 に,切断面の表面粗さを表 3 に示す.溝幅測

定の結果より,開放電圧,電流,送り速度が小さい方が,

加工溝幅が小さくなるということがわかった.一方,表面

粗さの測定結果より,電流が小さい方が表面の状態が良好

で,また開放電圧が大きい方が表面の状態が良好というこ

とがわかった.他方,送り速度の変化による加工面の表面

粗さの変化はほとんどみられなかった.

1mm 1mm

Fig.1 Slash made by WEDM Fig.2 Section of workpiece after WEDM

801

290

295

300

305

310

315

0 1 2 3 4 5

Distance frome ntrance mm

Wid

th o

f sl

ash 

μm

A

B

CD

Fig.3 Width of slash

Table 3 Surface roughness Condition Surface roughness (Ra μm)

A 16 B 16 C 32 D 21

3.2 実験 2 (形彫り放電加工)

形彫り放電加工では,開放電圧 60V の場合,どの電極を

用いても放電現象が数回おこった後に電極を下げると,放

電現象がおこらなくなった.このとき,電極の先端に付着

物が形成されていた.EDX を用いて,観察したところ,電

極の付着物はシリコンだと判明した.またシリコンが付着

した電極で工作物の他の場所を加工してみたが,放電が起

こらなかったため,シリコンが付着したことが加工を妨げ

ていると考えられる.

次に開放電圧を 60V から 100V に上げて実験を行った.

加工面の SEM 画像,片彫り放電加工後の電極の SEM 画像

を図 4 および図 5 に示す.また工作物の加工深さ,加工領

域の直径,放電ギャップを表 4 に,また,加工を行う前の

電極の直径を表 5 に示す.黄銅,チタン電極では,連続し

て加工できたが,アルミニウム合金電極では,連続して加

工ができなかった.加工領域の直径と電極の直径から加工

側面での放電ギャップを計算した結果,チタン,黄銅,ア

ルミニウム合金の順に大きいことが分かった.また加工深

さが黄銅やアルミニウム合金よりチタンのうほうが大きい

ことから,電極消耗率はチタンのほうが小さいと考えられ

る.ただし,放電ギャップについて考えると結果が変化す

る可能性がある.

Table 4 Experiment result

Electrode Depth [μm]

Diameter [μm]

Gap [μm]

Ti 139 3417 215 Brass 118 3333 178

Al 43 3278 149

Table 5 Diameter of electrode Electrode Diameter[μm]

Ti 2988 Brass 2979

Al 2981

(a) Electrode: Brass (b) Electrode: Ti

1mm

(c) Electrode: Al Fig.3 Surface of workpiece after EDM

(a) Electrode: Brass (b) Electrode: Ti

1mm

(c) Electrode: Al Fig.4 Surface of electrode after EDM

4. 結 言

①ワイヤ放電加工において,開放電圧,電流,送り速度が

低下すると,加工溝幅が小さくなった.また,電流は小

さく,開放電圧は大きい方が,加工表面の状態は良好に

なったが,送り速度による加工表面への影響はほとんど

みられなかった.

②形彫り放電加工において,開放電圧が 60V では,放電現

象が数回おこった後に電極にシリコンが付着し,放電現

象がおこらなくなった.

③開放電圧が 100V では,アルミニウム合金電極では,連

続して加工できなかったが,黄銅,チタン電極では連続

して加工できた.

④放電ギャップは,チタン,黄銅,アルミニウム合金の順

に大きく,黄銅とチタンでは,チタンのほうが電極消耗

率は小さかった.

謝 辞

本研究を行うにあたり,九州大学大学院修士課程北様,

西様にご指導いただきました.厚くお礼申し上げます.

PEEKを材料とした CMP用マイクロパターンパッドの開発

Application of PEEK in micro pattern pad for CMP

○学 磯野慎太郎(九工大)

正 木村景一(九工大) 正 鈴木恵友 (九工大)

正 カチョーンルンルアン・パナート(九工大) 学 安田佳祐 (九工大院)

Shintaro ISONO, Kyushu Institute of Technology,kawazu 680-4,iizuka-shi,Fukuoka

Keiichi KIMURA, Kyushu Institute of Technology

Keisuke SUZUKI, Kyushu Institute of Technology

Khajornrungruang Panart, Kyushu Institute of Technology

Keisuke YASUDA, Kyushu Institute of Technology

Key Words : CMP, Polishing pad, Micro pattern, PEEK, Anisotropic etching

1. 緒言 近年,ULSI デバイスの高性能化を進める上で,配線構造

のさらなる多層化が必要とされている.配線構造の多層化に

は,ULSI デバイスの製造工程の一つであるリソグラフィー

工程において,ウェハ表面の凹凸を投影レンズの焦点深度以

下に収めることが重要である.それを実現するため,ウェハ

表面を平坦化する CMP(Chemical Mechanical Polishing)技術が

広く用いられている.

CMP とは研磨時に用いられる化学溶液によりウェハ表面

上に化学反応層を形成する化学的作用と,スラリーに含まれ

る微粒子によりウェハ表面上に形成された化学反応層を除

去する機械的作用を併せ持つ複合研磨技術である.

CMP では,ウェハ・ポリシングパッド・スラリーの三要

素が研磨特性に大きく影響する.そこで,ポリシングパッド

に着目し,これまで CMP の材料除去モデルの一つである

Kimura らのモデル 1)に基づいて,ウェハ,ポリシングパッド

の相対運動によりスラリー流れを発生するようなマイクロ

パターンパッドを,ポリウレタン材料を用いて製作した.し

かし,ポリウレタン材料はマイクロパターンパッド表面の微

小突起が短時間で摩耗するという問題を抱えていた.

そこで,本研究では耐摩耗性に優れる PEEK 材を使用し,

マイクロパターンパッドの製作を試み,製作したマイクロパ

ターンパッドを用いて耐摩耗性の評価を行ったので報告す

る.

2.PEEK材を用いたマイクロパターンパッドの製作法

本研究では,型として Si ウェハを使用した.Si ウェハに

はエッチングを行うことでピラミッド孔を成型し(以降 Si ウ

ェハ型と呼ぶ),成形した型に PEEK 材を挟み小型プログラ

ム電気炉で加熱することで設計したマイクロパターンパッ

ドを製作する.加熱温度は融点以上(360℃)とし,型に均一な

圧力を加えるため,Fig.1 に示すようなステンレス板を使用

した.また,スペーサを使用することで,製作するマイクロ

パターンパッドの厚みを均一にした.

Fig.1 Illustration of forming method

3.製作結果

Si ウェハ型およびマイクロパターンパッドの形状を

Fig.2 に,Si ウェハ型の断面プロファイルを Fig.3 に,マイ

クロパターンパッドの断面プロファイルを Fig.4 に示す.

ここで,Fig.3 および Fig.4 よりマイクロパターンパッドの

各寸法は型と比較して Width では約-0.14µm,Height(Depth)

では約-0.5µm 程度の誤差であった.表面形状の観察には,

共焦点レーザ顕微鏡(キーエンス:VK-9700)を使用した.

これらの結果より,製作したマイクロパターンパッドの

方が小さくなった理由として,線膨脹係数が Si(3ppm/K) に

比べ PEEK 材(140ppm/K)の方が非常に大きいため,マイク

ロパターンパッドの成形後,自然冷却により収縮したと考

えられる.

Fig.2 Shape of Si wafer mold and micro pattern pad (150×)

Fig.3 Cross-section profile of Si wafer mold(150×)

Fig.4 Cross-section profile of micro pattern pad(150×)

802

Apex angle

Depth

t

Width Width Width

Height

Width

Apex angle

Pressure

Substrate PEEK

Si Wafer(mold) Spacer

Stainless

4.耐摩耗性の評価 これまでの研究で使用していたポリウレタン材料と,新

材料となる PEEK 材を用いてピラミッド形状のマイクロパ

ターンパッドを製作し,それぞれの耐摩耗性の評価を行っ

た.実験装置の構成は Fig.5 に,実験条件は Table.1 に示す.

また,それぞれのマイクロパターンパッドで耐摩耗性評価

実験を 1,2,3min 行い,マイクロパターンパッドの実験

後の形状を,共焦点レーザ顕微鏡(キーエンス:VK-9700)を

使用し観察した.それぞれの表面形状と断面プロファイル

の観察結果を Table.2 に示す.さらに,各時間におけるマイ

クロパターンパッドの微小突起の高さの変化を Fig.6 に示

す. Fig.6 より,研磨 2 分後にはポリウレタン材料を用い

て製作したマイクロパターンパッドは,表面の微小突起が

磨耗により高さ約 0.5µm ほどしか維持できていないのに対

し,PEEK 材を用いて製作したマイクロパターンパッドは,

約 4µm の微小突起が維持されている.すなわち,ポリウレ

タン材料よりも PEEK 材の方が,耐摩耗性に優れていると

いえる.

5.結言

耐摩耗性に優れる PEEK 材を使用し,マイクロパターンパ

ッドの製作を試み,マイクロパターンを用いて耐摩耗性の評

価を行い,以下のことが確認できた.

(1) PEEK 材を用いて,Si ウェハ型よりも Width では約

-0.14µm,Height(Depth)では約-0.5µm 程度の誤差でマイ

クロパターンパッドが製作可能である.

(2) 磨耗性評価実験より,以前使用していたポリウレタン

材料よりも PEEK 材の方が,耐摩耗性に優れている.

今後は PEEK を材料としたマイクロパターンパッドを用い

て,一般に使用されている IC1000 の研磨レートと比較する

ために,研磨性能評価を行う必要がある.

文 献 1) 木村景一,カチョーンルンルアン・パナート,橋山雄

一,鈴木恵友:SiO2 系膜の CMP における材料除去メカニズムの研究(第 1 報),2010 年度精密工学会秋季大会学術講演会講演論文集,pp.147-148.

Table.1 Experiment condition

Work piece

(8inch)SiO2 Wafer

Pyramid-geometry micro pattern pad

(PEEK material)

Pyramid-geometry micro pattern pad

(Non-foam Polyurethane)

SlurrySiO2 Slurry

(KOH, pH11, Silica 12.5 wt%)

Polishing pad/Wafer

revolutions60 min

-1

Polishing pressure 34.5 kPa(5 psi)

Polishing time 1,2,3 min

Polishing pad

(24×24 mm)

Fig.5 Illustration of experimental setup

Table.2 Observation results of micro pattern pad surfaces

Polyurethane PEEK

After

1min

Shape of micro pattern pad

Shape of micro pattern pad

Cross-section profile

Cross-section profile

After

2min

Shape of micro pattern pad

Shape of micro pattern pad

Cross-section profile

Cross-section profile

After

3min

Shape of micro pattern pad

Shape of micro pattern pad

Cross-section profile

Cross-section profile

Fig.6 Height of microspike corresponding to polishing time

Micro pattern pad

Slurry

Si wafer

Platen

Carrier

Pressure

2.6µm

2.3µm 2.7µm 2.9µm

3.4µm 3.5µm

803 射出成形金型における成形性に関する研究 Formability of injection mold

○ 学 大穂 泰正(九工大・情報工)

正 是澤 宏之(九工大・情), 正 楢原 弘之(九工大・情), 正 鈴木 裕(九工大・情)

Yasumasa Oho, Kyushu Institute of Technology, kawazu 680-4, Iizuka-shi, Fukuoka Hiroshi Koresawa, Kyushu Institute of Technology Hiroyuki Narahara, Kyushu Institute of Technology Hiroshi Suzuki, Kyushu Institute of Technology

Key Words:Injection Mold, Air Vent, Formability

1. はじめに

射出成形において,溶融樹脂はキャビティ内部に存在し

ていたガスを押し出しながら充填される.押し出されたガ

スはエジェクタピン(以下、EP)のクリアランス,金型分

割面(以下、PL 面)より金型外部に排出される.効率的な

ガスの排出は成形不良を防止するための重要な要素となる.

特に,大型・複雑形状の金型や,成形中に溶融樹脂から発

生するガスが多い場合,ガスの排出が間に合わない可能性

がある.このような場合,ガスの排出を補助する機構とし

てエアベントがある.現在,エアベントの設計は経験に頼

ることが一般的であり,経験に頼らない設計指標が必要と

なる. 本研究はエアベントの影響を観察可能な金型を用い,エ

アベントが成形に与える影響を検証する.

2. エアベントの構造および実験方法

本研究の対象であるエアベントは PL 面に加工する深さ

5~50[μm]の溝である.キャビティから金型外部へとつなが

り,ガス抜きの役割を果たす.エアベントの設計における

パラメータとして,深さ,幅,長さが考えられる.エアベ

ントを設置した金型の概略図を Fig.1 に示す.

Fig. 1 Injection Mold with Air Vent

本実験では先に示したパラメータの深さを変化させ,成

形の挙動を観察する.成形の挙動は樹脂の充填時に動作す

るスクリュのサーボ電流値を測定する.

3. 実験用金型

3.1 実験用金型の概要

通常の成形ではキャビティ内に存在していたガスは,成

形品の離型のために取り付ける EP のクリアランスや,成

形中の圧力による金型の変形に伴う PL 面に生じる僅かな

間隙より排出される.本実験ではエアベントが成形に与え

る影響を観察するため,この様な間隙からのガスの排出を

防止し,エアベントからのみガスが排出される実験用金型

を製作する.実験用金型はキャビティ,エアベントをプレ

ートに加工し,可動側金型に取り付け,パラメータの変更

を可能な限り容易にする.

加えて,エアベントの深さは 5~50[μm]と微小なため,設

定したエアベント深さを得るための加工方法,加工条件を

検討する必要がある. 3.2 金型の構造

Fig.2 に実験用金型の構造図を示す.エアベント以外から

のガス抜け防止のため,2 枚のプレートを用いた構造とす

る. EP のクリアランスからのガス抜け防止のため,本実験で

は EP は使用できない.しかし,成形品を可動側金型に残

すため,ゼットピンは使用する必要がある.そのため,

Plate1 にキャビティを加工し,Plate2 はゼットピン固定の

ために取り付ける.プレートの間に O リングを設置し,ゼ

ットピンを囲み,ガス抜けを防止する.PL 面からのガス抜

けは,キャビティとエアベントを紐状ゴムで囲むことで,

防止する. O リング,紐状ゴムの材質は,空気の保持性に

優れたフッ素素材を使用する.

Y

X

Z

Fig. 2 Structure of Mold

4. O リングおよび紐状ゴム設置のための溝設計

PL 面を密閉するための紐状ゴムは O リングとは異なり,

形状が閉じていないため,紐状ゴムと溝の間に隙間が存在

するとガス抜けが発生する.この隙間からのガス抜けを防

止するため,紐状ゴムと溝との密着性を高める設計が必要

となる.密着性を高めた場合,通常の溝形状では型締時に

潰れた紐状ゴムが PL 面にはみ出し,密閉性の低下および

Plate 1 Plate 2 Movable

Stationary

Movable Stationary

Cavity

EP

Air Vent

金型損傷の可能性がある.そのため,溝の上部のみ,幅を

大きく設計した 2 段構成の溝に液状ガスケットを流し込む

ことで,紐状ゴムと溝との密着性を向上させ,ガス抜けを

防止する.溝の形状および紐状ゴムと液状ガスケットの設

置状態を Fig.3 に示す.

Fig. 3 Sealing Method by rubber and seal material

O リング設置用の溝は O リングの設計基準 1)に従い設計

する.

5. 紐状ゴムと液状ガスケットによる密閉性の検証

5.1 検証方法

紐状ゴムと液状ガスケットを用いた密閉方法を検証する.

検証装置の概観図を Fig.4 に示す.最初に Acrylic plate1 に

空気の流入口を加工し,Acrylic plate2 に紐状ゴムを設置す

るための溝を加工する.流入口の周囲全てを紐状ゴムで囲

むことで,紐状ゴムと溝との隙間以外からのガス抜けを防

ぐ.これにより,密閉性の検証する. 検証は Acrylic plate1,Acrylic plate2 をバイスで固定し,

流入口より空気を流し込み,空気の漏れの有無を確認する.

空気の漏れはガス漏れ検知剤リークチェックを使用する.

Acrylic plate1 Acrylic plate2

(a) Mold (b) Trench Fig. 4 Experimental model

5.2 検証結果

Acrylic plate1 から空気を送り込んだ状態の Acrylic plate1 と Acrylic plate2 の合わせ面を Fig.5 に示す.ガス漏れがあ

る場合の大きな泡は発生せず,空気は漏れていない.この

結果より紐状ゴムと液状ガスケットを用いた密閉方法の有

効性を確認した.

Fig. 5 Sealing condition

6. エアベントの加工方法の検討

棒材に深さ 1,5,10,15[μm]の溝を加工し,エアベント加工

のための加工方法および,加工条件を検討する.本実験で

は加工方法に水溶性クーラントを用いたエンドミル加工を

用い,エンドミル工具には工具径が 6[mm]の MHR430(日

進工具(株))を使用する.工作機械には MC430L((株)

ソディック)を用いる.加工条件を決定するために,プレ

ートに用いる材質と同じ NAK55 の棒材を切削したときの

棒材の表面粗さを測定する.Table1 に切削条件を Table2 に

加工後の棒材の表面粗さを示す.

Table 1 Cutting Condition

Condition

No.

Revolution

of spindle

[min-1]

Feed speed

[mm/min]

Axial depth

of cut

[mm]

Radial depth

of cut

[mm]

1 10,000 1,000 0.01 2

2 10,000 1,000 0.005 0.2

Table 2 Surface Roughness Condition No. Ra [ um] Rz [um]

1 0.23 1.48

2 0.06 0.47

この結果より,Condition No.1 では Rz が本実験における

溝の最小深さである 1[μm]を超えているため,加工条件と

して不適切である.Condition No.2 では Rz が 1[μm]の半分

以下であり,加工条件として適するため,これを採用する. Table 3 に Condition No.2 で複数の溝を加工した際の深さ

を示す.

Table 3 Setting value and measured value

Setting value [μm] Measured value [μm] Diffrence [μm]

1 0.78 0.22

5 4.72 0.28

10 9.92 0.08

15 15.00 0

設定値と測定値との誤差は最大で 0.3[μm]程度であり,エ

アベントの加工,測定が可能なものと考え,この加工条件

を採用する.

7. おわりに

今後は実験用金型を用い,成形条件が異なるエアベント

を配置した複数の金型で成形し,エアベントが成形に与え

る影響を検証する.

文 献 1) O リング TECHNICAL NOTE :NOK 株式会社.

謝 辞

本研究を行うにあたり,適切なご指導,助言を与えてくださっ

た塚辺直樹氏,岩永淳氏をはじめとする研究室の諸氏に対し,

ここに厚く御礼申し上げます.

Seal Material

Rubber

[mm]

Clamper

Air

押出用金型の高温損傷に関する研究

Study on high temperature damage of extrusion die for Mg alloy

正 峠 睦(熊本大学) ○学 佐藤 雄樹(熊本大学)

Yuki Sato,Kumamoto University,Kurokami2-39-1,kumamoto-city,Kumamoto

Mutsumi Touge, Kumamoto University,

1.緒 言

近年,地球環境保全への関心が高まる中で,燃費向上や

排気ガス等の環境負荷を低減するため,自動車や飛行機な

どの輸送機器の軽量化が進んでいる.そして,その材料と

して実用構造材料の中で最も軽いマグネシウムが注目され

ている.しかし,マグネシウム合金は強度が低く,酸化し

やすいという欠点があるため実用化が困難であった.熊本

大学では,急速凝固粉末冶金法を用いることで,従来のマ

グネシウム合金の欠点である,強度が低く,酸化しやすい

という性質を克服し,さらに耐熱性にも優れている新しい

合金の開発に成功した.このマグネシウム合金の実用化に

向け,本研究では棒材製作には不可欠な押出加工に注目し

押出材金型との摩擦特性や金型表面への凝着状況を調べ,

押出加工技術の確立に役立てる事を目的とする.

2.実験方法および条件

図 1 に実験装置を示す.垂直荷重は電気炉の外に設置し,

金型および Mg 合金(直径 55 mm,厚さ 10 mm)を炉内に挿

入し実験を行った.水平方向分力はロードセルにより検出

し,パソコンに取り込んだ.実験後,垂直荷重と水平方向

分力から摩擦係数を算出した.金型材として市販の合金工

具鋼 SKD61 を使用し,実験には生材,焼入材,表面処理材

の3種類の金型材を使用した.このうち,表面処理材であ

るガス浸硫窒化処理材には最表面に潤滑性のある浸硫層(2

~3µm)が形成され,個体潤滑剤の役割をして耐摩耗性,

耐焼付性,耐カジリ性を向上させる働きが期待されている.

表 1 に 3 種類の金型材のビッカース硬さを示す.実験後の

SKD61 の表面の凝着物の状況および高さをレーザー顕微

鏡,光学顕微鏡,および触針式粗さ測定器を用いて観察と

測定を行った.実験後の Mg 合金の摺動面の状況も光学顕

微鏡を用いて観察した.実験は無潤滑(ドライ)条件および

電気炉内の SKD61 の表面に潤滑剤を塗布した状態で行っ

た.

実験条件を表 2 に示す.実験は電気炉内の温度を 250℃

から 450℃まで 50℃刻みに設定した.また,潤滑剤として

グラファイト系グリスとシリコン系の 2 種類の潤滑剤を使

用した.

Fig.1 Experimental set-up

Table 1 Vichers hardness of used materials

SKD61 Vickers hardness

Received 401

Queched 1160

Gas nitrosulphured 2030

Table 2 Experimental conditions

Material of mold SKD61

Test temperature 250℃~450℃

Contact pressure 993 MPa

Stroke distance 50 mm

Sliding velocity 15 mm/s,50mm/s

Test time 5min,17 min

Total move distance 15 m

3.実験結果および考察

図 2(a)は 250℃から 450℃における実験後の金型表面の

粗さを 3 種類の金型材について調べたものである.Mg 合金

の凝着物の生成は 400℃を超えると顕著であり,特に生材

での生成量が多いことがわかる.ガス浸硫窒化処理材を施

した SKD61 では 400℃および 450℃の高温での粗さの悪化

が顕著である.図 2(b)は 3 種類の金型の各試験温度におけ

る平均摩擦係数を示している.ガス浸硫窒化処理材の摩擦

係数が大きいこと,試験温度の上昇によって高い値を示し

ている.この結果から,表面の浸硫層が期待していた個体

潤滑剤の働きをしていないと推察している.このことと関

連して,試験後のガス浸硫窒化処理材の表面には粉末が多

く付着していたことから,厚さ 2~3µm の浸硫層が実験中

に削られ剥がれ落ちた可能性が高いと考えられる.

図 3 はグラファイト系グリスをガス浸硫窒化処理を施し

た SKD61 に塗布し,摺動距離 15 mm/s,試験時間 17 min,

試験温度 300℃および 450℃で実験を行った金型材の表面

写真である.図 3(a)の 300℃の試験温度では Mg 合金の摺

動により発生した線状の傷が確認できるが Mg 合金の凝着

は確認できない.図 3(b)の 450℃における試験温度の時は

厚さ約 20 µm の大きな島状の凝着物を確認することができ

た.

図 4(a)ガス浸硫窒化処理材に潤滑剤を塗布して実験を行

った際のそれぞれの温度に置ける金型表面粗さを示したも

のである.実験温度 450℃の時に値が著しく大きいのは図 3

の(b)に示すような大きな Mg 合金の凝着が原因であると考

えられる.図 4(b)は同様の実験での各実験温度においての

平均摩擦係数を示す.実験温度 450℃では実験の後半で潤

滑が枯渇し,摩擦係数が大きくなる傾向が見られた.

図 5(a)は 1 摺動サイクル毎の摩擦係数を試験温度 300℃

のガス浸硫窒化処理材で調べた結果であり.図 5(b)は試験

温度 450℃でガス浸硫窒化処理材を用いた実験の結果であ

804

(社)日本機械学会 九州学生会 第 42 回卒業研究発表講演会(No.118-2)論文集 2011/3/11

る.図 5(a)と(b)を比べると(a)のグラフは実験開始から終了

までの間,摩擦係数の変動は確認できず,実験中ほぼ一定

の値(約 0.15)を示しているのに対し,(b)のグラフは実験時

間が 600sec を過ぎると摩擦係数が 0.5 前後に急増すると共

に大きく変動していることがわかる.これは,実験時間

600sec を過ぎるとグリス成分が飛散,枯渇するためである

と考えられる.また,図 3(b)の大きな島状の Mg 合金凝着

物は実験時間 600sec 以降に発生したと考えられる.

図 6 は実験温度 400℃のシリコン系とグラファイト系グ

リスを用いた実験時のそれぞれの金型表面である.(a)のシ

リコン系の方が Mg 合金凝着の発生が少ないのに対し(b)に

は多量の Mg 合金凝着が発生した.これらの原因としてシ

リコン系グリスに比べグラファイト系グリスは金型の凹み

に溜まって加工品の肉欠けを生じさせやすい傾向が強く,

肉欠けした Mg 合金の一部が金型表面に凝着したためだと

考えられる.

(a) (b)

Fig.2 (a)Average frictional coefficient

(b)Surface roughness of tested mold surface

(a) (b)

Fig.3 Optical micrographs of mold surface after sliding test

(a)300℃(b) 450℃gas nitrosulfurizedmold-Wet-Graphite

(a) (b)

Fig.4 (a)Surface roughness of tested mold surface

(b)Calculated frictional coefficient

(As nitrosulfurlized mold)

(a)

(b)

Fig.5 Calculated frictional coefficient in lubiricated condition

Are plotted against sliding time.

(a)test temperature:300℃

(b)test temperature:450℃

(a) (b)

Fig.6 Optical micrographs of mold surface after sliding test

(a) Silicone grease (b) Graphite grease

(As nitrosulfurlizing mold)

4.結言

熊大 Mg 合金の押出プロセスにおける金型の摺動面に注

目し,無潤滑条件下,潤滑条件下で高温模擬実験を行い,

以下の結果が得られた.

(1)SKD61と Mg合金の摩擦係数は表面処理条件により異な

り,表面硬度が高い浸硫窒化処理材がもっとも大きい.生

材,焼入材では試験温度が 400℃を超えると増加する.表

面硬度が高い浸硫窒化処理材の摩擦係数は試験温度の影響

は小さい.

(2)潤滑剤を用いた際の実験結果として実験温度が高温で

あるほど金型表面の Mg 合金凝着や押出加工時の摩擦係数

の値は増加する.特に実験温度 450℃の場合は実験時間 600

sec を過ぎるとグリス成分が散布,枯渇するため潤滑剤の

効果が小さくなる.

(3)シリコン系グリスとグラファイト系グリスの効果を比

較すると最大高さ Rz,平均摩擦係数の値はシリコン系のグ

リスを用いた実験時の方が小さかった.また,金型摺動面

の Mg 合金凝着量もシリコン系のグリスを用いた実験時の

方が少ない,このことから高温時の押出加工には潤滑剤と

してシリコン系のグリスが最適である.

250 300 350 400 4500.0

0.2

0.4

0.6

0.8

1.0

Temperature℃

Avera

ge F

ricti

on C

oeff

icie

nt SKD61 As-recived

SKD61 As-quenchedSKD61 As-gas nitrosulphured

250 300 350 400 4500

10

20

30

40

50

Temperature ℃

Rz

m

SKD61 As-recived

SKD61 As-quenched

SKD61 As-nitrosulphured

300 350 400 4500

0.2

0.4

0.6

0.8

1.0

Temperature ℃

Graphite greaseSilicone grease

Fri

cti

onal

coeff

icie

nt

300 350 400 4500

5

10

15

20Graphite greaseSilicone grease

Temperature ℃

Rz

m

0 200 400 600 800 10000.0

0.5

1.0

SKD61 As-nitrosulfurizing treatment

Test time T s

Fric

tiona

l coe

ffic

ient

300℃

0 200 400 600 800 10000.0

0.5

1.0

Test time T s

Fric

tion

al c

oeff

icie

nt

SKD 61 As-nitrosulfurizing treatment

450℃

805 樹脂流動制御法を用いた成形品のガスバリア性評価

Evaluation of Gas Barrier of Molding Parts by Resin Flow Controlling Method

○学 坪内 雅俊(九工大) 森 直樹(九工大) ,正 是澤 宏之(九工大),

正 楢原 弘之(九工大),正 鈴木 裕(九工大)

Masatoshi TSUBOUCHI, Kyushu Institute of Technology, kawazu 680, iizuka-shi, Fukuoka

Noki MORI, Kyushu Institute of Technology, kawazu 680, iizuka-shi, Fukuoka

Hiroshi KORESAWA, Kyushu Institute of Technology, kawazu 680, iizuka-shi, Fukuoka

Hiroyuki NARAHARA, Kyushu Institute of Technology, kawazu 680, iizuka-shi, Fukuoka

Hiroshi SUZUKI, Kyushu Institute of Technology, kawazu 680, iizuka-shi, Fukuoka

Key Words : Resin Flow Controlling, Gas-Barrier

1. 緒言

プラスチック製の容器は生活,医療などの幅広い分野で

使用され,一般的な容器には安価なポリプロピレン(以下,

PP)が用いられている.しかし PP の容器にはフリーボリ

ュームと呼ばれる分子と分子の隙間が存在し,液体を保存

する際,この隙間から気化した液体の分子が通り抜けるた

め,水分量が減少する問題がある.このような樹脂の隙間

からの分子の流出を防ぐ性質をガスバリア性といい,これ

までに,高いガスバリア性を示すポリビニールアルコール

(以下,PVA)を使用することや,ダイヤモンドライクカ

ーボン(以下,DLC)膜を成形品にコーティングする方法

等が提案されているが,コストの増加と生産性の低下から

普及していない.また液体容器はコスト面などから薄肉・

軽量化が求められている.しかし要求を満たし,液体容器

を薄肉にすると容器内で気化したガスが透過する経路は短

くなり,ガスバリア性が低くなってしまう問題がある.そ

こで,本報では金型内の樹脂流動において積極的に分子や

繊維を配向させる樹脂流動制御法(Fig.1)を提案する 1).

本手法により,樹脂流動を円周方向に向け,フリーボリュ

ームを減少させることにより,ガスバリア性の向上を図る.

本研究室では PP に本手法を適用し,ガスバリア性への有

効性について検討を行っていく.本報では Fig.2 に示す成

形品を用い回転数に応じたガスバリア性の評価を行った.

また薄肉の液体容器を得るための金型を製作し,樹脂流動

制御法を用いた成形システムを組み上げ,射出成形を行っ

たので報告する.

2.実験方法

2.1 成形方法

本研究にて使用するプラスチック用射出成形金型を

Fig.3 に示す.回転コアをサーボモータ を用いて回転させ

ることで金型内の樹脂流動制御をおこない,Fig.2 に示すパ

イプ形状成形品を射出成形した.射出成形機には㈱ニイガ

タマシンテクノ NN75S を用い,回転コアは安川電機製モー

タの VARISPEED G3 を用いて回転させる.また,コントロ

ーラとして MS テクノ製 MITY SERVO VEO-22 を用いて,

モータの回転数を制御する.今回は回転数を 100min-1 ごと

に 0~1000min-1までの領域において成形を行った.ここで,

0min-1 を通常成形とする.

Fig.1 Articles produced with Fig.2 A form of

and without rotation the product

Fig.3 Experimental model Fig.4 Test piece

2.2 試験方法

ガスバリア性は恒温炉内で水を密閉させた試験片の水分

減少率により評価を行う.試験片は PP(出光ポリプロ

E-150G)を材料として用いた成形品のフランジ部分を切削

し,水を耐熱性・耐スチーム性に優れたシリコン製のゴム

栓により密閉し,針金で締め,ゴム栓を固定した(Fig.4).

この試験片を Table1 の条件により恒温炉にて保管・測定を

行った.また測定は桜木理化学製 EW-200GJ を用い少数以

下第 2 位までのスケールで重量の計測を行い,重量変化を

減少率とした.測定は 2 日おきに 10 日間の測定を行った.

(社)日本機械学会 九州学生会 第 42 回卒業研究発表講演会(No.118-2)論文集 2011/3/11

Silicon cap

30

Wire

Table1 The condition of experiment

Sealing up volume of water [ml] 1

Preset temperature [℃] 50

Interval of measurement [hour] 48

Keeping days [day] 10

2.3 結果

Fig.5 に 2 日おきに測定した結果を示す.縦軸に水分の減

少率,横軸に回転数を表している.図より回転数が上がる

と水分減少率は下がっていく傾向を確認した.また回転数

100min-1 で傾向とは異なり,減少率が増加していることを

確認した.200min-1 では通常成形のものと減少率が同様と

なった.回転数が 600 min-1 以降の最終的な減少率では変化

は見られない.

0

1

2

3

4

5

0 100 200 300 400 500 600 700 800 900 1000

Decrease

rate

(%

)

Rotational speed (min-1)

10day

8day

6day

4day

2day

Fig.5 Decrease rate of water by rotational speed after 10days

2.4 考察

回転数の増加に伴い 10 日後の水分減少率は下がってい

く傾向にあるのは樹脂流動制御法によって樹脂の分子間の

空隙が縮小したことが要因として挙げられる.これはコア

を回転させることで溶融樹脂の表層部分が引き延ばされ,

分子の配向が起きたことで空隙が縮小し,ガスバリア性が

向上したと考えられる.回転数 100 min-1 で減少率が増加を

示し,200 min-1 での減少率と通常成形での減少率が同じ値

になったのは回転数が 100 min-1・200 min-1 では流動制御の

影響が小さく、通常成形との比較で明瞭な差が出にくいた

めと考えられる.また,回転数が 700 min-1 以降の最終的な

減少率に変化が見られないのは,700 min-1 以降の回転数が

大きいため溶融樹脂の表層部分とコアが滑りを起こし,連

れ回りが効果的にできず,回転数に見合った分子配向が得

られないことで空隙の縮小に変化が起きなかったためと考

えられる.

3.薄肉の液体容器の成形品製作

3.1 成形システム

前述の結果から,本手法によるガスバリア性の有効性を

確認した.そこで,ガスバリア性の求められる薄肉の液体

容器を得る金型を製作した.金型は実際に使用される容器

を想定し多数個取りとする.成形機の仕様から 4 個取りの

金型とした.Fig.6 にシステムのブロック図を示す.より詳

細な樹脂流動のデータを得るために,プログラマブルコン

トローラ(以下の PLC)を用い,必要なトルク波形・モー

タ回転速度波形などのデータを得るシステムを構築した.

Fig.6 Block diagram of system

3.2 成形条件および成形結果

成形には PP(日本ポリプロノバテック MA3)を用い,

射出成形機㈱ファナック FanucRoboshotS 2000i+100B を用

い射出成形を行った.コアは㈱安川電機 AC サーボモータ

SGMGV-30ADA6C,減速機には㈱アペックスダイナミック

ス AB142-S2-P1 を用い回転させ,射出成形を行った.成形

条件を Table2 に示す.また今回成形した長さ 50mm,開口

部の径 6.1mm,容器底面の径 5mm,肉厚 0.5mm の 3D-CAD

モデルを Fig.7 に,実際の成形品を Fig.8 に示す.

Table2 Molding Conditions

Cylinder temp [℃] 230

Injection speed [mm/s] 50

Holding pressure [kg/cm2] 150

Holding time [s] 1

Fig.7 3D-CAD design Fig.8 A form of the product

4. 結言

ガスバリア性の評価から,回転数を上げることにより水

分減少率が下がっていく傾向を確認した.しかしながら回

転数 700min-1 以降の高い回転数では水分減少率に減少の傾

向が見られない.よって今回最も低い減少率を示した 700

min-1 を最適な回転数とし,今後はこの回転数を参考とし,

製作した金型により樹脂流動制御法を適用し得た薄肉の液

体容器と適用していない成形品を用いて,ガスバリア性の

評価を行っていく.

文 献 1) 森直樹,他:樹脂流動制御による成形品の物性について,成

形加工’09,(2009)pp.253-254.

Gate

Part of opening

PC

Completion of closing mold

During holding

During injection

Torque

Rotational speed

PLC

Injection molding machines

Servo Motor

806 通気性能を有する金属光造形金型材の電子ビーム加工による表面改質 Surface Modification of Metal Sintering Mold having air permeability structure

by electron beam machining

○ 学 上田 剛史 (九工大・情報工)

正 楢原 弘之(九工大),正 鈴木 裕(九工大),正 是澤 宏之(九工大)

Masafumi UEDA, Kyushu Institute of Technology, kawazu 680-4, Iizuka-shi, Fukuoka

Hiroyuki NARAHARA, Kyushu Institute of Technology, kawazu 680-4, Iizuka-shi, Fukuoka

Hiroshi SUZUKI, Kyushu Institute of Technology, kawazu 680-4, Iizuka-shi, Fukuoka

Hiroshi KORESAWA, Kyushu Institute of Technology, kawazu 680-4, Iizuka-shi, Fukuoka

Key Words : Injection Molding, Air permeability structure, Electron beam

1.緒論

金属光造形複合加工法 1)とは,レーザ光による金属粉末積

層造形と高速切削を組み合わせた加工法である.本加工法は

レーザ光の照射条件を変更することで焼結密度を変化させて

造形する粗密造形が可能である.焼結密度を低く設定して焼

結した構造(以下,低密度焼結構造)は Fig.1(a)に示すように

内部に空孔を有するため通気性能を持つ構造となる.しかし,

低密度焼結構造は空孔の位置の制御が出来ず,安定した通気

量を得ることが困難である.そこで新たな通気構造として

Fig.1(b)に示すような格子状構造が研究されている.格子状構

造はレーザ光を格子状に走査させて,その隙間を空孔として

形成した通気構造である.格子状構造は空孔の成形位置や形

状の制御が可能であることから,各層の空孔が連なった連通

孔が多数形成され,通気性能が向上する.しかし,格子状構

造は空孔が小さくなると通気性能を失う.さらに,空孔を大

きくし通気が得られても,樹脂詰まりを起こすといった問題

がある.また,成形品において,金型の通気部と接触する

部分は空孔の拡大に伴い面粗さが悪化する.そこで,Fig.1(c)

のような格子状構造に低密度焼結構造を積層させた構造を考

えた.低密度焼結構造の空孔は格子状構造の空孔よりも微小

であり,さらに低密度焼結構造表面に電子ビーム加工 2)を行

うことで樹脂詰まりの低減,面粗さの改善が期待される.本

研究では Fig.1(c)のような構造を作り,その表面に電子ビーム

加工を行うことで,格子状構造の樹脂詰まりの問題,面粗さ

悪化の改善を目指す.

2.目的

金属光造形複合加工法によりFig.1(c)のような通気構造を作製

し,電子ビーム加工を行うことで,格子状構造の転写の問題,樹

脂詰まりの問題の改善を目指す.本研究では,電子ビーム照射前

後での表面状態,表面粗さ,通気量を調査する.

3.電子ビーム加工

Fig.2 に電子ビーム照射装置の概要を示す. 真空チャンバ

内には Ar ガスを混入している. まず,ソレノイドによりチャ

ンバ内に磁場を発生させる. 次にアノードに電圧を印加する

と, ペニング効果により発生した電子がアノードに向かって

移動する. このとき電子はローレンツ力を受け螺旋運動を行

うため走行距離が増し, Ar 原子と衝突を繰り返す. これによ

りアノード付近にプラズマが生じる. ここでカソードに電圧

を印加するとカソードから放出された電子が加速される. プ

ラズマは電子ビームの直進性を向上させる働きをする. 照射

された電子ビームにより材料表面を加熱・融解させる.電子ビ

ーム加工に期待される効果として,表面の平滑化,耐食性・撥

水性・硬度の向上やそ

れに伴う射出成形時

の離型抵抗の低減な

どが挙げられる.電子

ビーム加工を本通気

構造に適用させるこ

とで,表面性質の改善

が期待させる.

4.実験方法

金属光造形複合加工法により低密度焼結層の厚さが異なる

試験片(0.5,1.0,1.5mm)を製作する.その後,異なる 2つビー

ム条件で試験片に対し電子ビーム加工を行い,電子ビーム照射

前後での表面状態,通気量,表面粗さを調査する.

5.実験条件

本実験では, 試験片の造形には金属光造形機 LUMEX 25

C(株式会社松浦機械製作所製)を使用し,材料には鉄系の複合

材料を使用した.また,電子ビーム加工には, 電子ビーム PIKA

面加工装置 PF-32A(株式会社ソディック電子製)を使用した.

電子ビームの照射条件を Table 1 に示す. また,通気量の測

定は空気圧 0.6MPa を試験片へ通過させ,試験片通過後の通気

量を流量計(キーエンス社製 FD-A50)を用いて測定を行い,表

面観察にはデジタルマイクロスコープ (株式会社 KEYENCE

社製)を用いた.

solenoid

Electron Beam

plasma

vacuum chamber

work

cathode

anode

Electron Gun

solenoid

Electron Beam

plasma

vacuum chamber

work

cathode

anode

Electron Gun

Fig.2Electron beam irradiation

device

(a)Skin structure (b)Grid structure (c)Skin and Grid structure

Fig.1 Air Permeability Structure

(社)日本機械学会 九州学生会 第 42 回卒業研究発表講演会(No.118-2)論文集 2011/3/11

Laser scanning pitch

No. Cathode

voltage[kv]

Anode

voltage[kv]

Solenoid

voltage[kv]

Argon

pressure[Pa]

1 16.0 5.0 0.5 0.05

2 28.0 5.0 1.5 0.05

また,Table2 に低密度焼結構造と格子状構造の造形条件(レ

ーザ出力,レーザ走査速度,レーザの走査間隔)を示す.

Structure type Skin structure Grid structure

Laser power[w] 220

Laser scanning speed[mm/s] 160 1960

Laser scanning pitch[mm] 0.6 0.07

6.実験結果および考察

6.1表面状態観察結果

Fig3(a)に電子ビーム照射前,(b)にビーム条件 1 における

10 回照射後,(c)にビーム条件 2 における 10 回照射後の表

面状態の観察結果を示す.

電子ビーム照射前の Fig3(a)と照射後の Fig3(b)を比較した

結果,空孔の拡大を確認した.また,照射後の Fig3(b),(c)

において,表面にクレーター形状の窪みを確認し,(c)にお

いてより多くの窪みを確認した.この窪みは,電子ビーム

照射時に材料表面が高温化し,材料内部の添加物のガス化

による蒸発痕が原因になったと考えられる.

6.2通気量測定結果

Fig.4(a)にビーム条件 1,(b)にビーム条件 2 における通気

量と電子ビーム照射回数の関係を示す.

Fig.4(a),(b)の照射前の通気量は異なる結果となった.この原

因として,低密度焼結構造は,元々安定した通気量が得にくい

ため,低密度焼結構造の厚みの増加の伴い通気量が減少したと

考えられる.本実験では,低密度焼結層の厚みを薄くすること

でその影響を抑えているが,今回,一部にその影響が大きく出

てしまったのではないかと考えられる.また,別の原因として

格子状構造の連通孔部の一部が,造形不良により塞がったため

と考えられる.また,ビーム条件 1,2 において電子ビームの

照射により通気量の増加を確認した.また,通気量がある一定

量まで増加すると,その後,大きな変化は見られなかった.さ

らに,ビーム条件 2 において,照射回数 10 回以降での通気量

の減少を確認した.通気量と低密度焼結層の厚さの関係につい

ては,層の厚さの増加に伴い,通気量が減少することを確認し

た.しかし,照射前の状態,また,照射後の状態においても通

気量はばらつきが多く,特にビーム条件 1 の低密度焼結層の厚

さ 0.5mm において低い通気量を確認した.

6.2表面粗さ測定結果

Fig.5(a)にビーム条件 1,(b)にビーム条件 2 における表面

粗さ Rz[μm]と電子ビームの照射回数の関係を示す.

ビーム条件 1,2 において電子ビームの照射の増加に伴う表面

粗さの悪化を確認した.また,表面粗さの悪化は,ビーム条件

1 よりも出力の高いビーム条件 2 に顕著に表れることを確認し

た.電子ビーム加工は表面を溶融し,固化されることで表面を

平坦化させるが,表面の熱的特性が異なる部分に照射が行われ,

溶融・固化したことで表面粗さが悪化したのではないかと考え

られる.

7.結論

金属光造形複合加工法により作製した通気構造に電子ビー

ム加工を行い,低密度焼結構造の厚さによる影響、電子ビーム

の出力・照射回数による影響を調査した結果、以下の結論を得

た.

・格子状構造に低密度焼結構造を積層した本構造は,通気性能

を持つことが確認出来た.

・本通気構造は通気量にばらつきがあり、低密度焼結構造の

厚さの増加に伴い、通気量は低下した.

・電子ビーム加工を行うことで、通気量の増加を確認した.

・エネルギー密度の高いビーム条件 2 において、電子ビーム加

工による面粗さの悪化を確認した.

8.今後の展望

今後は,より良い電子ビーム照射条件,本構造の強度につい

て調査する.その後,実際に成形実験を行い,樹脂詰まり,表

面状態への影響を調査する.

参考文献 1)阿部 諭:ワンプロセスマシニングによる金型のラピッドプロダクション,

RP 産業協会,第 24 回 RP シンポジウム(2003)pp.67-71

2)岡田晃 宇野義幸 藪下法康 植村賢介 Purwadi Raharjo :「大面積電子ビー

ムによる金型加工面の高能率仕上げに関する研究」精密工学会誌 vol.69, No.10,

2003

Table 1 Energy condition

(a) Energy condition1 (b) Energy condition2

Fig.4 Relation between Air Permeability and Number of laser shot

Table 2 Laser condition

(a)Before irradiation (b) Energy condition1 (c) Energy condition2

Fig.3 Surface condition

(a) Energy condition1 (b) Energy condition2

Fig.5 Relation between Surface roughness and Number of laser shot

レーザ光を用いた CMP 用ポリシングパッドの表面形状評価に関する研究

(光散乱・回折を利用した評価方法の提案)

Study on evaluation method for surface topography of polishing pad using laser

(Proposal of evaluation method using scattered and diffracted light)

○学 櫛田 高志(九工大) 学 奥園 貴久(九工大)

【指導教員】 正 木村 景一 正 鈴木 恵友 正 カチョーンルンルアン・パナート

Takashi Kushida(Kyushu Institute of Technology). Okuzono Takahisa(Kyushu Institute of Technology) Keiichi Kimura(Kyushu Institute of Technology) Keisuke Suzuki(Kyushu Institute of Technology) Panart Khajornrungruang(Kyushu Institute of Technology)

Key Words : Chemical Mechanical Polishing(CMP), Polishing Pad, Laser, diffraction, scattering

1. 緒言

現在の ULSI デバイスは高性能化を目的とした高集積化に伴い,多層配線構造が用いられるようになっている.多層配線を

実現するためには,表面の凹凸をリソグラフィーで用いられる配

線パターン投影レンズの焦点深度以下に収めることが条件とな

る.そのための平坦化技術として CMP(Chemical Mechanical Polishing)が用いられている.CMP とはスラリーと呼ばれる研磨液により被研磨面に化学反応層を形成し,その化学反応層を

スラリー中に含まれる微粒子により機械的に除去する研磨技術

である CMP が抱える問題の一つとして,研磨の進行に伴いポリシングパッド表面が劣化し,加工性能が著しく低下することが挙げら

れる.そこで,ダイヤモンド粒子を電着したコンディショナにより

加工性能が低下したポリシングパッド表面のコンディショニング

を行い表面をリフレッシュさせる.しかし,コンディショニングされ

たポリシングパッドの表面形状は複雑かつ微細な凹凸が混在し

ているため評価が困難とされ,研磨に有効なポリシングパッドの

表面形状は分かっていない.そこで本研究はコンディショニング

されたポリシングパッドの表面形状特性に着目した.

本稿では,まずコンディショニングを行ったポリシングパッドの

表面形状評価法として,光学的フーリエ変換に基づいた方法を

提案した.次に,ポリシングパッドの断面プロファイルを用いた

空間的 FFT 解析および,そのポリシングパッドからの散乱回折

光強度分布を測定し有効性を検討したので報告する.

2. 測定原理

光学系構成を図 1に示す.このとき,ポリシングパッドから測定面までの距離を R,レーザ光波長を λ,ポリシングパッドの表面形状 u(x,y)を開口関数とみなすと,Fraunhofer回折像の振幅分布 up(x0,y0)は次式のように開口関数をフーリエ変換した形で表される 1).

,,

2exp,exp,

Uconstyxuconst

dxdyyxRiyxuikR

RiAu p

U

(μ,υ)とは u(x,y)のフーリエ変換を示している.ここで,μと υは空間周波数と呼ばれ,以下のように定義される.

RR

さらに,回折像の強度分布は以下のように表わされる.

222),(,,, yxuUuI p

よって,上式より散乱回折光(以降,散乱光と称する)を測定することで,フーリエ変換したポリシングパッド表面形状の特性をス

ペクトル分布として求めることが可能となる.

Fig.1 Principle of measurement

3. 実験装置の構成

図 2 に測定装置の概略図を示す.ポリシングパッドに

He-Ne レーザを照射し,その散乱光を CMOS カメラで撮影

した.光学系は部品数が少ない構成となっており,誤差因子を

少なくすることが出来る.さらに高速かつ非接触での測定が可

能でありインプロセス計測に有利であると考えられる.

Fig.2 Experimental set up

807

4. 空間的 FFT 解析

コンディショニング条件を表 1 に示す.ポリシングパッド表

面の測定には共焦点走査型レーザ顕微鏡(CLSM)を用いた.コンディショナ A でコンディショニングしたポリシングパッドの断

面プロファイルと,それを用いて行った空間的 FFT 解析の結果を図 3(a)に示し,コンディショナ B でコンディショニングした場合の断面プロファイルと空間的 FFT解析結果を図 3(b)に示した. 次に,空間的 FFT解析の結果を用いて,コンディショナのダイヤモンド粒径の違いによるポリシングパッド表面形状の変化を

測定する.コンディショナ Aでコンディショニングした場合のパワースペクトル分布から,コンディショナ Bの場合のパワースペクトル分布の差分を取った結果を図 4 に示す.図 4 は横軸に空間波長を示し,縦軸はその空間波長に該当するパワースペクトル

強度の差を示している. 図 4により,パワースペクトル強度の差が正の値を示している.この結果よりコンディショナ A を用いてコンディショニングを行う

と,コンディショナ B を用いた場合と比較して,図 4 に示す空間波長の凹凸がポリシングパッド表面で支配的になったことが分

かる.

Table1 Conditioning parameters

Conditioner A B

Average diameter of daiamond grains

[µm] 170(#100) 52(#325)

Polishing pad IC1000/Suba400 Conditioning time [min] 60

Conditioning load [kgf] 2.7

(a) Sample A (grain size 170µm)

(b) Sample B (grain size 52µm)

Fig.3 CLSM results of conditioned polishing pads

Fig.4 Difference of power spectrum corresponding to spatial

wave length of pad surface

5. 散乱光強度分布の測定

コンディショナ Aでコンディショニングしたポリシングパッドの散乱光強度分布とそのプロファイルを図 5(a)に示し,コンディショナ Bでコンディショニングした場合の散乱光強度分布とプロファイルを図 5(b)に示す. コンディショナAを用いてコンディショニングしたポリシングパッド表面の光強度分布と,コンディショナ B を用いた場合の光強

度分布の変化を見るために,前者から後者の光強度の差を取

った.その結果を図 6 に示す.横軸には空間波長を示し,縦軸にはその空間波長に該当する光強度の差を示した. 図 6を見ると,コンディショナAを用いてコンディショニングしたポリシングパッドの光強度が,コンディショナ B を用いた場合と

比較して高い値を示しており,空間的 FFT解析の結果と同様の傾向を示している.つまり散乱光強度分布を測定することにより

ポリシングパッド表面形状特性の評価が可能であると推測でき

る. 6. 結言

光学的フーリエ変換に基づいたポリシングパッドの表面形

状特性の評価方法を提案し,ダイヤモンドの粒径が異なるコン

ディショナでコンディショニングしたポリシングパッドの散乱光強

度分布測定実験を行った.その測定結果は断面プロファイル

を用いた空間的 FFT 解析の結果と同様の傾向を示しており,

本手法はポリシングパッド表面形状評価に対して有効であると

推測できる.

(a) Sample A (grain size 170µm)

(b) Sample B (grain size 52µm)

Fig.5 Measurement results of scattering intensities

Fig.6 Difference of light intensity corresponding to spatial

wave length of pad surface

文 献 1) 谷田貝 豊彦 : 光とフーリエ変換 朝倉書店 (1992).

制振合金を用いた長い突出し長を有するエンドミルの切削特性

Cutting performance of long end mills using damping alloys

○学 渡邊 大夢(九工大) 正 是澤 宏之(九工大)

正 楢原 弘之(九工大) 正 鈴木 裕(九工大)

Hiromu WATANABE, Kyushu Institute of Technology, kawazu 680, Iizuka-shi, Fukuoka

Hiroshi KORESAWA, Kyushu Institute of Technology, kawazu 680, Iizuka-shi, Fukuoka

Hiroyuki NARAHARA, Kyushu Institute of Technology, kawazu 680, Iizuka-shi, Fukuoka

Hiroshi SUZUKI, Kyushu Institute of Technology, kawazu 680, Iizuka-shi, Fukuoka

Key Words: high damping materials, end mills, chatter vibration

1.諸 論

自動車をはじめとした工業製品の多くは金型を用いて製造

されている.自動車内装のポケット部やメータークラスター

などの複雑形状を有する金型製作では,深溝・立壁加工が

必要とされる.従来の手法では,金型の分割や放電加工を

用いた制作が行われてきたが,これらの手法は納期の長期化

を招き,多大なコストが必要となる.そのため,金型製作

現場において,加工リードタイムを短縮する目的で切削加工の

適応範囲を広げることが必要不可欠となる.そこで突出長の

長いエンドルを用いることで,金型製作の工程が一貫した

ものとなり,短納期・低コスト化を実現することができる.

しかしながら,突出長の長い工具は工具自体の剛性低下に

よるびびり振動の発生が問題となる.この振動により良好な

加工面が得られず,工具寿命にも悪影響を与える.

本研究では,工具ホルダに制振合金を用いることで刃先の

びびり振動を抑制し,長い突出し長さにおいても良好な切削

を可能とする工具の開発を目的とする.本報では,制振合金

によるびびり振動抑制の有効性と試作したヘッド交換式工具

の摩耗状況について確認したので報告する.

2.制振合金の特性

制振合金(M2052)1)は,材料そのものが振動を吸収する効果

をもった合金である.特性として,軟鋼程度の強度を持ち,

周波数 0.01Hz~5MHz と幅広い周波数帯域において対数

減衰率 0.3~0.7 が得られる.特に一般合金では吸収しにくい

低周波帯でも高い制振性を持つ.

3. 実験方法

3.1 切削工具形状

ヘッド交換式工具の外観,および分解図を Fig.1に示す.

寸法は長さ L=250mm, 直径 D=25mm, L/D=10 である.

Fig.1.(b)に示すように,先端のモジュラーヘッド部に制振

合金,シャンク部に超硬合金を使用したヘッド交換式工具

を製作した.比較用工具として同一形状の超硬合金製モジ

ュラーヘッドも製作した.また,スローアウェイチップに

は ADET160403SR:HC844(㈱日立ツール)を用いた.なお,

機械主軸に切削工具を取り付け,加速度ピックアップとイン

パルスハンマを用いて工具-主軸系の固有振動数を測定した

ところ,制振合金製工具では 245Hz,超硬合金製工具では

236Hzであることを確認している.

3.2 実験装置および切削条件

被削材 NAK80:ブロック材(100mm×25mm×50mm)に対して

ダウンカットにて側面切削を行った.工作機械にはマシニン

グセンタ V56i(㈱牧野フライス製作所)を使用した.工具

摩耗の測定に最適な切削速度を算出するため,切削速度

変動実験を行った.切削条件を Table.1 に示す.また,切削

速度変動実験の実験結果より,制振合金製ヘッドと超硬合金

製ヘッドを用いて切削した際の表面粗さの差が最小であった

切削速度 300m/minの条件を採用し,工具摩耗実験を行った.

切削距離は 50m として指定の切削距離ごとに工具逃げ面

摩耗幅の測定を行った.

3.4 評価方法

切削後の評価として,加工面の表面粗さ (最大高さ

粗さ :Rz)をサーフコム 110B(㈱東京精密)にて測定した.

工具摩耗の評価として,指定の切削距離ごとに逃げ面摩耗幅

をデジタルマイクロスコープ DS-3USV(㈱マイクロ・スクェ

ア)にて観察した.また,切削中の振動解析をするために,FFT

アナライザ CF3400(㈱小野測器)を使用した.

Chuck part

250mm 200mm

50mm

(a)Appearance

(b)Exploded View

Fig.1 Cutting Tools

Shank part

Head part

L/D

Axial Depth of Cut [mm]

Radial Depth of Cut [mm]

Feed Rate [mm/tooth]

Cutting Speed[m/min] 100 200 300 400 500 600

Spindle Speed[min-1] 1592 3183 4755 6366 7958 9549

Feed[mm/min] 127 255 382 509 637 764

0.08

Table1 Cutting Conditions

10

1

0.3

4.実験結果および考察

4.1 切削速度変動実験

加工面の表面粗さと拡大写真を Fig.2,Fig.3 に示す.今回

の実験では,切削速度 400m/min にて制振合金製ヘッドを用

いた際に 1.26µmRz の最も良好な加工面を得た.一方,切削

速度 100m/min,および 500m/min の超硬合金製ヘッドにおけ

る加工では,最良条件と比べ 15µm以上表面粗さが悪化した.

切削速度 100m/min においては,ヘッドの材質によらず加工

面が悪化したことから,切り屑の巻き込みやむしれが加工面

悪化を引き起こしたものと考えられる.さらに,工具-主軸系

の固有振動数と断続切削の振動数 26.5Hz の整数倍である

238.5Hz が制振合金・超硬合金工具-主軸系の固有振動数の

245Hzと 236Hzがほぼ一致することから,強制びびりが発生

し,加工面悪化を招いたと考えられる.また切削速度

500m/min においては,超硬合金製ヘッドを用いた際のみ加工

面が悪化していたことから,同様に振動数の比較を行ったが,

これらは一致しなかった.このことから切削速度 500m/min

における加工面悪化は強制びびりではなく,他の要因によっ

て引き起こされたものであると考えられる.

4.2 工具摩耗実験

工具逃げ面摩耗幅の測定結果,逃げ面写真を Fig.4,Fig.5

に示す.超硬合金製ヘッドに比べ,制振合金製ヘッドでは

切削距離 50m で工具逃げ面摩耗幅が約 10µm 低減した.

断続切削の振動数 80Hz のスペクトル密度を Fig.6 に示す.

制振合金製ヘッドは超硬合金製ヘッドに比べ,被削材の

スペクトル密度が約 3dB 低減した.これは,制振合金製ヘ

ッドが切削時の衝撃力を緩和していると考えられ,それに

より工具逃げ面摩耗幅の低減につながったと考えられる.

表面粗さの測定結果を Fig.7 に示す.制振合金製ヘッド

を用いた場合,切削距離が伸びても良好な加工面を保って

いるが,超硬合金製ヘッドを用いた場合では,加工面が

悪化していることが確認された.制振合金製ヘッドでは,

工具に対する負荷が減少し,工具逃げ面摩耗幅が低減する

ことで表面粗さの悪化が抑えられたと考えられる.以上

より,制振合金をヘッド材質に適用することで,びびり

振動を低減し,工具寿命の延伸が期待できるという指針を

得ることができた.

5.結 論

本報では制振合金製ヘッドを用いた工具の切削特性を検証

し,以下の結論が得た.

1)今回の条件下では制振合金を用いることで,工具逃げ面

摩耗の緩和が期待できる.

2)制振合金を用いることにより,切削距離が伸びた場合に

おいても,良好な加工面が期待できる.

以上より,突出長の長い工具の開発にあたり,工具に制振

合金を用いることの有効性を示唆することができた.

参考文献

1) 株式会社 セイシンエンジニアリング:制振合金各分野における実用例,

技術資料

謝辞

本研究を行うにあたり,西日本工業大学 吉丸将史 助教に御指導

いただきました.厚く御礼申し上げます.

(a)Damping Alloy (b)Cemented Carbide

Fig.5 Flank Wear (Cutting Length 50m)

Fig.6 Spectral Density [80Hz]

Fig.7 Surface Roughness (b)Cemented Carbide

Fig.3 Microphotographs of Cutting Surface

(Cutting Speed 500m/min)

(a)Damping Alloy

1mm

1mm

50μm 50μm

0

5

10

15

20

25

30

100 200 300 400 500 600Cutting Length[m]

Roughness[µmRz]

Damping Alloy Cemented Carbide

Fig.2 Surface Roughness

10

15

20

25

30

0 10 20 30 40 50 60Cutting Length[m]

Density[-dB]

Damping Alloy Cemented Carbide

0

20

40

60

80

100

0 10 20 30 40 50 60Cutting Length[m]

Tool Wear[µm]

Damping Alloy Cemented Carbide

0

1

2

3

4

0 10 20 30 40 50 60Cutting Length[m]

Roughness[µmRz]

Damping Alloy Cemented Carbide

Fig.4 Flank Wear

ガラス基板の研磨におけるパッド溝形状が及ぼす影響

The effect caused by groove on CMP on glass hard disk

山上 雄史(九州大学) ○土肥 俊郎(九大),黒河 周平(九大),山崎 努(九大),

瀬下 清(株式会社ワイテクノ)

Yuji Yamagami, Kyushu University, motooka 744, Fukuoka nishi-ku , Fukuoka

Dohi Toshirou, Kyushu University,Kurokawa Syuhei, Kyushu University,Yamazaki Tsutomu Kyushu University

Key Words : CMP, groove, removal rate, slurry flow rate

1. 緒言

HDD 用のガラス基板の CMP に用いられるスラリーに

用いられているセリウムは希少金属であり,産出量の 9 割

以上を中国が占めている.これに対し中国はレアアース

の乱開発による枯渇問題から,2009 年 5 月にレアアース

の輸出量を制限することを発表した.その輸出制限によ

るレアアースの価格の高騰が懸念されており,代表的な

スラリーメーカーも 2010 年 11 月出荷分からセリアの価

格を 4 倍に引き上げた.また,セリウムはガラスの研磨

剤としてだけではなく,ガラス添加剤,製鋼原料やなど

様々な用途にも用いられている.使用量こそ少ないが非

常に重要であることから,限りある資源の有効利用のた

めにも,セリウムの代替材料の開発及びセリウムのさら

なる効率的な使用法の確立がのぞまれている.

本研究では新しい溝形状をもつパッドを設計,試作し,

パッド溝形状による高効率な研磨を追求した.現在広く

用いられているパッド,及び今回新たに試作したパッド

を図-1 に示す.①溝なしパッド②格子溝パッド③流入型

パッド④排出型パッド⑤2way パッドの計 5 種類のパッド

を用いて,以下の実験を行った.

2.実験方法

本研究は大きく分けると 2 つの実験からなる.

実験 1. まず,パッド溝の差がスラリーの保持に効果があ

るか調査した.そのために,各パッドでスラリーを滴下

してから排出されるまでの時間をストップウォッチで測

定するといった手法を取り入れた.また,以前同一のガ

ラス基盤を繰り返し使用し実験を行った際,研磨レート

にバラつきが生じ安定した値を測定することができなか

ったことから,同一ガラス基盤での研磨回数と研磨レー

トの相関性を調べた.

実験 2. 実験 1 の結果を踏まえたうえで,各パッドでの研

磨レートのスラリー流量依存性を調べた.この際,光干

渉式表面粗さ測定機を用いて表面粗さを計測した.また,

その結果の考察として摩擦係数の測定を行った.実験 1

の同一ガラス基板を繰り返し使用した検証実験条件及び

実験 2 の条件を表-1 に示す.

Experiment 1. Experiment 2.

Sample 2inch glass substrate

Pad Polyurethane pad

Slurry CeO2 slurry

Abrasive concentration 5wt%

Platen rotational speed 60min-1

Polishing pressure 7.84kPa

Polishing time 10min 5min

Slurry flow rate 20,50ml/min 1,5,10,20ml/min

3.実験結果と考察

実験 1 パッド回転速度を 60min-1 としたときの,各パ

ッドのスラリー排出時間を図-2 に示す.スラリー流量が

増加するともに全てのパッドで排出時間が減少するが,

同一流量で各パッドのスラリー排出時間に差が見られた

ことから,パッド溝がスラリー保持にある程度効果があ

ると考えられる.

次に,一般的に使用される格子溝パッドで同一ガラス基

板で研磨を行った.研磨レートと研磨回数の関係性を図

-3 に示す.実験回数を重ねるほど,研磨レートが減少し,

ある回数以降それは収束することが見て取れる.これは

ポリッシングによる縁だれによって,基板外周部が中心

より多く除去され,研磨レートの低下が見られたのでは

ないかと考えられる.また,2 回目以降,付着物(5~13µm)

がガラス基板表面に観測され(図-4),表面粗さの悪化が見

られた.

809

(社)日本機械学会 九州学生会 第 42 回卒業研究発表講演会(No.118-2)論文集 2011/3/11

0.0

5.0

10.0

15.0

20.0

25.0

30.0

X-Y

Without

Inflow

Outflow

2ways

Slurry flow rate [ml/min]

Tim

e[s

ec]

Fig 2. Retainment of slurry for each pad

Table.1 Experimental specifications

1 2

3 4 5

Fig 1. Pad patterns

実験 2 前述の 5 種のパッドを用いて,研磨レートとパ

ッド溝形状の依存性および,各種パッドによるスラリー

流量依存性を調べた.本実験では,前実験の結果を踏ま

え,ウェーハの使用を 1 回限りと定めることとし,結果

を図-5 に示す.スラリー流量を 1ml/min から 20ml/min ま

で増加させても,全てのパッドで研磨レートに目立った

変化は見られなかった.しかし,同一スラリー流量では

パッド溝形状によって差が見られ,排出パッド,溝なし

パッドで高い値を示し,流入パッドで低い値を示した.

また,排出パッド,溝なしパッドでは 1ml/min で一番高

い研磨レートを得たことから,各パッド溝の形状により

スラリー保持能力に差が生まれ,それによって摩擦係数

に差がでたことが各パッドの研磨レートの差に繋がった

のではないかと推測した.図-6 の y 軸は測定した各パッ

ドの摩擦係数を,x 軸はパッド回転速度と粘度をかけた

ものを圧力で割った数値を表している.

これを見ると排出型パッドで摩擦係数が最も高くなっ

ている.しかし,排出型パッドの次に高い研磨レートを

示した溝なしパッドの摩擦係数が一番低い値を示した.

また,研磨レートが低かった流入型パッドが比較的高い

摩擦係数を示したため,摩擦係数以外の要因によっても

左右されている可能性がある.今後は,その要因につい

ても検討を進めていく.

光干渉式表面粗さ測定器で研磨後のガラス基板の表面

の観察を行った.実験 1 同様に,表面には無数の付着物

が見られた.しかし,付着物の量とスラリー流量の間に

は相関性がみられた.図-7 はウェーハの表面粗さをスラ

リー流量 1ml/minと 20ml/minで研磨したものとを比較し

たものである.ここでの表面粗さは付着物の定量的評価

法として用いることができるのではないかと考えた.パ

ッドによって差はあるが,全てのパッドで 20ml/min で研

磨したウェーハ方が高い表面粗さを示した.このことか

ら,研磨後のウェーハ表面付着物の量はスラリー流量に

依存していることがわかる.

4.結言

同一ガラス基板で実験を繰り返すと,研磨レートの低下

を引き起こすことがわかった.また,研磨レートの向上を

目指す条件として,パッド上のスラリー排出を促進し,ス

ラリーの新陳代謝を高めることが有効と考えられる.研磨

レートはパッドの摩擦係数のみによって決定されない.研

磨後の表面付着物の量はスラリー流量に依存する.

文 献

1) CMP 技術大系 第 1 章 p94~115 研磨パッドの溝と表面組織が CMP プロセスの性能に及ぼす効果,CMP プロセスのトライボロジー特性 著:Gregory P.Muldowling,Yun Zhuang and

Ara PhilipossianS

謝 辞

本研究を行うにあたり,土肥俊郎教授、山崎努研究員,および

㈱ワイテクノ 瀬下清様にご指導いただきました.厚くご御礼申し上げます.

0

50

100

150

200

1 2 3 4 5 6 7 8 9 10 11 12

Rem

ova

l ra

te[n

m/m

in]

Number of times

50ml/min

20ml/min

Fig 3. Relationship between removal rate

and number of polishing

Fig 4. Attachment of wafer

Fig 5. Removal rate for various slurry flow rate

Fig 6. COF for Hersey number and rotational speed

Fig 7. Relationship between glass surface

roughness and slurry flow rate

0810 エンジン用アルミ合金の穴あけ加工におけるバリ発生と抑制に関する研究 Controlling burr occurrences in drilling of holes in aluminum alloys used in engines.

○学 甲斐拓也 (熊本大) 正 牟田 博紀 (熊本大) 正 峠 睦 (熊本大)

MutsumiTOUGE,Kumamoto University, kurokami 2-39-1, kumamoto-shi, Kumamoto HirokiMUTA,Kumamoto University,

TakuyaKAI,Kumamoto University,

1. 緒言

自動車エンジンのシリンダーヘッドカバーには,アクチ

ュエータ作動用の穴が設けられており,ドリルによる多く

の穴あけ加工が施されている.被削材であるアルミ鋳造合

金は軟質金属であるため,加工時に穴端部にバリが多く発

生する.それにより,アクチュエータの動作に不具合が生

じることが問題となっている.本研究では,この問題解決

のためバリ発生メカニズムを明確にし,バリ抑制の加工法

の確立を目指した.

2. バリ発生メカニズム

Fig.1 に穴上部加工時の連続写真を,Fig.2 に穴下部加工時

の連続写真を示す.

Fig.1 より,穴上部では被削材が傾斜しているため穴侵入

時にドリルがたわみ,ドリル側面で被削材が押され,塑性

変形した部分がバリとして残っていることが確認できる.

Fig.2 より,穴下部では貫通時に被削材がドリル先端で押

されて塑性変形し,その部分を完全に切削できずにバリが

発生している.

3.実験方法および実験装置

以前の実験データより,バリ高さはスラスト力とドリル

形状に依存し,ラジアル力には依存しないことが確認され

た.そこで今回はスラスト力とドリル形状に注目し,新ド

リル(5,6 枚刃のドリル)の開発,エンドミルを用いたヘリ

カル加工および円弧切削加工を提案した.

本研究では加工装置に森精機製マシニングセンタ SV-400

を用い,厚さ 5mm のアルミ鋳造合金薄板に直径 9mm の下

穴をあけたものを水平(0°)で固定し,ドリルによる穴加工,

エンドミルによるヘリカル加工・円弧切削加工を行った.

実際は 33.9°の傾斜をつけて加工が行われるのだが,今回

は基礎データをとるために水平固定での実験を行った.

加工条件を Table 1 に,新たに試作した 5,6 枚刃の写真を

Fig.3 に示す.

4. 実験結果

Fig.4 に各ドリルによる穴加工を行った被削材の穴上部の

バリ高さの比較を,Fig.5 に穴下部のバリ高さの比較を示す.

Fig.4,5 のどちらも 6 枚刃のドリルがバリの発生を抑える

ことが出来た.一般的に穴あけ加工に用いられるドリルよ

りも刃枚数が多いため,一刃あたりの切削量が少なくなり,

スラスト力が低減されたためと考えられる.

5 枚刃のドリルは,理論上はバリ発生の抑制が期待された

が,バリの発生を抑えることができなかった.ドリルのね

じれ角は大きいほど切屑の排出性は向上する.しかし,今

回使用した 5 枚刃のドリルでは剛性などの問題からねじれ

角が小さく,切屑の排出が悪いことが原因と考えられる.

また,DLC コーティングドリルは,DLC が持つ小さな摩

擦係数によるスラスト力の低減と構成刃先発生の抑制とい

う 2 つの特徴を持つ.そのため,加工の際に工具の切れ味

が持続し,バリ高さが穴上・下部とも 10m 程度に抑えるこ

とができた.

Fig.1 Photographs of burr generation process of hole entrance

Fig.2 Photographs of burr generation process of hole exit

Table 1 Processing condition

Material Aluminum casting alloy ADC12

Rotational speed 3000 rpm

Diameter of

pre-machined hole 9 mm

Feed speed(Drilling) 300,360,450,600,900,

1200,1500 mm/min

Drills

Old model-drill Usual-drill

DLC coating-drill

5,6 edges-drill

Feed speed

(helical,circle) 1000 mm/min

End mills PCD-end mill(1,2 edges)

One cut helical-end mill(DLC)

Processing condition Dry

Fig.3 Photographs of 5,6 edges drill

(社)日本機械学会 九州学生会 第 42 回卒業研究発表講演会(No.118-2)論文集 2011/3/11

Fig.6 にヘリカル加工・円弧切削加工の模式図を示す.

ヘリカル加工は下穴よりも径の小さいエンドミルを使用

し,螺旋状の送りをかけ,仕上げ径まで加工を行う.それ

に対して円弧切削加工は,下穴にエンドミルを一気に挿入

し,x 軸方向に送りをかけた後に円運動をさせて加工を行う

ものである.

Fig.7 にヘリカル加工時の穴上・下部のバリ高さの比較を

示す.工具は PCD エンドミル(1,2 枚刃)を使用した.

Fig.7 より,1,2 枚刃のエンドミルともにバリの発生を抑

えることが出来た.それ故,ヘリカル加工はバリの発生を

抑える有効な加工法であることを確認した.

Fig.8 に PCD エンドミル(2 枚刃)を用いたヘリカル加工・

円弧切削加工とワンカットヘリカルを用いた円弧切削加工

の穴上・下部のバリ高さの比較を示す.ワンカットヘリカ

ルは,30°のねじれ角と大きなすくい角を持つため,切屑

の排出性の向上,高速送りヘリカル加工,さらに,エンド

ミル側面にも刃を持つため,円弧切削加工も可能となって

いる.

Fig.8 より,ヘリカル加工よりも円弧切削加工の方がバリ

の発生を抑えることができ,さらに穴上部・下部のバリ発

生量の差も少なくなっている.これは,円弧切削加工は,

加工時に z 軸方向の送りを行わないため,スラスト力が発

生しないという特徴を持つ.そのため,バリの発生を抑え

ることが出来たと考えられる.

また,ワンカットヘリカルエンドミルを用いた円弧切削

加工により,バリ高さが 10m 以下という優れた結果が得ら

れた.これは,ワンカットヘリカルエンドミルには DLC コ

ーティングがなされているため,摩擦と構成刃先の発生に

対する抑制効果により,バリの発生を抑えることが出来た

と考えられる.

しかし,PCD エンドミル(2 枚刃)を用いた円弧切削加工後

の穴の内面に,肉眼でわかる程の階段状の段差が確認され

た.エンドミルの刃以外の部分に被削材と干渉した傷が見

られた.段差発生の原因として,両者が干渉し,びびりが

発生したためと考えられる.

5. 結言及び今後の展望

今回の実験によって,新ドリル,ヘリカル加工・円弧切

削加工の有効性を確認することができた.しかし,PCD エ

ンドミル(2 枚刃)は加工中に刃以外の部分が被削材と干渉を

起こし,穴の形状の悪化を招いていた.

今後はその問題点の改良と改良されたエンドミルでの加

工実験,さらに水平固定から実際に加工が行われている傾

斜を 33.9°つけた冶具に被削材を固定させて実験を行い,

最適な加工条件を選定することを目的とし,さらに真円度,

同軸度,円筒度などの測定も考慮して研究を進める.

文 献

1)切削加工の基本知識,出版…日刊工業新聞社

著者…小坂弘道

謝 辞

本研究は,アイシン九州株式会社様の全面的協力のもと

行った.この場を借りて篤く御礼申し上げる.

Fig.4 Comparison of height of burr in upper part of hole

Fig.5 Comparison of height of burr in under part of hole

Fig.6 Processing of helical Processing of circle

Fig.7 Comparison height of burr in helical

Fig.8 Comparison helical and circle

0.5 1

50

100

0

3000 rpm Old modelUsualDLC coating5 edges6 edges

Processing time T sec

Heig

ht

of

bu

rr H

m

0.5 1

50

100

0

3000 rpm Old modelUsualDLC coating5 edges6 edges

Heig

ht

of

burr

H

m

Processing time T sec

0

10

20

Upper Under

Heig

ht

of

bu

rr H

m

1 edges2 edges

3000 rpm

0

10

20

PCD(2 edges) Helical

PCD(2 edges) Circle

One cut Circle

Heig

ht

of

bu

rr H

m

UpperUnder

3000 rpm

ドライホブ切り加工時の切削力と歯車精度に関する研究

Cutting force and gear precision at dry hobbing gear cutting

○学 安部 達朗(大分高専) 正 福永 圭悟(大分高専)

Tatsuro ABE, Mechanical Environment System Engineering, Oita National College of Technology, maki1666, Oita Keigo FUKUNAGA, Mechanical Engineering Department, Oita National College of Technology, maki1666, Oita

Key Words : Dry hobbing, Cutting force, Precision, Process Capability Index

1. 緒言

自然環境保護とコスト削減のために切削油を使用しない

ドライホブ切り加工が注目を浴びている.本研究では,ド

ライカットの特徴を明らかにするため,ドライ及びウェッ

トカットの切削力及び歯車精度を比較した.ドライカット

では工具摩耗や切粉かみ込みなどにより歯車品質低下が予

想される.そこで,高速度カメラを用いてドライカット時

の切粉挙動を観察したので報告する.

2. 実験方法及び実験装置

モジュール 1.75,刃数 12 枚,外径 65mmの2条ホブを

用いて,歯数 30枚,外径 56mm,圧力角 20°の黄銅製平歯

車のドライ及びウェットカット時切削力を測定した.また,

モジュール 0.5, 刃数 17 枚, 外径 38mmの1条ホブを用い

て,歯数 17枚, 外径 9.5mm, 圧力角 20°,JIS B 1702-1 5級仕

様(1)の黄銅製(C3604)平歯車を加工し,歯車精度を測定した.

ドライカットとウェットカットでそれぞれ 45 個ずつの歯

車の歯形・歯筋精度の測定比較を行った. 切削条件は表 1に示す.

また,切削力測定実験と同様の切削条件にて,ドライカ

ット時の切粉かみ込み状況を撮影した.ドライカット時に

は切削油の代わりにエアーブローを行っている.切粉挙動

撮影では,高速度カメラを用いて 2000fpsでホブ切り状況

(コンベンショナル及びクライムカット)を撮影した.

表 1 切削条件

(1) 切削力 (2) 歯車精度

切削速度 v (m/min) 32 143

送り速度 f (mm/rev) 1, 2, 3, 4 0.45

3.実験結果及び考察

3.1.1 切削力 実験によって得られた切削力データ例(C3604)を図 1 に

示す.送り速度と,最大切削力及び最小切削力の関係を表

したものである.図 1 より,ウェット及びドライカットに

はほとんど切削力の差がないことが分かる.また,切削力

は送り速度に比例しており,送り速度が 1mm 速くなる毎

に切削力は 80~100N増加することが分かった. 加工方法の違い(コンベンショナル及びクライム)によ

る切削力も比較してみたが,切削力に大きな差は認められ

なかった.したがって,本研究の実験条件範囲内では送り

速度の増減による切削力の増減は認められるが,切削油の

有無による切削力への影響はほとんどないことが分かった.

加工直後のホブとワークは直接手で触ることの出来る程度

の温度であった.したがって,ドライカット時の切削熱は

エアーブローのみで十分に冷却可能であることが分かった.

0

10

20

30

40

50

60

70

0 1 2 3 4 5

3.1.2 切削面積と切削力 3D-CAD ソフト(SolidWorks)を用いてホブ切り時の切削

面積の解析を行った.解析した切削面積の例を図 2 に,歪

ゲージによって測定したホブ切り時切削力(材料:S45C)の変化を図 3 に示す.図 2 及び図 3 から,切削面積(理論

値)と切削力(測定値)はほとんど同じサインカーブ状の

データとなることが分かる.このことから,切削力は切削

面積に比例して増減していると考えられる.送り速度の増

減によって切削力が変動したのは,送り速度に比例して,

切削面積が増減したためであると考えられる.

811

(社)日本機械学会 九州学生会 第 42 回卒業研究発表講演会(No.118-2)論文集 2011/3/11

700

600

500

400

300

200

100

0

0 1 2 3 4 5 切

削力

, N

送り速度, mm/rev 図 1 送り速度と切削力の関係

ホブ1回転周期

ホブ1回転周期

0.45 0.4

0.35 0.3

0.25 0.2

0.15 0.1

0.05 0

1200

1000

800

600

400

200

0

切削

力,

N

切削

面積

, m

m

0 90 180 270 360 ホブ回転角度, deg 図 2 切削面積

0 90 180 270 360 ホブ回転角度, deg

図 3 切削力(S45C, f=2mm/rev)

ドライ ウェット

最小

最大

切削速度 32 m/min

3.2.1 歯車精度 図 4 に歯形誤差データを示す.横軸に加工番号,縦軸に

歯形誤差を示したものである.図 4 より,ドライ及びウェ

ットカットで歯形誤差はほとんど同じであることが分かる.

歯筋誤差についても大きな差は見られず,本実験条件では

歯形・歯筋誤差共に許容誤差(JIS B 1702-1 5等級 歯形

4.6µm,歯筋 7.0µm)以内に収まっていた. 以上の結果から,

ドライカットにおいてもウェットカットと同等の歯車精度

が得られることが分かった.また,歯形及び歯筋誤差がほ

とんど同じであったのは,図 1 から分かるように,切削力

がほとんど同じであったためであると考えられる.

図 4 歯車精度

3.2.2 工程能力指数 Cp値による生産性評価 ドライカット及びウェットカット加工した計 90 個の歯

形誤差から,工程能力指数 Cp 値を算出した.その結果を

表 2 に示す.表 2 より,歯形誤差については Cp 値の差が

最大で 0.51であり,ドライカットとウェットカットに大き

な差は見られなかった. Cp値は一般的に 1.33以上あれば

生産上問題ないとされている(2).そのため,本実験条件に

おいては,ドライカットでもウェットカットとほぼ同等で

生産上問題がないことが結論付けられる.

表 2 Cp値 (歯形誤差)

ドライ ウェット

左歯形 1.68 2.06

右歯形 2.45 1.94 3.3.1 切粉挙動

高速度カメラで撮影した映像を元に切粉かみ込み発生過

程を調べた.図 5 にコンベンショナル及びクライムカット

における切粉挙動を示す.図 5 に示すように,コンベンシ

ョナルカットでは一定時間切削すると切り粉が入り込む隙

間(歯溝)が発生する.コンベンショナルカット時は,この

歯溝へ切粉が巻き込まれる.クライムカットでは,ホブ切

りの進行状況に関わらず歯車歯溝側に切り粉が入り込む隙

間が発生しない.このため,切粉は歯車歯溝に巻き込まれ

ず,歯車外径部に弾き飛ばされることで,ホブ溝側に巻き

込まれる.

図 5 切粉挙動(左:コンベンショナル,右:クライム)

3.3.2 歯車損傷 図 6 にコンベンショナルカット及び,クライムカットに

より加工した歯車への切粉かみ込みによる損傷を示す.切

粉が歯車歯溝側に巻き込まれるコンベンショナルカットで

は,図 6 左に示すように切粉かみ込みによる損傷は歯車歯

面側に現れることが分かった.一方,歯溝側に隙間が発生

せず,切粉がホブ溝側に巻き込まれるクライムカットでは,

図 6 右に示すように歯車外径部側に損傷が発生することが

分かった.

図 6 歯面損傷

4. 結言

本研究の実験条件範囲内で得られた主な結果を以下に示

す.

(1) 切削力はドライ及びウェットカットでほとんど同じで

あり切削油の切削力低減効果は認められなかった.切削力

と切削面積のデータは似たサインカーブ波形を示すことか

ら,切削力は切削面積に比例して増減することが分かった.

さらに,加工後のワーク及び工具の温度上昇は見られず,

ホブ・ワーク間へのエアーの吹きつけのみで十分に冷却可

能であることが分かった. (2) 歯形誤差及び歯筋誤差はドライカットとウェットカッ

トでほとんど同じであることが分かった.生産能力を示す

工程能力指数もほとんど同じ値を示した.従って,ドライ

カットによる歯車精度への影響はほとんどないことが分か

った. (3) ホブ切り加工ではコンベンショナルカット時に歯車歯

溝側,クライムカットでホブ溝側に切粉が巻き込まれる.

そのため,切粉による歯車損傷はコンベンショナルカット

で歯車歯面側,クライムカットで歯車外周側に現れる.歯

車外周側はかみ合い時に接触しないため,あまり精度は必

要とされない.歯車の品質としては歯面側に損傷がないこ

と(歯面側の精度が高いこと)が求められる.従って,ド

ライカットでは歯面に損傷の現れにくいクライムカットで

歯車加工を行うことが望ましいと考えられる.

文 献 1) 日本規格協会,JISハンドブック 7 機械要素,平文社,

(2009),pp.1944-1945,p.1953,p.1955 2) 真壁肇,品質管理,朝倉書店,(1974),pp.234-235 3) 海野邦昭,切削油剤基礎のきそ,日刊工業新聞社,

(2009),p.41 4) 安部 達朗,福永 圭悟:日本機械学会九州支部 平成

21年度卒業研究発表講演会論文集,p.405-406

謝 辞

本研究を行うに当たって必要な工作機械や実験装置等の

研究環境を用意してくださいました大田精工株式会社殿や,

三菱電機(株)井上俊二氏に厚くお礼申し上げます.

外径部損傷

5

4

3

2

1

0 0 20 40 60 80

加工番号

歯形

誤差

, µm

切粉の 入り込む隙間

ワーク 回転方向

ホブ 回転方向

切粉挙動 ワーク

回転方向

歯面損傷

ドライ ウェット

CMP におけるスラリー液層膜厚に関する研究

Study on slurry film thickness between polishing pad and wafer during CMP

○学 深川 博信(九工大情報工)

[指導教員]正 鈴木 恵友, 正 木村 景一, 正 パナート・カチョーンルンルアン

Hironobu FUKAGAWA Keisuke SUZUKI, Keiichi KIMURA, Panart KHAJORNRUNGRUANG

Kyushu Institute of Technology, kawazu680-4, iizuka-shi, Fukuoka

Key Words : CMP, liquid layer thickness, polishing pad, laser displacement meter 1. 緒言

近年,超 LSI の高性能化に伴い,半導体素子では高集積化

や多層配線化が進行している.そのため CMP(Chemical mechanical polishing)に要求さる性能スペックは年々厳しく

なっており,均一性や平坦性など,さらなる改善が必要とな

っている.これまで CMP の研究としては,既存の材料で研

磨条件を経験的に最適化させる手法が主流であったが,より

高い性能を実現させるためには,材料除去メカニズムを考慮

したプロセス最適化が必要となる.しかしながら,現状では

材料除去メカニズムに関して,ウェハに対するポリシングパ

ッドの接触状態 1)や研磨微粒子の凝着による除去現象 2)に関

する評価が行われてきたが,スラリー液層厚など未解明な部

分もあり,研磨における最適化手法へ適用するレベルに至っ

ていない.そこで,本研究では研磨中における基板変位を測

定することでポリシングパッド-ウェハ間の液層膜厚の変

化量を解析したので,以下に報告する. 2. 実験方法

実験装置を Fig.1 に示す.液層膜厚の測定方法及び評

価方法は Fig.2に示すように,レーザー変位計(KEYENCE LT-9010M)によりウェハの代替として用いた石英基板

(φ200mm,厚さ 50mm)上面の変位の変化量を測定する

ことで,シフト量として見積もった.本実験では溝形状

による浮上量に対する影響と定盤回転数に対する傾きに

対する評価を行った.溝形状の評価としては,

IC1000/SUBA400(ニッタ・ハース製)ポリシングパッド

の 3 種類の溝形状(K-Groove・XY-Groove・W/O-Groove)を使用した.傾きの評価としては,K-Groove のみで行っ

た.傾きの評価における液層膜厚の測定点を Fig.3 に示

す.ここではウェハの中心(B)から内側(A)と外側(C),スラリー流入側(D)と流出側(E)へそれぞれ 80mm 離

れた位置で測定した.実験条件を Table.1 に示す.ここで

はスラリーの代替として使用した D.I.W の滴下位置は定

盤中心部に設定した.流量は 100ml/min である.石英基

板と定盤を同一回転数に設定し,回転数 30,45,60,75rpmにおける液層膜厚変化量の測定を行った.

Fig.1 Experimental device

Fig.2: Schematic of measuring system

Fig.3: Measurement points

Table.1: Experimental conditions

3. 実験結果及び考察

3.1 評価方法

石英基板の変位量を正確に測定するため,定盤の回

転軸のぶれなど,他の要因を除外する必要がある.定

盤 の回転方向に対する変位の変化量を Fig.4 に示す.

Wafer(φ200) Quartz substrate

Lubricating liquid Water

Liquid flow rate 100[ml/min]

Weight 34.5[kg]

Platen revolution 30,45,60,75[min-1]

Wafer revolution 30,45,60,75[min-1]

Polishing pad type (φ455) IC1000(K-Groove)

IC1000(XY-Groove) IC1000(W/O-Groove)

0812

ここでは横軸を時間[s]とし,縦軸を変位量 Z[μm]とす

る.このとき定盤の回転数は設定可能な最少回転数

(13rpm)に設定した.ここでは変位量 Z の変化量は,定

盤の回転周期と一致する.この傾向から,定盤による

変位量の変化は定盤の傾きによるものと考えられる.

そのため,補正手法として,定盤の回転時に発生する

変位の最高点と最低点を抽出し,2点の平均値を代表

点として採用した.この代表点による再現性を検証す

るため 10 点計測したときの標準偏差は 0.258[μm]であ

った.

Fig.4: Position of upper side of quartz substrate

3.2 溝形状評価

溝形状に対する液層膜厚に関する評価結果を,Fig5に示す.ここでは横軸に回転数[min-1],縦軸に変位量

Z[μm]とし,IC1000/SUBA400(ニッタ・ハース製)3種類の溝形状(K-Groove・XY-Groove・W/O-Groove)における石英基板中心(B)点のみのプロットした.ここ

では変位の値がパッドの張り替え毎に再現できない

ことと,30[rpm]以下の回転数が制御できない,という

制約条件とがあるため,30[rpm]を基準とした時のシフ

ト量(相対量)をプロットする.この結果から,3 種

類の溝形状すべてに対して回転数の増加に伴い石英

基板の変位量 Z[μm]が増加する傾向がみられる.この

変化量は,K-Groove が XY-Groove・W/O-Groove に比

べて変位量の増加が大きいことが確認された.この傾

向から,K-Groove の溝形状である同心円状溝はスラリ

ーの流入量が高くなりやすいことが影響しているも

のと推測される. 3.3 傾きの評価

IC1000/SUBA400(K-Groove)における液層膜厚の回

転数依存性に関する評価結果を Fig.6,Fig.7 にプロッ

トする.Fig.6 は,石英基板の内側(A),中心(B),外側

(C)の 3 点の変位シフト量,Fig.7 はスラリー流入側(D),中心(B),流出側(E)の 3 点の変位シフト量を示す.

Fig.6 では,3 点とも回転数の増加に伴い石英基板の

変位量 Z[μm]が増加する傾向がみられる.同時に,外

側(C)点における変位の増加量は内側(A)点におけ

る変位の増加量に比べて大きいことが確認された.こ

の傾向から,回転数の増加に伴い定盤内側が低く,定

盤外側が高くなると考えられる. Fig.7 では,3 点とも回転数の増加に伴い石英基板の

変位量 Z[μm]が増加する傾向がみられる.同時に,ス

ラリー流入側(D)点における変位の増加量はスラリ

ー流出側(E)点における変位の増加量に比べ大きい

ことが確認された.この傾向から,回転数の増加に伴

いスラリー流出側が低く,流入側が高くなる傾きにな

ると考えられる.

Fig.5: Change of the position as a function of Rotation speed

using K, XY and W/O-Groove polishing pad.

Fig.6: Change of the position at measurement point A, B and

C as a function of the Rotation speed.

Fig.7: Change of the position at measurement point A, B and

C as a function of the Rotation speed.

結言

レーザー変位計によるスラリー液層膜厚を測定した結

果,K−Groove が XY、W/O-Groove より浮上量が大きい

ことが確認された.さらに,K−Groove では回転数の増加

に伴い,定盤内側が低く,定盤外側が高くなるように傾

き,同時にスラリー流出側が低く,流入側が高くなる傾

くことを示す結果も得られた.本講演ではこれらの結果

に加え,コンディショニングによる影響も報告する. 4. 参考文献

1) 岡本英一郎,木村景一ら;2010 年度精密工学会全国 春季大会講演論文集, pp. 737-738(2010.3)

2) 木村景一ら;2010年度精密工学会全国春季大会講演 論文,pp. 737-738(2010.9)pp147-148

5. 謝辞

本実験を行うにあたりニッタ・ハース株式会社,株式

会社 KEYENCE の御協力を賜りました.この場を借りて

厚く御礼申し上げます.

Table1 Cutting conditions

Fig4

Fig5

Cutting surface

Fig4

Fig5

Axial depth of cut

Fig4

Fig5

Work piece

Fig4

Fig5

Table2 Cutting conditions

Fig4

Fig5

Experiment2 Experiment3

Revolution of spindle [min-1

] 3979, 4377, 4775, 5180 3183

Cutting speed [m/min] 100, 110, 120, 130 80

Feed [mm/min] 955, 1050, 1146, 1250 305, 382, 572, 764

Feed rate [mm/tooth] 0.08 0.032, 0.048, 0.064, 0.08

Axial depth of cut [mm]

Radial depth of cut [mm]

Work piece

Atmosphere

9.6

0.8

NAK80

Dry

Revolution of spindle [min-1

]

Cutting speed [m/min]

BEETLE Versatile tool

119, 143, 167, 191 159, 190, 222, 254

Feed rate [mm/tooth]

Axial depth of cut [mm]

Radial depth of cut [mm]

Diameter of tool [mm]

Work piece

Atmosphere

Experiment1

NAK80

Dry

1989, 2387, 2785, 3183

50, 60, 70, 80

0.02

Feed [mm/min]

8

9.6

0.8

Rotational direction Radial depth of cut

Fig4

Fig5

二種の異形状切れ刃を有するエンドミルの切削特性に関する研究 Research on cutting characteristics of end mill with two cutting edges kinds of different shapes

○学 牧尾 信平(九工大・情報工),学 伊藤 悠太(九工大院・情報工) [指導教員]正 吉丸 将史(西工大),正 是澤 宏之(九工大),正 楢原 弘之(九工大),正 鈴木 裕(九工大)

Shinpei MAKIO, Kyushu Institute of Technology, kawazu, 680-4, iizuka-shi, Fukuoka

Yuta ITO, Kyushu Institute of Technology graduate school, kawazu, 680-4, iizuka-shi, Fukuoka

Masafumi Yoshimaru, Nishinippon Institute of Technology, aratsu, 1-11, kanda-machi, miyako-gun, Fukuoka

Hiroshi KORESAWA, Kyushu Institute of Technology, kawazu, 680-4, iizuka-shi, Fukuoka

Hiroyuki NARAHARA, Kyushu Institute of Technology, kawazu, 680-4, iizuka-shi, Fukuoka

Hiroshi SUZUKI, Kyushu Institute of Technology, kawazu, 680-4, iizuka-shi, Fukuoka

Key Words: variable pitch end mill,variable helix end mill,cutting

1.緒論

金型加工分野における切削加工は,除去効率の高さおよび

加工時間の短さから,形状加工において重要な役割を占めて

いる.更なる加工リードタイムの短縮,工具寿命の向上,

高精度化を目指し,切削加工技術は工具形状,材質,加工

方法といった様々な面から日々進展を続けている.特に,

工具形状に関しては,近年の研究により不等ピッチ形状

および不等リード形状が,びびり振動の抑制,切削抵抗の

低減に効果があるといった報告 1)2)が為されている.研削

加工技術が進展するにつれ,より複雑な形状を工具諸元へと

採用することが可能になっている.

本研究では,不等ピッチ形状として荒刃と仕上げ刃を有し,

かつ不等リード形状としてウェーブ状の切れ刃を有する

エンドミル(以下,BEETLE と示す)を用い,不等ピッチ形状

および不等リード形状が切削性能に及ぼす影響に関して

調査を行う.本報では,金型材を対象とし,BEETLE と等

リード等ピッチエンドミル形状の汎用工具の切削特性の比

較および,BEETLE の最適な切削条件の探索を行ったので,

報告する.

2. 工具諸元に関する検討

2.1 不等ピッチ形状

不等ピッチは,底刃の分割を不均等にすることで,個々の

切れ刃に作用する切削力が異なり,びびり振動の抑制効果が

期待できる.本研究では,荒刃と仕上げ刃を 1 セットとする

3 枚刃(計 6 枚刃)の不等ピッチ形状を有する工具にて切削を

行い,不等ピッチ形状に関する評価を試みる.

2.2 不等リード形状

不等リードは,切れ刃のねじれ角が不均等なため,切れ刃

がワークに食い付くタイミングや切削力が異なり,制振効果

が期待できる.本研究では,異なる 2 種類のリード角を

滑らかに接続したウェーブ状リードを有する工具にて切削

を行い,不等リード形状に関する評価を試みる.

3. 実験内容

実験 1 では,BEETLE と汎用工具の切削特性を比較する

ため,NAK80 を対象とした側面切削を行った.その後,

BEETLE の加工効率を上げるために,実験 2 では,一刃送

り量を 0.08[mm/tooth]に増加させ,切削速度を 100[m/min]

から 130[m/min]までの 4 条件にて実験を行った.実験 3

では,実験 1,2 の結果から最も良好な加工面が得られた

切削速度を採用し,一刃送り量を 0.032[mm/tooth]から

0.08[mm/tooth]までの 4 条件にて実験を行った.実験 1

の切削条件を Table1 に,実験 2,3 の切削条件を Table2

に示す.また,加工方法を Fig.1 に示す.工作機械には,

株式会社牧野フライス製作所製マシニングセンタ V56i を

使用した.加工後の工具刃先,加工面状態を株式会社キーエ

ンス製デジタルマイクロスコープVHX-100 にて観察し,加工

面の表面粗さの評価には株式会社東京精密製サーフコム

110B を用いた.

Fig.1 Cutting method

Feed direction

Fig4

Fig5

813

(社)日本機械学会 九州学生会 第 42 回卒業研究発表講演会(No.118-2)論文集 2011/3/11

Fig.6 Tool condition

Fig4 Fig5

0

5

10

15

50 60 70 80

BEETLE

Versatile tool

V = 80[m/min]

0.0

0.5

1.0

1.5

2.0

2.5

0.032 0.048 0.064 0.08

4. 実験結果及び考察

まず,加工面の状態について評価する.実験 1 の条件にて

切削した加工面の拡大写真を Fig.2 に,実験 2 の条件にて

切削した加工面の拡大写真を Fig.3 の(a),(b)に,実験 3 の

条件にて切削した加工面の拡大写真を Fig.3 の(c)に示す.

Fig.2 より,同条件での BEETLE と汎用工具の加工面を比較

すると,汎用工具で切削を行った場合,加工面にカッター

マークが発生しているが,BEETLE の場合ではカッター

マークは見られず,良好な加工面を得ていることが確認でき

る.次に Fig.3 の(a),(b)より,一刃送り量を実験 1 の条件

より大きくした場合,実験 2 のすべての条件において

カッターマークが発生し,加工面が悪化する結果となった.

そこで,実験 1 で表面粗さが最小となった切削速度

80[m/min]を採用し,一刃送り量を変動させ実験を行った結

果,Fig.3 の(c)に示すように一刃送り量 0.08[mm/tooth]にお

いて良好な加工面が得られた.

次に,加工面の表面粗さについて評価する.実験 1 の条件

にて切削した加工面の表面粗さを Fig.4 に,実験 3 の条件に

て切削した加工面の表面粗さを Fig.5 に示す.Fig.4 より,

BEETLE では,全ての条件において汎用工具よりも小さい

表面粗さを得た.その中でも,切削速度 80[m/min]時に最小

値 0.616μmRz を得た.BEETLE は不等ピッチ不等リード形

状であることから,切削抵抗が低減され,びびり振動を抑制

し,良好な加工面を得たと考えられる.

最後に,実験 1 の加工前,加工後の工具刃先の拡大写真を

Fig.6 に示す.汎用工具では加工後の刃先に損傷が見られた.

しかし,BEETLE では工具に大きな損傷は見られなかった.

また,汎用工具での加工面の悪化は,工具刃先の損傷による

刃先形状の転写や,びびり振動による過切削が起因している

と考えられる.

5. 結論

NAK80 を対象として,BEETLE と汎用工具による

切削実験を行い,以下の結論を得た.

(1) 実験 1 の条件において,汎用工具よりも BEETLE の

方が良好な加工を行うことができる.

(2) 今回の条件において BEETLE での切削速度の最適値は

80[m/min]である.

(3) BEETLE は,切削速度 80[m/min]では,一刃送り量を

上げても,表面粗さ 2.5μmRz 以下の加工面を得ること

ができる.

[謝辞]

本研究を行うにあたり,株式会社大光研磨に工具の提供を

していただき,鈴木 裕教授にご指導いただきました.厚く

お礼申し上げます.

(a)BEETLE (b)Normal Tool (a)BEETLE (b)Normal Tool V=50m/min V=80m/min

f=0.02[mm/tooth]

(a) V=100[m/min] (b) V=130[m/min] (c) V=80[m/min]

f=0.08[mm/tooth]

参考文献

1)社本英二他 : 不等ピッチエンドミルによる再生型びびり振動

の抑制,平成 14 年,日本機械学会論文集

2)岩部洋育 : 不等リードエンドミルの切削機構に関する基礎研

究,平成 3 年,日本機械学会論文集

Fig.4 Surface roughness(BEETLE, Versatile tool)

Fig4 Fig5

Cutting speed[m/min]

Fig4 Fig5

Fig.3 Cutting surface (BEETLE)

Fig4 Fig5

Fig.2 Cutting surface(BEETLE, Versatile tool)

Fig4 Fig5

Fig.5 Surface roughness(BEETLE)

Fig4 Fig5

Su

rface

rou

gh

ness

Rz[μ

m]

Fig

4

Fig

5

Feed rate [mm/tooth]

Fig4 Fig5

Before cutting After cutting

(a)BEETLE

Before cutting After cutting

(b)Versatile tool

Su

rfa

ce r

ou

gh

ness

Rz[μ

m]

Fig

4

Fig

5

有機 EL太陽電池におけるスプレー塗布法と膜厚操作 Spray application method and control of a film thickness for organic EL solar cell

○学 村田昌彦(九大),正 土肥俊郎(九大),正 黒河周平(九大) 正 大西修(九大),学 岩橋孝典(九大),

三宅邦仁(住友化学),宮地計二(旭サナック),小林義典(旭サナック)

Masahiko MURATA, Kyushu University, 744 Motooka, Ni shi-ku, Fukuoka,Japan Toshiro DOI, Syuhei KUROKAWA, Osamu Onishi, Takanori IWAHASHI,Kyushu University

Kunuhito MIYAKE, Sumitomo Chemical Co.,Ltd.,6 Kitahara, Tukuba, Ibaraki, Japan Keiji Miyachi, Yoshinori KOBAYASHI, Asahi Sunac Corporation,5050,Asahimae,Owariasahi,Aichi,Japan

Key Words : Organic Solar Cell, OPV, Spray coating, P3HT, PCBM

1. 緒言

近年,環境負荷の大きい化石燃料に代わるクリーンなエネ

ルギー源として,太陽電池は大きな注目を集めている.現在

の主流は無機系太陽電池であるが,原材料となるシリコンウ

ェハなどの供給に制限があり,より普及拡大をしていくため

には,新材料を用いた次世代太陽電池の開発が必要不可欠で

ある.そうした背景から次世代太陽電池として注目を集めて

いるのが有機系太陽電池であり,その中でも有機薄膜太陽電

池は,ポリマ系半導体材料やフラーレン等の有機材料の塗布

プロセスによって作成され,安価,軽量,フレキシブルとい

った特徴を備えるため,様々な用途が期待されている 1),2).

現在,有機薄膜太陽電池製造プロセスの主流となっている

方法がスピンコート法である.スピンコート法は有機膜の成

膜のための操作条件が尐なく,膜厚の調整が容易である反面,

材料の利用効率が数%程度と非常に悪く,大面積化も困難な

ため次世代太陽電池の製造法としてはコスト面で大きな問

題を抱えている.

そこで本研究では,有機膜の成膜方法としてスプレー成膜

を用いて,材料の利用効率を大幅に改善することで製造コス

トの低下を計り,さらに高い変換効率が得られる最適成膜条

件を見出し,実用に足るレベルの有機薄膜太陽電池製造を目

指す.

本報では,太陽電池製造への第一段階として,スプレー塗

布法による有機膜の成膜メカニズムを追求しつつ,成膜条

件の分析と最適条件について検討する.

2. 実験方法と噴霧条件

実験に使用する有機材料には,有機薄膜太陽電池の材料

として主流である 3),P3HT(Poly(3-hexylthiophene-2,5-diyl))とPCBM(Phenyl-C61-Butyric-Acid-Methyl Ester)(Fig.1)を使用し,

P3HT/PCBM を MCB(mono chlorobenzene)に 1wt%濃度に調整し

た溶液を準備した.

Fig.2 に実験のスプレー概略図を示す.

また,噴霧条件について実験中固定した数値を Table1

に,変化させた数値を Table2 に示す.

Table1 Fixed spray condition

ガン速度 30 m/min

基盤サイズ 縦 20 mm 横 40 mm

ワークサイズ 縦 90 mm 横 200 mm

ピッチ 10 mm

パス回数 10 回

捨て吹き時間 10 sec

Table2 Controlled spray condition 吐出量 7.5,10,12.5,20 g/min

スプレー距離 100,150 mm

霧化エア(AA) 0.05,0.10,0.15 MPa

パターンエア(PA) 0.09,0.18,0.27 MPa

エキシマ UV 処理 あり,なし

また,目標膜厚は標準的な膜厚として 100nm とし,スプ

レーノズルは二流体霧化方式を使用している.

以下に実験の手順を示す.

1. ガラス基板に溶液を噴霧後,300 秒放置しレベリング

を行う

2. 真空乾燥装置を使用し,300秒で 10Pa程度まで減圧し,

乾燥させる

3. 90℃のホットプレートで 120 秒の加熱処理を行う

3. 実験結果

まず,溶液の特性を見るために溶液の吐出量を 7.5,10,

12.5g/min と変化させて噴霧を行った.他の条件については,

スプレー距離:150mm,霧化エア:0.10MPa,パターンエ

ア:0.18MPa,エキシマ UV 処理:なし,で固定している.

Fig.3 に光学顕微鏡による観察結果を示す.Fig.3 は吐出

量 10g/min での基板表面状態であり,画像左側が倍率 200 倍,

右側が倍率 1000 倍である.

Fig.3 の B から,ガラス基板上に溶液の膜が形成されて

おらず,基板に付着した粒子が潰れ,中央部がへこんだ形

状をとっていることがわかる.

これらの粒子状態は,吐出量 7.5,12.5g/min で噴霧した基板

においてもほぼ同様の状態を示していた.

814

Fig.1 P3HT/PCBM

Fig.2 Outline drawing of spray condition

膜の形成が行われなかった理由として,ガラス基板と溶

液の濡れ性が低く,溶液がガラス面に付着後に平坦化する

こと無く固着したのではないかと考えられる.そこで,濡

れ性の改善を施す為,ガラス基板にエキシマ UV 処理を行

った.

Fig.4にエキシマ UV処理の有無での表面状態の比較画像

を示す.撮影には VSI を使用し,倍率は 10 倍である.

UV処理以外の条件は,吐出量 10g/min,スプレー距離 150mm,

霧化エア:0.10MPa,パターンエア:0.18MPa で固定している.

UV 処理の結果として,溶液の基板付着後に粒子が広がっ

ていることが見て取れるが,膜の形成までには至っていな

い.この理由として,平坦化する前に溶液が乾燥して固着

していることが考えられる.

さらにスプレー距離,吐出量を変化させて最も状態のよ

かった条件の画像を Fig.5 に示す.条件は UV 処理あり,

吐出量 20g/min,スプレー距離 100mm である.

Fig.4 と比較して,粒子

の平坦化は進んでいるが,

未だムラが多く平坦な膜の

形成には至っていない.

ここで,UV 処理あり,吐出量 20g/min,スプレー距離 100mm

で条件を固定し,霧化エア(AA),パターンエア(PA)を変化

させた場合の表面状態を観察した(Fig.6).

Fig.6より AA,PAの変化により表面状態が大きく変化し,

膜の形成に成功した.特に,②では非常に平坦な膜を形成

し,膜厚は 400nm ほどであった.①では多尐のムラはある

ものの,目標膜厚である 100nm 程度の膜厚を形成した.

4. 考察

ガラス基板に膜が形成されなかった現象は,UV 処理で濡

れ性を改善し平坦化を促進させ,スプレー距離を近づけ,

吐出量を増やすことで一定の改善が得られた.

最終的に平坦な膜を作り出した AA-PA 操作について.

AA の増加には粒子の微粒化,PA の増加には粒子速度の

低下という性質が有ることがわかっている.当初基板上に

膜が形成されなかった理由としては,使用した溶媒(MCB)

の乾燥性が高かったために,AA の高い状態では粒子が微粒

化するために乾燥しやすく,PA の高い状態では基板付着ま

での時間が長くなるために乾燥が進み,基板付着後に平坦

化できなかったことが原因と考えられる.また Fig6 から,

①の状態から PA のみ,AA のみを下げた膜を比較すること

によって,膜の平坦化には AA よりも PA を減尐させた場合

の効果が大きいといえる.

ここで①の状態は,Fig.5 と同様の条件による実験である

が,この表面状態に差が出た理由として,実験の間隔が開

いたために,保存中の溶液に変化が生じた事が考えられる.

この場合,僅かな濃度変化が起きた可能性が高く,濃度に

よる最適な AA-PA が存在することを示唆している.今後こ

の裏付けを取るために,溶液の時間経過でどういった変化

が生じるか,また残った溶液の分析なども行う必要がある.

なお,①では平均的な膜厚は 100nm 程度であり,Fig.5の膜は非常に荒く 30nm から 300nm までの起伏が存在する

ため正確な判断は難しいが,塗着した溶液の体積自体に大

きな変化はないと思われる.

5. 結言

太陽電池制作の初期段階として,スプレー塗布法による

有機膜の成膜条件の分析を行った.

特に乾燥性の高い溶液においては,膜の形成が阻害され

ることがあり,その対策として吐出量やスプレー距離の改

善は勿論,二流体霧化方式スプレーノズルを用いた噴霧に

おいては,AA-PA の変化による噴霧した粒子のサイズや基

板までの到達時間の変化が大きな影響をおよぼすことがわ

かった.

今後の展開としては,溶液の分析とその性質に対する

AA-PA の最適条件と,目標膜厚 100nm で平坦な膜をつくる

ための条件の模索などが必要であり,実際のデバイスを作

成するために,より詳細な条件での実験を行っていく.

文 献 1) 阪井 淳,河野 謙司, 塗布形成法による積層型有機薄膜太陽

電池, パナソニック電工技報(Vol. 57 No. 1)

2) 小出直城,千葉恭男,韓礼元, 色素増感太陽電池の特性評価技術, シャープ技報第 93 号・2005 年 12 月

3) Seok-In Na, Byung-KwanYu, Seok-SoonKim, DoojinVak, Tae-SooKim, Jun-Seok Yeo, Dong-YuKim, Fully spray-coatedITO-freeorganicsolarcellsforlow-costpowergeneration,Solar EnergyMaterials&SolarCells94(2010)1333–1337

Fig.4 Parallel between with and without UV conduct

Fig.5 Improved discharge rate and spray distance

Fig.3 Surface condition of discharge rate in 10g/min

A

B

20μm 100μm

100μm 100μm

100μm

100μm

Fig.6 Effect of changing AA-PA to surface condition

815 積層造形ゲルの構築を目的としたディスペンサーによる造形手法の検討

Study on modeling method by dispenser for the purpose of construction of deposition gel

○学 古城 吉啓(九工大・情)

正 鈴木 裕(九工大・情) 正 楢原 弘之(九工大・情) 正 是澤 宏之(九工大・情)

Yoshihiro FURUKI, Kyushu Institute of Technology, kawazu680-4, iizuka, Fukuoka

Hiroshi SUZUKI, Kyushu Institute of Technology

Hiroyuki NARAHARA, Kyushu Institute of Technology

Hiroyuki KORESAWA, Kyushu Institute of Technology

Key Words : alginic acid, calcium chloride, dispenser

1. 緒論

試作品を短時間で作製するラピッドプロトタイピングに

おいて主に使用されている手法として,積層造形法がある.

積層造形法とは,任意の 3 次元モデルを,高さ方向に一定

間隔でスライスして得られる 2 次元の層を接合することに

よって,3 次元モデルを作成する手法である.

この手法は,金型を必要としないため,多品種少量生産

に向いているという利点がある.この利点を利用して,現

在では,医療用積層造形モデルと呼ばれる,実際の患者の

スキャンデータから作製した骨格,臓器,血管などの 3 次

元モデルを使用した手術前のシミュレーション,患者ごと

にカスタマイズが必要なインプラント,矯正器具のデザイ

ンなどの,積層造形法の医療分野における応用が急速に進

んでいる.1)

本実験では,医療用積層造形モデルの材料として使用さ

れている,アルギン酸ゲルのディスペンサーによる 3 次元

積層造形を目的とし,積層を行うための手法の検討を行っ

たので報告する.

2. アルギン酸の特徴

アルギン酸とは,コンブ,ワカメに代表される褐藻類に

特有な天然多糖類である.本実験では,アルギン酸ナトリ

ウムの水溶液(以下アルギン酸と記す)を塩化カルシウムの

水溶液(以下塩化カルシウムと記す)に滴下することによっ

て,ゲル化させる.また,3 次元積層造形を行うにあたり,

アルギン酸の粘度が重要なパラメータであるため,測定し

たアルギン酸濃度別粘度を Fig.1 に示す.

0

5000

10000

15000

20000

25000

0.0 1.0 2.0 3.0 4.0 5.0 6.0 Vis

co

sity

of

alg

inic

acid

[mP

a・s

]

Alginic acid concentration[%]

Fig.1 Viscosity of alginic acid

3. 実験装置の作製

実験装置の概観を Fig.2 に示す.ディスペンサーSCREW

MASTER MSD-1(武蔵エンジニアリング製),移動ステージ

SGSP20-35,SKIDS-60YAW,2 軸ステージコントローラ

Mark-102(いずれもシグマ光機製)を使用する.ディスペン

サーの吐出部を移動ステージに取り付けることにより,吐

出部を 3 軸方向に移動可能にすることで任意の位置で材料

を吐出可能とし,造形装置としての機能を持たせた.

Fig.2 Experimental equipment

4. ライン状ゲル形成実験

4.1 実験方法

アルギン酸を塩化カルシウムに連続的に吐出し,ライン

状にゲル化させる.吐出するアルギン酸,塩化カルシウム

の濃度,吐出後のライン状のゲルの状況の関係性を実験に

より求める.今回の吐出実験では,アルギン酸と塩化カル

シウムの濃度をそれぞれ 1.0~5.0%準備し,すべての組み合

わせで実験を行った.実験条件を Table1 に示す.

Table1 Experimental condition 1

Nozzle diameter[mm] 0.7 Air pressure[Pa] 100 Screw revolution[rpm] 5.1

4.2 実験結果および考察

作製したゲルの各濃度別の状態を Table2 に示す.Table2

より,塩化カルシウムの濃度の変化による吐出後のゲルの

状態に変化が見られなかったため,特徴的な 3 つのアルギ

ン酸濃度のゲルを Fig.3 示す.1.0%アルギン酸は,比較的

粘度が低いため,低い空気圧での吐出が可能であるが,吐

出が乱雑になり,ゲルが均一にならなかった.5.0%アルギ

ン酸では,粘度が高いため,吐出がスムーズにできず,ゲ

ルの径にムラが発生した.4.0%アルギン酸では,上述の二

つの問題が発生せず,滑らかなライン状のゲルとなった.

次に,ライン状ゲルの直径の測定結果を Fig.4 に示す.Fig.4

より,アルギン酸濃度が 3.0~5.0%かつ塩化カルシウム濃度

が 5.0%のときライン状ゲルの直径が,ノズル径に近い値に

なった.

Table2 Linear alginic acid gel condition

1.0 2.0 3.0 4.0 5.0

1.0 × × × × ×

2.0 ○ ○ ○ ○ ○

3.0 ◎ ◎ ◎ ◎ ◎

4.0 ◎ ◎ ◎ ◎ ◎

5.0 △ △ △ △ △

Calcium chloride concentration[%]

Alginic acid

concentration

[%]

◎:Smooth line, ○:Winding line,

△:Uneven line, ×:Not line

Revolution stage

Movement stage

Dispenser

Alginic acid

concentration[%]Linear alginic acid gel

1.0

2.0

4.0

5.0

1.0mm

1.0mm

1.0mm

1.0mm

Fig.3 Linear alginic acid gel

0.0 1.0 2.0 3.0 4.0 5.0

0.50.60.70.80.9

11.11.21.31.41.51.6

1.0 2.0 3.0 4.0 5.0

Av

era

ge d

iam

ete

r[m

m]

Alginic acid concentration[%]

Calcium chloride concentration1.0%Calcium chloride concentration2.0%Calcium chloride concentration3.0%Calcium chloride concentration4.0%Calcium chloride concentration5.0%

Fig.4 Diameter of linear alginic acid gel

5. 積層手法検討実験

5.1 実験方法

実験方法の概要を Fig.5 に示す.容器を設置した回転ス

テージを動作させた状態で,アルギン酸を連続的に吐出す

る.アルギン酸吐出後,塩化カルシウムを容器内に注ぐ.

その後,吐出したアルギン酸の上部に再びアルギン酸を吐

出し,塩化カルシウムを注ぐ(以下,このアルギン酸を積層

ゲルと記す).この積層ゲルを切断し,断面を観察する.こ

の方法は,アルギン酸の高粘度という性質を利用するため,

アルギン酸の濃度を 3.0~5.0%,ライン状ゲル形成実験の結

果を参考にし,塩化カルシウム濃度を 5.0%で実験を行う.

実験条件を Table3 に示す.

Alginic acid

Calcium chloride

Deposition gel

Alginic acidCalcium chloride

cut

Fig.5 Outline of deposition system

Table3 Experimental condition 2

Alginic acid concentration[%] 3.0 4.0 5.0

Calcium chloride concentration[%] 4.0 5.0 4.0 5.0 4.0 5.0

Nozzle diameter[mm] 0.71

Air pressure[Pa] 150 200 400

Screw revolution[rpm] 5.1

5.2 実験結果および考察

積層を行ったゲルを Fig.6 に示す.それぞれの濃度にお

いて,上下の層が接合されている.下の層のアルギン酸が

ゲル化する前に上の層のアルギン酸を吐出・積層すること

で,ゲル化する前のアルギン酸同士が接触し,ゲル化後接

合された状態となった.また,アルギン酸濃度の上昇とと

もに,ゲルの直径が減少している.これは,アルギン酸濃

度が上昇すると,粘度が上昇し,吐出後,ゲル化前のアル

ギン酸の形が崩れにくくなったためと考えられる.

1.0mm

1.0mm

1.0mm

Alginic acid

concentration

3.0%

Alginic acid

concentration

4.0%

Alginic acid

concentration

5.0%

Calcium chloride

concentration

5.0%

Fig.6 Section of deposition gel

6. 結論

積層造形物の構築を実現するにあたり,アルギン酸吐出

実験および積層手法検討実験を行った結果,以下のような

結論を得た.

・塩化カルシウムの濃度が高いほどノズル内径に近いゲ

ルの形成が可能である.

・今回の実験でおこなった積層手法は,上下の層の接合

が可能であり,積層造形手法として利用可能である.

・アルギン酸の濃度が高いほど,吐出後のゲルの形が崩

れにくく,今回の積層手法に適している.

謝 辞

本研究を行うにあたり,適切なご指導,助言を与えてく

ださった浦川裕貴氏,實松宏明氏,下川雄基氏をはじめと

する研究室の諸氏に対し,ここに厚く御礼申し上げます.

参 考 文 献 1) 土井 章男:3 次元画像処理と Rapid Prototyping(RP)技術の

医療応用,塑性と加工,Vol.51,No.590,pp.201-208,2010.3

816 生分解性プラスチック成形品の流動解析および

力学物性に及ぼす成形条件の影響

Flow Analysis and Influence of Conditions on Mechanical Properties of

Biodegradable Plastics made by Injection Molding

○学 北村 貴祐(九工大) 森 直樹(九工大)正 是澤 宏之(九工大)正 楢原 弘之(九工大)正 鈴木 裕(九工大)

Takahiro KITAMURA, Kyushu Institute of Technology, kawazu680-4, iizuka, Fukuoka Naoki MORI, Kyushu Institute of Technology, kawazu680-4, iizuka, Fukuoka Hiroshi KORESAWA, Kyushu Institute of Technology, kawazu680-4, iizuka, Fukuoka Hiroyuki NARAHARA, Kyushu Institute of Technology, kawazu680-4, iizuka, Fukuoka Hiroshi SUZUKI, Kyushu Institute of Technology, kawazu680-4, iizuka, Fukuoka

Key Words : Poly-lactic Acid, Flow Analysis, Micro Vickers Hardness, Crystallinity

1. 緒論

近年,石油資源の枯渇や地球温暖化の防止対策として,

植物などのバイオマス資源を利用した,バイオマスプラス

チックと呼ばれる新規高分子材料の利用拡大が進んでいる.

その中でも工業用材料として本格的に利用されているもの

の中にポリ乳酸がある.ポリ乳酸はトウモロコシ等から製

造される生分解性樹脂であり,環境循環に特化した材料である.

本研究では,鋼・コンクリート合成床版の底鋼板におけ

る床版コンクリートの目視確認を目的としたモニタリング

孔を塞ぐキャップにポリ乳酸の適用を試みた.従来のモニ

タリング孔キャップはゴム栓やコンクリートブロックが用

いられてきたが,従来の材料では床版コンクリート硬化後

に栓を外す必要があり,このコンクリートは高速道路や橋

の建設に使用されるため,施工後の撤去作業が困難となっ

ている 1).そこで,本報ではポリ乳酸を用いた射出成形法

による新しいモニタリング孔キャップの製品化に取り組み,

CAE を用いた流動解析を行った.また,成形品の物性評価と

して硬さ試験を行うことで,成形の最適条件の探索を行った.

2. 流動解析

2.1 解析条件 解析には,(株) 東レエンジニアリング社製樹脂流動解析

ソフト 3DTIMON を使用した.解析を行う成形品の外観形

状と外形寸法を Fig.1 に示す.実際の金型はキャビティが 4個取れる仕様になっているが,樹脂流入口であるランナー

を均等に配置しているため,1 つのキャビティについて解

析を行った.解析条件を Table1 に示す.ポリ乳酸は,結晶

性ポリマーであるが結晶化が非常に遅い.そこで射出成形

においては,金型を高温状態にして結晶化を促進させる成

形方法が用いられている 2).そこで,金型温度を 20,40,60[℃]と変化させて解析を行った. 2.2 解析結果

Fig.2上部に金型温度40[℃]の解析結果を示す.金型温度を変化さ

せて解析を行っても,樹脂の流入挙動には変化が見られなかった.

今回のように円筒形を有する成形品では,樹脂が流入するゲート部

の反対側にウェルドラインと呼ばれる成形不良が発生するおそれ

がある.しかし,解析結果においてウェルドライン発生時に見られ

るウェルドライン会合角は 160~180[°]に推移しており,120[°]以上

からウェルドラインは消失する 3)事から,ウェルドラインの発生は

ないと考えられる.

3. 試験片の製作

3.1 成形方法

射出成形機は(株)ニイガタマシンテクノ製の NN75S,金

型温度調節機は(株)松井製作所製の MCJ-60L を用いた.樹

脂は,(株)ユニチカ製の TERRAMAC TE-2000C にオートク

レーブ処理を施したものを用いた.

3.2 成形条件

解析条件をもとに成形条件を Table2 のように決定し,金

型温度調節機を用いて金型温度による結晶化の影響を確認

するため,金型温度を 18~59[℃]まで,5[℃]程度ずつ上げ

ていき,成形を行った.金型温度の測定には,(株)佐藤計

量機製作所製デジタル温度計(熱電対 K-style)を使用した. Table2 Molding conditions

Item Unit ValueCylinder temperature [℃] 165

Injection rate [mm/s] 80

Holding pressure [Mpa] 30

Holding time [s] 3

20mm

0.11[sec] 0.19[sec] 0.25[sec] 0.28[sec] 0.32[sec]

Fig.1 A form of the product Table1 Analysis conditions

Fig.2 Infall process

Analysis

A

Actual molding

Temprture ofmold [℃]

Injectionrate [mm/s]

Holdingpressure [Mpa]

Holdingtime [s]

204060

80 30 3

Flow direction

3.3 成形結果および考察 Fig.2 下部に金型温度 47[℃]のショートショットによる

充填過程を示す.解析結果とほぼ同様の結果が得られたが

0.28[sec]において樹脂が周り込む様子を確認した.また,

42[℃]以上の金型温度において成形した試験片に,Fig.3 の

ようにウェルドラインが発生した.ここで,ウェルドライ

ンが発生しなかった時の角度 α(Fig.3(b)参照)を,180[°]とし

た時の金型温度と角度の関係性を Fig.4 に示す.この図よ

り金型温度を高くすると,角度が鋭角になっていくことが

わかる.つまり温度上昇により,樹脂の未充填領域が拡大

している.これは金型温度を高くして成形をすることで金

型が熱膨張を起こし,低温時には金型分割面(パーティング

ライン)から外へ出ていた金型内の空気や樹脂が溶融する

際に発生するガス等が金型の外に逃げきれず,滞留するこ

とで樹脂の充填を阻害したためだと考える. 4. マイクロビッカース硬さ試験

4.1 試験方法

3.で製作した試験片を使用して(株)アカシ製マイクロビ

ッカース硬度計 MVK-G1 を用いて測定した.圧子を押込ん

だ際に荷重が均一にかかるよう試験片の中心部を測定点と

した.試験片にかける押込み荷重は 300[gf],保持時間は

20[s]とした.荷重をかけた後、試験片に残った圧子の対角

線長さを測定した.1 条件で 10 回の測定を行いその平均値

を算出しビッカース硬さ[HV]とした. 4.2 硬さ試験結果

Fig.5 に金型温度を変えて成形を行った試験片のビッカ

ース硬さの結果をプロットし,近似曲線をグラフにしたも

のを示す.横軸には金型の実温度,縦軸にはビッカース硬

さをとっている.この図より金型温度を高くして成形を行

った方が,硬度が上がっていることが確認できた.Fig.6 に

測定結果の標準偏差を求めたものを示す.硬度の場所によ

る偏りが最も大きいのは 56[℃]で成形した試験片で,最も

小さいのは 32[℃]で成形した試験片であることが確認できた.

4.3 硬さ試験の考察

金型温度の上昇による硬度の向上の要因として,結晶化

による密度の増加に着目した 4).2.1 で述べたように,ポリ

乳酸は結晶化しにくい樹脂であるが,高温状態において結

晶化は促進され結晶化度は上がる.すなわち,金型温度を

高くすることで結晶化が促進され,試験片の密度が高くな

り,それに伴いビッカース硬さが上がったと考えられる.

しかし,硬度を上げるために金型温度を高くすると,冷却

時間を長くとる必要があり,成形サイクルが長くなってし

まうといった問題がある.金型温度の設定は製品として必

要な硬度と成形サイクルを考慮して決定するのが良いと思

われる.また,Fig.6 から室温に近い金型温度で成形を行っ

た方が標準偏差は小さくなっていることが確認できる.要

因として実験環境との温度差が小さい分,安定した成形が

行えると考えられる.

0

30

60

90

120

150

180

0 10 20 30 40 50 60 70

An

gle[°

]

Temperature of mold[℃]

Fig.4 Angle of weldline

16.5

17.0

17.5

18.0

18.5

19.0

0 10 20 30 40 50 60 70

Vic

ker

s h

ard

nes

s[H

V]

Temperature of mold[℃]

Fig.5 Result of Vickers hardness

0

0.2

0.4

0.6

0.8

1

1.2

1.4

18 22 27 32 37 42 47 56 59

Sta

nd

ard

dev

iati

on

Temperature of mold[℃]

Fig.6 Standard deviation 5. 今後の展望

金型温度の上昇に伴い,成形品にウェルドラインが発生

したが,ビッカース硬さは向上した.モニタリング孔キャ

ップとして重要になるのは成形品の硬度であり,金型温度

を高くしてもガスが抜けるように金型にエアベントを加工

する等の対策が必要であると考える.

6. 結言

本研究では流動解析および金型温度を変化させ射出成形を行い,

成形品の物性評価を行った.その結果以下のことが明らかとなった.

(1)解析結果より,樹脂の充填過程およびウェルドライン会

合角が金型温度に関わらず,160~180[°]で推移しており,

ウェルドライン発生の有無を確認した.

(2)成形を行った結果,金型温度の上昇に伴いウェルドライ

ンが発生し,最大で約 100[°]であった.

(3)金型温度の上昇に伴いビッカース硬さの向上がみられ,

最大で約 9%硬度が向上した.

[謝辞]

本研究を行うにあたり,九州工業大学 松田健次教授に

ご指導いただきました.厚くお礼申し上げます.

参考文献

1) 泉谷智之,桝田智子,末武浩:川田技報 (25), 82,83 (2006) 2) 飯田浩史,原田征:成形加工(22) 6, 292-296 (2010) 3) 横井秀俊,村田泰彦,岡克典,渡辺広三:成形加工(9) 4, 290-298(1997) 4) 成澤郁夫,石川優,野中紀史:高分子論文集 (45) 10, 777-781 (1988)

Angle α

Fig.3 Form of Product

Weldline

Cavity

Cavity

(a)

(b)

LAFP 法‐電界複合効果を利用した選択的研磨技術に関する研究

‐プロセス条件の最適化‐

Study on selective fabrication method combined LAFP method with electrical field action

○学 岩崎 優士(九工大情報工)

[指導教員]正 鈴木 恵友, 正 木村 景一, 正 パナート・カチョーンルンルアン

Yuushi IWASAKI Keisuke SUZUKI, Keiichi KIMURA, Panart KHAJORNRUNGRUANG

Kyushu Institute of Technology, kawazu680-4, iizuka-shi, Fukuoka

Key Words : CMP, LAFP(Laser Aggregation and filling up polishing) ,Electrical field, Silica particle,

1. 諸言

近年,超 LSI 素子の微細化や高集積化に伴い,配線層の

数が増大し,CMP(Chemical Mechanical Polishing)に要

求される性能値は年々厳しくなっている.特に近年の大口

径化に伴う面内均一性の改善や,パターン依存性の問題を

除外することで平坦性を獲得する必要がある.これまでの

CMP の研究としては,ポリシングパッドの硬度や粘弾性

係数の最適化やスラリーの砥粒や界面活性剤の作用などを

経験的に調整を行ったレベルであり,根本的な解決には至

っていない.この問題に対してこれまでの研究例としては,

LAFP 法(Laser Aggregation, Filling-up and Polishing)に

よる手法が試みられてきた(1).この手法は光放射圧による

レーザートラッピング現象を利用してシリコンウエハ表面

に微粒子集積根を形成し,さらにその部分をポリシングす

ることである.この問題点としては,段差緩和性に対して

効果は確認できるが,非常に限られた領域のみでしか加工

することができない点と,高出力のレーザが必要であり,

実用化が困難という点があった.そこで,本研究ではレー

ザ光によるトラッピング効果と電界効果を組み合わせるこ

とで,平坦性の効果と選択的な段差除去による選択的加工

を試みたので報告する.

2. 電界効果とレーザートラッピング効果の複合化

本研究では,電界効果とレーザートラッピングによる複

合化することにより,低出力レーザでの集積痕形成を目指

す.プロセスフローとして(a)→(b)→(c)→(d)の順に Fig1 に

概念図を示す.本研究において Cu メッキ膜における段差

緩和性を向上させるため,レーザ照射により凹み部分にシ

リカ微粒子を集積させ集積痕を形成する.この際,集積痕

作成の際の LAFP法の問題点であった高出力レーザに代わ

り,低出力でのレーザに電界を印加することで集積痕の形

成を試みた.その後,集積痕をハードマスクにし,電解エ

ッチングにより凸部を加工する.そして平坦な面が形成さ

れた段階で,集積痕を除去する.ここでは集積痕の位置を

制御することにより,選択的に Cu 膜を残すことができる.

3.実験

実験装置の概要図を Fig.2 に示す.レーザ照射により集

積の形成過程について検証を行った.本検証を行うため専

用の冶具を作成した.この冶具ではレーザ照射と電界印可

を同時に行うことが可能である.レーザ照射は,He-Ne レ

ーザ(632nm),30mW で行なった.集積痕を形成するにあた

り,時間依存性,電界強度,スラリーの濃度の依存性に関

して検証を行った.Table1 に実験条件を示す.集積痕は

Keyence 社の共焦点レーザ顕微鏡(VK-9700)を用いて観察

を行った.

Fig.1 Process flow of LAFP and Electro Polishing

Table1 Experimental condition

Laser

He-Ne Laser(30[mW])

(λ=632[nm])

Slurry Colloidal silica slurry(pH:12.0)

Slurry concentration [wt%] 1, 2.5, 5, 10

time[s] 30, 60, 90, 120

Electric field [V/mm] 5, 10, 15

4.実験結果および考察

Voltage additional device

Fig.2 Experimental device

Remove

Method

Etching

Laser

Silica

0817

4.1 電界効果に関する依存性

電界強度を 5~15[V/mm]と変化させ,電界の依存性に関

して検証を行った.結果を Fig.4 に示す.実験結果から電

界強度を強くすることで集積痕の形成を確認することがで

きた.

(b) Height average

Fig4 Electrical field action

Fig4 Electrical field action

4.2 時間依存性

照射時間を 30~120[sec]と変化させ,短時間のレーザ照

射による効果を検証した.結果を Fig.5 に示す.すべての

実験結果より集積痕を確認することができた.このことか

ら短時間のレーザ照射でも集積痕は形成されることが確認

できた.

Fig5 Time dependence

4.3 濃度依存性

スラリー濃度を 1~10[wt%]に変化させ照射実験を行っ

た.結果を Fig6 に示す.実験結果より 1wt%,10wt%では

集積痕を確認することができなかったが,2.5wt%,5wt%

では集積痕を確認することができた.これに対し,結果が

レーザの強度依存性に起因するのかスラリー濃度に依存す

るのかを明らかにするため,レーザ光の透過率測定実験を

行った.結果を Fig7 に示す.スラリー濃度が濃くなるに

つれて,レーザ強度は比例的に減少する傾向がみられた.

このことから,集積痕の形成には濃度が支配的であり,濃

度には最適値があることが確認できた.

Fig6 Slurry concentration dependence

Fig7 Laser permeability

4.4 集積痕の強度評価

レーザ照射後の集積痕の強度を検証した.強度測定は

Dektek3030 により加圧量を 2mg から 10mg まで変化させ

行った.結果を Fig8 に示す.Fig8 の実験結果により形状

が変化していなかったため,10mg までの圧力に耐えうる

ことを確認した.

Fig.8 Hardness measurement experiment result

5.結言

シリカ微粒子溶液中に電界を加えレーザ照射を行った際,

低出力レーザでの集積痕の形成が確認できた.集積痕は短

時間でも形成され,形成には電界による依存性があること

が確認できた.さらにスラリー濃度に関しても,濃度には

最適値があることが推測できる.今後は電界エッチング実

験を行い,平坦性についての評価を継続して行っていく.

参考文献

(1) 木村景一,三好隆志,高谷裕浩,高橋 哲;光放射制御微

粒子集積現象に基づく CMP 加工に関する基礎的研究;

精密工学会誌 Vol.69 No1. 2003

[謝辞]

本研究を行うにあたり,九州工業大学マイクロ化センター

の馬場准教授の協力をいただきました.この場を借りて厚

くお礼申し上げます.

スラリー濃度

90 秒

[s] [s] [s]

(10,10) (20,10)

X

Y

3次元繰り返しパターンを内部構造に有する 積層造形モデルの造形経路生成処理の高速化

Improvement of laser path generation for additive manufacturing with internal 3D-pattern

○学 河野 匠司(九工大) 正 是澤 宏之(九工大)

正 楢原 弘之(九工大) 正 鈴木 裕(九工大)

Shoji KAWANO, Kyushu Institute of Technology, kawzu680, iizuka-shi, Fukuoka

Hiroshi KORESAWA, Kyushu Institute of Technology, kawzu680, iizuka-shi, Fukuoka

Hiroyuki NARAHARA, Kyushu Institute of Technology, kawzu680, iizuka-shi, Fukuoka

Hiroshi SUZUKI, Kyushu Institute of Technology, kawzu680, iizuka-shi, Fukuoka

Key Words : Metal Mold and Die, Rapid prototyping

1. 緒論

ラピッドプロトタイピング技術の主な手法として積層造

形法がある.積層造形法とは,製品の 3 次元 CAD データ

をスライスし,そのスライスデータをもとに 1 層ずつ造形

を行い,それを積層していくことで製品を作製する.積層

造形法の一つである金属光造形複合加工法 1)は,金属粉末

積層造形と高速切削を融合させた加工法である.本加工法

は,金属製品を作製可能であることから,金型の作製に用

いることができる.また,レーザ照射条件を変化させる

ことで,金型の任意の箇所に型内のガス抜き機能を果たす

Fig.1(a)の様な低密度焼結構造を造形可能である.これまで,

一定の通気性能を保持しつつ強度を高めるために新たな通

気構造として,Fig.1(b)の様なレーザ光を格子状に走査する

レーザパス生成ソフトウェアを開発し,研究を行った.し

かし,現在使用しているソフトウェアでは,格子状構造以

外の構造を生成することができない.任意形状の通気構造

を作製する場合,3D-CAD で任意形状のモデリングを行い,

レーザパスを生成することが必要となる.そのため,モデ

リングに時間がかかり,複雑な形状になるとレーザパスを

生成する際の処理時間も非常に長くなる.

そこで,本研究では新たにレーザパス生成ソフトウェア

を開発し,モデリング作業の短縮と処理の高速化を図る.

2. 目的

本研究では,多孔質構造作成における,モデリング作業

の短縮と処理の高速化を目的としたレーザパス生成ソフト

ウェアの開発を行う.

3. レーザパスの概要

Fig.2(a)がレーザパスの例,Fig.2(b)が Fig.2(a)のレーザ

パスを実行した際のレーザ光の走査経路である.レーザ

パスには,レーザ光を走査させるために必要となる値

(層数,レーザパワー,スポット径,走査速度,始点・

終点の座標値)が記されている.層数は,以下に記され

ているレーザ光の照射条件が何層目のデータなのかを

示しており,レーザパスに記されたレーザ光の照射条件

に従って,Start に記された座標から End に記された座標

までレーザ光が走査される.

レーザパス作成手順について以下に示す.

①CAD データ (Fig.3(a))を 1 層ずつのスライスデータ

(Fig.3(b))に変換する.

②Fig.3(c)のように 1 つのスライスデータに対してレー

ザ径をピッチとして,スライスデータの幅と同じ長さの

線分を引く.

③スライスデータと線分との交点の座標を求めること

により 1 層分のレーザパスを作成する.(Fig.3(d))

④この作業を積層数分繰り返すことによりすべてのレ

ーザパスを作成する.

4. 処理の概要

3D-CAD を用いて Fig.4(a)の様な多孔質構造の最小の単

位構造と Fig.4(b)の様な基本構造をモデリングし、プログ

ラム内に読み込む.その単位構造のパスを基本構造内に繰

り返し配置することにより,Fig.4(c)に示す多孔質構造のレ

ーザパスを生成する.これにより,CAD でのモデリング作

業と,レーザパスを生成する処理時間の短縮が期待できる.

818

(a)Unit structure (b)Base shape (c) Porous structure

Fig.1 Process steps for air permeability structure

(a) Skin (b)Grid structure

Pore

Pore

Laser scanning path

(Energy: low)

Laser scanning path

(Energy: high)

Fig.4 New process steps for porous structure

Layer number:1

Laser power:300

Spot diameter:0.5

Scanning speed:160

Start:10,10

End:20,10

Fig.2 Outline of Laser scanning path

(b)Image of scanning path (a) Example of laser scanning path

Laser scanning path

(a)CAD data (b)Slice data Fig.3 Process steps for creating laser scanning path

(c)A slice data (b) Laser path

Segment

Slice data Laser scanning path

5. プログラムの作成

ソフト開発の言語には Java を用いる.単位構造を繰り

返し配置するアルゴリズムを以下に示す.

まず,入力処理について①②に示す.

①単位構造の CAD データからレーザパスを作成する.

作成したレーザパスは始点と終点の座標を 2 次元配列

に代入することで,1 つの配列に層ごとのすべてのレ

ーザパスを保存する.

②基本構造の CAD データから各層の断面データと,積

層数を読み込む.

次に n 層目のパスの出力について③から⑤に示す.

③保存した単位構造の n 層目のレーザパスと,基本構造

の n 層目のスライスデータを取り出す.

④Fig.5(a)のように基本構造のスライスデータ上に,レー

ザ径をピッチとして線分を引く.この線分をレーザパ

スに変換する作業を(ⅰ)から(ⅲ)に示す.

(ⅰ) Fig.5(b)のように 1 本目の線分に対応する単位

構造のレーザパスを取り出す.

(ⅱ) Fig.5(c)1 本目の線分上に単位構造のパスを配置,

単位構造の大きさ分だけずらしながら配置する.

(ⅲ) Fig.5(d)配置したパスについてスライスデータ

の外部を消去する.

⑤ (ⅰ)から(ⅲ)の作業を 2 本目以降のすべての線分に対し

て行うことで n 層目のレーザパスをすべて出力する .

⑥n を 1 から基本構造の積層高さまで変化させ,③から⑤の

作業を繰り返すことでレーザパスをすべて出力する .

6. 実験方法

Fig.4(c)に示す様な多孔質構造の CAD データを作成し,

レーザパスを出力する際の処理時間と今回作成したプログ

ラムによってレーザパスを出力する際の処理時間とを比較

する.以後,多孔質構造の CAD データを作成し,パスを

出力する処理を処理①,単位構造のレーザパスを繰り返し

配置する処理を処理②とする.

実験条件として,単位構造を Fig.4(a)に示す様な 1 辺

1[mm]の立方体によって作られた形状とする.基本構造を直

径と高さが等しい円柱形状に定め,直径・高さを 3[mm]から

48[mm]まで 3[mm]間隔で変化させる.この 16 種類の造形

データを処理①と処理②の両方で作成する.

7. 実験結果

基本構造の直径を 36[mm]としたときの処理①による出

力結果を Fig.6,処理②による出力結果を Fig.7 に示す.

Fig.8 に基本構造の大きさと,レーザパスを生成する際の処

理時間との関係を示す.

Fig.6 と Fig.7 より,今回提案した処理②によって従来の

方法である処理①と同じ積層造形モデルを作成可能である

ことが分かった.

Fig.8 より,処理時間の大きな差は見られない.しかし,

処理①は基本構造の拡大に伴って 2 次曲線的な処理時間の

増加が見られた.それに対して,処理②は基本構造の拡大に

対する処理時間の増加が比例的であった .基本構造の直径

が 33[mm]のところで処理②の方が処理時間が短くなるこ

とを確認した.

基本構造が直径 45[mm]以上の場合,多孔質構造のモデリ

ングをすることができなかった.そのため処理①ではこれ

以上の造形データの作成はできなかった.それに対して,

処理②では,直径 48[mm]までの造形データを作製できた.

7. 考察

処理②では,基本構造を増加させるほど,断面の形状が

複雑になりスライスデータに変換する処理が大幅に増加す

る.そのため2次曲線的な処理時間の増加が見られたと考

えられる.

それに対して処理①では,単位構造と基本構造の簡単な

CAD データのみで複雑なレーザパスを作成できる.そのた

め,基本構造の大きさに関係なく各層のレーザパス作成時

間をほぼ一定に保つことができた.その結果,積層厚さに

比例的な処理時間の増加が見られたと考えられる.

8. 結論

多孔質構造作成における,モデリング作業の短縮と処理

の高速化を目的としたレーザパス生成ソフトウェアの開発

を行ったところ,以下の結論を得た.

・単位構造を繰り返し配置することによって通気構造の

レーザパスを生成することができた.

・処理①と処理②における大きな処理速度の違いは見ら

れなかった.

・本研究で提案した処理は,複雑な形状になるほど,従

来の処理と比較して処理速度が向上した.

・構造が複雑になる程モデリング作業が非常に長くなる

ため,本研究で提案した処理②の有効性が向上するこ

とが分かった. [参考文献]

1)阿部 諭:ワンプロセスマシニングによる金型のラピッドプロダクショ

ン,RP 産業協会,第 24 回 RP シンポジウム(2003)pp.67-71

Fig.7 Proposed method

(a)Overall view (b) Close-up

Fig.5 Process steps for creating laser scanning path of porous structure

(a)Step1 (b)Step2 (c)Step3 (d)Step4

Laser scanning paths Unit structure Slice data Segment

Fig.6 Conventional (a)Overall view (b) Close-up

0

20

40

60

80

100

120

140

160

3 6 9 12 15 18 21 24 27 30 33 36 39 42 45 48

Pro

cess

ing tim

e[se

c]Diameter of the base shape [mm]

Conventional

Proposed method

Fig.8 The relation between size and processing time

θ: temperature[℃] A: laser absorption ratio : laser power[W] K: thermal conductivity[W/mm・℃]

l: thickness[mm] x,y,z: coordinates[mm] a: heat source width[mm] U: feed speed[mm/s] r: laser spot radius[mm] s: thermal diffusivity[mm2/s]

有限要素法を用いたレーザ焼結時の 2次元熱応力解析

Two dimensional thermal stress analysis in laser sintering by finite element of method

○学 安永 志郎(九工大・情報工) 正 楢原 弘之 (九工大・情) 正 鈴木 裕 (九工大・情) 正 是澤 宏之 (九工大・情)

Shirou YASUNAGA, Kyushu Institute of Technology, kawazu 680-4, Iizuka-shi, Fukuoka

Hiroyuki NARAHARA, Kyushu Institute of Technology, kawazu 680-4, Iizuka-shi, Fukuoka Hiroshi SUZUKI, Kyushu Institute of Technology, kawazu 680-4, Iizuka-shi, Fukuoka

Hiroshi KORESAWA, Kyushu Institute of Technology, kawazu 680-4, Iizuka-shi, Fukuoka

Key Words : FEM, Thermal stress analysis, Young’s modulus

1. 緒論

現在,新しい金型製作手法として,金属粉末積層造形と

高速切削を融合した金属光造形複合加工法 1)が注目されて

いる.本加工法では,レーザ光を用いて金属粉末の溶融・

固化を行う.厳密には,予熱,溶融,収縮,凝固という過

程を経て固化が完了する.これらの過程において熱収縮が

起こり,そりが発生する.そりの発生は造形不良や造形物

の寸法精度に影響し,金型作製における精度低下の原因と

なる.そこで,本研究では,有限要素法による数値解析を

行い,レーザ照射後に発生するそり変形の予測を行う.本

報では,そり変形の数値解析を行う前段階として,有限

要素法を用いて熱による変形を予測するプログラムの作成

を目指す.

2. 研究目的

二次元モデルに熱を加えた際の温度分布を用いて,熱応

力による変形について数値解析を行い変形を予測するプロ

グラムを作成する.また,ヤング率の温度依存性を考慮し

た熱応力による変形の予測も行う.

3. 解析

3.1 解析プログラムの作成

二次元モデルに対してレーザ光を走査させた場合の温度

分布図を出力する.その後,有限要素法により,温度分布

図を要素分割する.分割には 4 節点アイソパラメトリック

要素を用いた.各要素における節点の温度を計算し,熱応

力解析により各節点に働く節点力を求める.節点力による

変位を統合して全体の変位を求め,二次元モデルの応力に

よる変形図を得る.

3.2 解析モデルと境界条件

解析モデルは,Fig.1(a)に示すような金属板材に対し,x方向にレーザ光を走査させた場合の y-z 断面とする.解析

モデルの要素分割は,要素数を 5,節点数を 12 とする.境

界条件は,解析モデルの表面および裏面を断熱状態とする.

また,Fig.1(b)のように z 軸において y 方向を拘束する.

3.3 温度解析

レーザ光走査後の温度分布の計算を行う.板厚が有限で

ある場合の温度分布の式 2)を(1)に示す.

3.4 熱応力解析

有限要素法における各要素での熱応力解析を行う.熱ひ

ずみによる節点荷重ベクトル 3)を(2)に示す.また,式(2)中の[De],{εt}については(3)に示す.ここで,温度 T は

要素任意点における温度と初期温度 T0 との差とする.

3.5 解析条件

材料は炭素鋼 S55C を仮定して計算を行う.解析条件は

Table 1 に示す.

819

𝜃 =𝐴𝜔

2𝐾𝜋𝑙

exp − 𝑋 + 𝑉𝑝2 2 + 𝑌2

𝑄2 + 𝑝2

𝑄2 + 𝑝2

0

・ 1 + 2 𝑐𝑜𝑠𝑛𝜋𝑍

𝐿 𝑒𝑥𝑝 −

𝑛𝜋𝑝

2𝐿

2

𝑛=1

𝑝𝑑𝑝

s

UrVrlL

raQ

rzZ

ryY

rxX

4,,,,,

{ft}: pseudo nodal force vector due to thermal strain [B]: strain - displacement matrix [De]: elastic stress - strain matrix { }: thermal strain vector

dVDBf teTt

2/1000101

1 2

EDe

0TT

t

E: young’s modulus[N/mm2] ν: poisson’s ratio α:coefficient of linear expansion[/℃] T:temperature[℃]

Table 1 Analysis condition

Fig.1 Analysis model

(1)

(2)

(3)

(社)日本機械学会 九州学生会 第 42 回卒業研究発表講演会(No.118-2)論文集 2011/3/11

A 0.8 r [mm] 0.5 ω [W] 500 s [mm2/s] 12 K [W/mm・ ℃] 0.059 E [N/mm2] 205 l [mm] 2 ν 0.3 a [mm] 1 α×10-6 [/ ℃] 11.7 U [mm/s] 10 T0 [℃] 0

x

y

z

Laser

(a) Analysis model (b) Boundary condition

y

z

Restriction

Insulation

Insulation

Laser

3.6 解析結果および考察

Fig.2 に温度分布図,Fig.3 に熱ひずみによる節点荷重ベ

クトルによる変形図を示す.また,Fig.3 に示すように節点

番号を定め,Fig.4 に各節点のひずみを示す.

Fig.2,3,4 より,レーザ光の照射点(節点番号 1)のひずみ

が最大であった.これは,式(2),(3)より熱ひずみベクトル

{εt}が温度差に比例することから,高温であるほど,初期

温度との温度差が増加し,節点荷重が増加することが原因と

考えられる.また,Fig.3 では,一般的に金属にレーザ光を

照射した場合と定性的に同様な変形の結果が得られた.

4.ヤング率の温度依存性を考慮した熱応力解析

4.1 解析手法

レーザ光照射後の温度分布の時間変化に対して,各温度

における節点荷重を算出する.この際,ヤング率の温度依

存性を考慮した式を用いて,温度変化における節点荷重を

算出する.得られた節点荷重を統合し,変形図を出力する.

4.2 ヤング率導出

各節点温度におけるヤング率の算出を行う.温度変化に

よるヤング率の変化 4)を求める式を(4)に示す.

今回の解析においては,E0を205[N/mm2], Bを0.4[N・℃/mm2], Tc を 1500[℃]として解析を行った.

4.3 解析結果および考察

Fig.5 に変形図,Fig.6 に各節点におけるヤング率を固定

した場合の変位量と,ヤング率を変化させた場合の変位量

を比較したグラフを示す.

Fig.3 と Fig.5 を比較すると,ヤング率の変化を考慮した

解析結果の変形が小さいことが確認できた.Fig.6 からも,

一部の節点を除いて,ヤング率の変化を考慮した場合の変位

量が減尐していることを確認できた.

ヤング率の変化を考慮した場合に変位量が減尐する原因

として,ヤング率の変化による節点荷重の変化が考えられ

る.一般的に,温度が高くなるほどヤング率は減尐する.

更に,液体では,ヤング率は非常に小さな値を示す.この

ことから,金属において,融点以上の温度になると,ヤン

グ率が非常に小さな値になるため,溶融部においては節点

荷重がほぼ発生しない.また,溶融部以外でも温度が上昇

しているところでは,ヤング率の減尐により節点荷重が減尐

する.そのため,全体の変位量が減尐したと考えられる.

5. 結論

二次元モデルに熱を加えた際の温度分布より,熱応力によ

る変形について数値解析プログラムを作成し,解析を行った

結果,以下の結論を得た.

・ レーザ照射点でのひずみが最大であることを確認した.

・ 解析により得られた変形図で定性的に同様な結果が得

られた.

・ ヤング率を固定した場合の変形量に比べ,温度変化に

よるヤング率の温度依存性を考慮した場合の変位量が

減尐することを確認した.

文 献

1) 阿部諭,ワンプロセスマシニングによる金型のラピッドプロ ダクション,RP 産業協会,第 24 回 RP シンポジウム(2003), pp.67-71

2) 川澄博通,『レーザ加工技術』,日刊工業新聞社,1985 3) 矢川元基・宮崎則幸,『有限要素法による熱応力・クリープ・

熱伝導解析』,サイエンス社,1985 4) 建築百科, URL: http://kenchiku100.com/modules/xpwiki/289.html

140012001000800600400200

0

1600[℃]

0 2.01.0 3.0 4.0 5.0

0

2.0

1.0

[mm]

Thic

knes

s[m

m]

Fig.2 Isothermal curve (y-z plane)

Fig.3 Displacement plot

𝐸 = 𝐸0 − 𝐵𝑇𝑒𝑥𝑝 −𝑇𝑐𝑇

E:young’s modulus [N/mm2] B,Tc:material constant E0: young’s modulus (0[K]) [N/mm2]

42 3 651

127 8 9 10 11

42 3 651

127 8 9 10 11

Fig.5 Displacement plot

(4)

0.000

0.004

0.008

0.012

0.016

0.020

1 2 3 4 5 6 7 8 9 10 11 12

Str

ain

Node number

Fig.4 Strain – Node number

0

0.05

0.1

0.15

0.2

0.25

1 2 3 4 5 6 7 8 9 10 11 12D

isp

lace

men

t [m

m]

Node number

Constant young's modulus

Thermal dependent young's modulus

Fig.6 Displacement – Node number

フッ素樹脂コートパッドとコンディショニング法に関する研究

Characteristics of a fluoro-resin coating pad and conditioning method

○学 江上 和貴(九大) 正 土肥 俊郎(九大)

正 黒河 周平(九大) 正 大西 修(九大)

古田 啓(日本フッソ) 川嵜 誠史(日本フッソ)

羽場 真一(ニッタ・ハース)

Kazutaka EGAMI, Kyushu University, motoka 744, nishiku, Fukuoka

Toshirou DOI, Kyushu University,

Syuhei KUROKAWA, Kyushu University,

Osamu OHNISHI, Kyushu University,

Kei FURUTA, NIPPON FUSSO CO., LTD.

Seiji KAWASAKI, NIPPON FUSSO CO., LTD.

Shinichi HABA, Nitta Haas Incorporated

Key Words : CMP(Chemical Mechanical Polishing), Pad conditioning, Fluoro-resin coating pad, Brushing

1. 緒言

CMP(Chemical Mechanical Polishing)とは,化学的研磨と機

械的研磨を併用して行う複合的な研磨法である.CMP を繰

り返すとパッド表面に存在し,スラリーを保持するポアと呼

ばれる微細孔が研磨屑などで目詰まりを起こし加工レート

が低下してしまい,安定した研磨を行えない.したがってポ

アの目詰まりは回避,または目詰まりしたものを除去しなけ

ればならない.目詰まりしたものを除去する方法として,

CMP に広く使われている発泡ポリウレタンパッドには一般

的にダイヤモンド砥粒の付着したダイヤモンドディスクを

用いてドレッシングによりパッド表面の目詰まりした部分

をパッドごと削り取る,という方法が用いられている.しか

しこの方法ではパッドの消耗が激しく,パッドの寿命が短い

という問題が生じている.また,ベアシリコンウエハのポリ

シング工程で用いられている不織布パッドのコンディショ

ニングとしては,ナイロンブラシによるブラシコンディショ

ニングが一般的であるが,目詰まりの除去が不十分であると

いう問題がある.そこで別の非破壊的なコンディショニング

を用いることでパッドの延命かつ十分な目詰まり除去を図

ることが求められている.

本研究では,パッドとブラシ洗浄や高圧マイクロジェット

(High Pressure Micro Jet; HPMJ)技術などを組み合わせた非破

壊コンディショニング法によるパッドの機能回復および研

磨性能の維持の実現を目標としている 1) .本報ではパッド

表面へのフッ素樹脂コーティングによる目詰まり回避の効

果について述べる.

2.実験条件および方法

研磨条件を表 1に,ブラシコンディショニング条件を表 2

に示す.今回の実験は,加工対象として φ3 インチのシリコ

ン(Si)ウエハ,パッドにはニッタ・ハース社製発泡ポリウレタ

ンパッド,スラリーはニッタ・ハース社製コロイダルシリカ

スラリー,加工装置は MAT 社製の卓上型ポリシング装置を

用いて,1 回 30 分の CMP 加工を行い,加工ごとに加工レー

トを評価した.加工レートは,電子天秤により実験前後の試

料の重量を測定し,その差から算出した.市販されているパ

ッドでの CMP 実験を行った後,表面をフッ素樹脂でコーテ

ィングされた新たなパッドを用いて CMP を行った.加工後

にナイロンブラシによるパッド表面のブラシコンディショ

ニングを 1 分間行った.なお,シリコンウエハの縁だれによ

る影響を受けないようにするために,一つのウエハで 3 回の

加工を行なうごとに新しいシリコンウエハに交換した.また,

CMP において,化学反応は温度の影響を受けるので,安定

した加工特性を確保するために,加工中のパッド表面の温度

を非接触式の温度計を用いて測定した.測定時間は,加工開

始から 30 秒経過までを 10 秒刻み,その後 5 分経過までを 30

秒刻み,それ以降は 30 分経過まで 5 分刻みで計測した.こ

れは加工開始直後の温度が急激に上昇することを考慮して

のことである.加工ごとに加工レートを評価するとともに,

一定回数研磨後のパッドの表面状態も評価した.CMP 後の

パッドの表面観察は,走査型電子顕微鏡(Scanning Electron

Microscope; SEM)で行った.フッ素樹脂コーティングが施さ

れていないパッドはブレイク・インを 1 時間行った.フッ素

樹脂コーティングが施されたパッドは,パッド表面上のフッ

素樹脂が削り取られてしまわないように考慮して,ブレイ

ク・インは 1 分間行った.図 1 に CMP 加工の様子,図 2 に

ブラシコンディショニングの様子を示す.

Table 1 Condition of polishing

Polishing object Silicon wafer (φ3inch)

Polisher BC15 (MAT)

Polishing pad Expanded polyurethane pad

MH-S15A (Nitta-Haas)

Slurry Nalco2350 (Nitta-Haas)

Polishing pressure 50 kPa

Polishing time 30 min

Platen rotational speed 60 min-1

Head rotational speed 60 min-1

Slurry flow rate 15 mL/min

Table 2 Condition of brushing

Brushing tool Nylon fiber brushing

Brushing time 1 min

Brushing load 44.6 N

Brush rotational speed 60 min-1

Water flow rate 400 mL/min

820

100μm 100μm

100μm 100μm

0

0.1

0.2

0.3

0.4

0.5

0.6

1 6 11 16

Rem

ov

al ra

te(μ

m/m

in)

Number of experiment

Fluoric coating

Fig.1 Appearance of CMP

Fig.2 Appearance of Brushing

3.実験結果および考察

フッ素樹脂コーティングが施されていないパッドの CMP

前および CMP10 回後におけるパッド表面の SEM 画像を図 3

に示す.フッ素樹脂コーティングが施されているパッドの

CMP 前,CMP10 回後におけるパッド表面の SEM 画像を図 4

に示す.フッ素樹脂コーティングが施されていないパッドで

は,CMP を行う前のブレイク・イン直後のパッド表面に比

べ,CMP を 10 回行った後のパッド表面はポアに研磨屑が堆

積していることがわかる.これはブラシコンディショニング

のみでは,パッドの研磨屑の除去が困難であるということを

あらわしている.フッ素樹脂コーティングが施されているパ

ッドでは,CMP を行う前のブレイク・イン直後のパッド表

面とCMPを 10回行った後のパッド表面に差異はほとんど見

られない.これはブラシコンディショニングのみで研磨屑を

ほぼ除去出来たといえ,フッ素樹脂コーティングの効果が確

認できた.

しかし,フッ素樹脂コーティングが施されていないパッド

にも研磨屑が除去出来ているポアも見られ,フッ素樹脂コー

ティングが施されているパッドにも研磨屑が残っているポ

アが見られる.この同じパッド内での研磨屑が残ったポアと

研磨屑が除去されたポアの違いとしては,ポアそれぞれの大

きさ・深さ・形状などの違いにより,ブラシとの接触の仕方

に差異が生じて除去の結果に影響を与えたのではないかと

考えられる.

フッ素樹脂コーティングが施されていないパッドとフッ

素樹脂コーティングが施されているパッドそれぞれの加工

レートと加工回数の関係をプロットしたグラフを図5に示す.

フッ素樹脂コーティングが施されていないパッドのグラフ

の一部間隔が空いているのは,途中から加工時間を 1 時間に

延ばしたためである.加工回数を重ねても加工レートがほと

んど落ちていないのでコンディショニングの効果があると

いえる.しかし,加工 1 回目の加工レートではフッ素樹脂コ

ーティングが施されていないパッドの方が,フッ素樹脂コー

ティングが施されているパッドよりも高い値を示している.

これはブレイク・インの時間差によるパッド表面の変質層の

(a) Before CMP (b) After 10th CMP

Fig.3 SEM images without fluoro-resin coating

(a) Before CMP (b) After 10th CMP

Fig.4 SEM images with fluoro-resin coating

Fig.5 Relationship between the removal rate and the

number of experiment

除去具合に差が出たのではないかと考える.それにより,

パッド表面のアスペリティーに差が生じ,加工レートの差に

繋がったのではないかと考える.また今後の課題として,加

工回数を増やすということが挙げられる.加工回数を増や

せばフッ素樹脂コーティングが施されていないパッドは目

詰まりが加速して加工レートが低下し,フッ素樹脂コーテ

ィングが施されたパッドの加工レートと逆転するのではな

いかと考えられる.

4.結言

パッド表面へのフッ素樹脂コーティングの有無による研

磨屑除去の程度を調査した結果,フッ素樹脂コーティング

が施されていないパッドは,ブラシコンディショニングで

は研磨屑の除去があまり出来なかったが,フッ素樹脂コー

ティングが施されたパッドでは,ブラシコンディショニン

グのみで研磨屑の除去が上手くでき,フッ素樹脂コーティ

ングの効果があった.

文 献 1) 糸長など:純水の HPMJ(高圧マイクロジェット)による発泡ポリウ

レタンパッドの非破壊コンディショニング,日本機械学会九州学生会

第 41 回卒業研究発表講演会論文集 No.108-2 (2010)pp.371-372

821 ホブコーティング膜 AlCrSiN の耐摩耗性向上に関する研究 Research on abrasion resistance improvement of gear hob coating film AlCrSiN

○学 今村昌平(九大) 正 梅崎洋二(九大)正 土肥俊郎(九大)正 黒河周平(九大)

正 大西修(九大) 舟木義行(日本電子工業(株))

Syouhei IMAMURA, Kyushu University, 744, Motooka, Nishi-ku, Fukuoka, Japan

Yoji UMEZAKI, Toshiro DOI, Syuhei KUROKAWA, Osamu OHNISI, Kyushu University Yoshiyuki FUNAKI, NDK,Incorporated

Key Words : Cutting, Hobbing, Wear, Coating film, Oxidation resistance

1. 緒言

歯車の加工において,創成歯切り法の一つであるホブ切

り法は他の歯切り法に比べ生産性に優れている.従来,ホ

ブ切りでは切削油剤を用いた湿式切削が行われてきた.切

削油剤の使用により工具の摩耗抑制や冷却効果がある反面,

発生する油煙による環境悪化や廃油処理・コスト等が問題

視されてきた.そのため,切削油剤を用いないドライ(乾

式)切削の実用化が要望されている.ドライ切削時に問題

となるのは 1)切削油剤による冷却作用がないため切削温

度が高くなり,高速切削ができないこと, 2)切削油剤によ

る潤滑作用がないため工具の摩耗が早いことなどである.

従って耐熱性,耐摩耗性に優れたコーティング膜が望まれ

ている.

本報告では新種膜 AlCrSiN コーティング膜と現在多く用

いられている TiAlN コーティング膜との耐摩耗性について

報告する.

2. 実験方法

ホブ切りは多刃工具による切削加工であり,摩耗形態も

一刃ごとに異なるなど複雑な切削機構である.本試験では

ホブ一刃の摩耗形態を詳細に調べるため,一本刃の舞いツ

ールを用いて図 1 に示すように被削材の斜線部を横型マシ

ニングセンタ(三菱製 M-H50C)により加工した.想定し

たホブ切り条件(モジュール 3,歯数 35,ねじれ角 20°の右ねじれはすば歯車を外径 100mm,3 条,刃溝数 12 の

ホブを用いて加工する)において最も摩耗が大きくなる切

れ刃と,切取り厚さや切削体積が同等となるように切込み

と送りを設定した.

2.1 工具

ホブ一刃と同形状である,コーティング膜を施した一本

刃の舞いツールを用いた.母材は SKH55 である.舞いツ

ールのコーティング膜質とすくい面刃先部近傍の膜厚,切

削雰囲気を表 1 に示す.

2.2 被削材

被削材は SCM435(230HBW)を用いた.被削材の形状

を図 2 に示す.1 面につき 6.5m 溝長さの切削が可能であ

り,切削後は被削材表面を下溝深さが残るように除去し,

試験を繰り返した.

2.3 実験条件

切削速度を実験 1,2,で V=120m/min,実験 3 で 150m/min, 実験 4, 5 で 180m/min,送り量 f=3mm/rev(下向き削り)

とし,舞いツール一回転あたりの切削体積が 10mm3 にな

るように,下溝深さ 2.710mm,全切り込み 3.5mm と設定

し試験した.AlCrSiN 膜の酸化と摩耗の関係を調べるため

に切削雰囲気を制御可能な工具ホルダを製作し, 大気中,

N2 ガス中での切削を行った.各実験での雰囲気を表 1 に示

す.

2.4 実験手順

下溝を任意の長さ切削するごとに,舞いツールすくい面

の粗さを接触式表面粗さ測定機で測定し,すくい面と逃げ

面をマイクロスコープで撮影した. 実験の終了時期は 1)舞いツールフランク摩耗が 0.3mm

に達した場合または,致命的な損傷が発生するか発生が予

測されるとき,2)切削溝長さ L が 30m 程度に達した場合

とした.

Test Number

Coating film Coating

thickness [µm]

Cutting Atmosphere

No.1 TiAlN 2.8 Air

No.2 AlCrSiN 3.2 Air

No.3 AlCrSiN 3.8 Air

No.4 AlCrSiN 4.0 Air

No.5 AlCrSiN 4.0 N2

Fig .1 Flytool cutting

Table 1 Experiment specification

Fig.2 Figure of work piece

3.実験結果および考察

3.1 TiAlN 膜と AlCrSiN 膜の摩耗比較

実験 1,2,3,4 における切削終了後の舞いツールすくい面

のクレータ摩耗の様子を図 3 に示す.AlCrSiN 膜に関して

は切削速度に関わらず,すくい面全面にわたるクレータは

発生しなかった.それに対して TiAlN 膜は 14.0m 切削を行

った段階でクレータが発生し,さらに切削長が 27.5mに至

るとクレータ摩耗が刃先全面にまで及び切削不能状態にな

った. また, 実験 1,2,3,4 における逃げ面外周部のフランク摩耗

の進展の様子を図 4 に示す.AlCrSiN コーティング工具は

初期摩耗領域では,TiAlN コーティング工具に比べ摩耗進

行が早いが定常摩耗領域では摩耗進行が遅い.AlCrSiN 膜

は初期において刃先エッジ部の膜の摩耗進行が早いが膜硬

さが硬いために定常期においては強い耐アブレッシブ性を

示すと考えられる. 以上の結果から AlCrSiN 膜は TiAlN 膜よりも高い耐摩耗

性を示すことがわかった.

0 10 20 30 400

0.1

0.2

Cutting length m

Flan

k w

ear m

m

SCM435, 230HBWf=3mm/rev, Down cut

v=120m/minTiAlN 2.8µm

v=150m/minAlCrSiN 3.8µm

v=180m/minAlCrSiN 4.0µm

v=120m/minAlCrSiN 3.2µm

3.2 切削雰囲気による摩耗の影響

実験 4,5 における舞いツールすくい面の摩耗進行の様子

を図 5に,逃げ面外周部のフランク摩耗の進行の様子を図 6

に示す.すくい面のクレータ摩耗,フランク摩耗ともに切

削雰囲気による摩耗進行の違いはほとんど現れなかった.

この結果より AlCrSiN コーティング舞いツールでは切削中

の酸化による影響が少ないということがわかった.さらに

高速切削に向いていると考えられる.なおこの結果は切削

速度 V=180 m/min の場合であり, 切削速度をさらに大きく

した場合の摩耗にどのような違いがみられるか検討してい

く必要がある.

0 10 20 30 400

0.1

0.2

Cutting length m

Flan

k w

ear m

m

SCM435, 230HBWf=3mm/rev, Down cut

v=180m/minAlCrSiN 4.0µm Air

v=180m/min 窒素ガスAlCrSiN 4.0µm

4. 結言

(1) AlCrSiN コーティング舞いツールは,従来安定した

耐摩耗性を示す TiAlN 膜に比べても優れた耐摩耗

性を示した.

(2) AlCrSiN コーティング舞いツールは初期摩耗領域,

定常摩耗領域では酸化による摩耗進行の影響は少

ない. [謝辞]

本研究を行うにあたり,コーティング膜の提供及び処理

をしていただいた日本電子工業(株)舟木部長に厚くお礼

申し上げます.

[参考文献]

(1) 久保, 歯車高能率加工に関する基礎研究(2006)九州大学

L = 10 m

L = 36 m

Air atmospher N2 atmospher

Fig.4 Flank wear process of experiment No.1,2,3,4

Fig.5 Rake face picture of experiment No.4 and No.5

(a)Experiment No.4

(b)Experiment No.5

Fig.6 Flank wear process of experiment No.4 and No.5

(a)Experiment No.1 (TiAlN2.8µm,V=120m/min

)

(b)Experiment No.2 (AlCrSiN3.2µm,V=120m/min)

(c)Experiment No.3 (AlCrSiN3.8µm, V=150m/min))

(d)Experiment No.4 (AlCrSiN4.0µm, V=180m/min)

Fig.3 Rake face picture of experiment No.1,2,3,4