04.02 marsohod

15
Проект плата «Марсоход»

Upload: alexander-chemeris

Post on 28-May-2015

766 views

Category:

Technology


6 download

DESCRIPTION

Презентация с конференции ROSH 10.10

TRANSCRIPT

Page 1: 04.02 Marsohod

Проект плата «Марсоход»

Page 2: 04.02 Marsohod

Наша первая машинка – МарсоходНаш сайт: http://marsohod.org

Page 3: 04.02 Marsohod

Плата Марсоход

Page 4: 04.02 Marsohod

Схема платы Марсоход

Page 5: 04.02 Marsohod

Внутри чипа ПЛИС EPM240T100C5

Page 6: 04.02 Marsohod

Среда программирования для платы «Марсоход»

http://www.altera.com

Page 7: 04.02 Marsohod

Среда программирования Altera Quartus II

Page 8: 04.02 Marsohod

Видеоигра Теннис на плате Марсоход

Page 9: 04.02 Marsohod
Page 10: 04.02 Marsohod

Реализация микроконтроллера в ПЛИС платы Марсоход

• 4 регистра r16-r19 общего назначения• Регистр r20 подключен к светодиодам платы• Биты регистра r21 подключены к шести выводам

управления шаговых двигателей• Регистр r22 на чтение, состояние кнопок• Реализованы 17 команд AVR: ADD, SUB, OR, AND,

EOR, MOV, CP, LSR, SUBI, ANDI, ORI, CPI, LDI, BREQ, BRNE, BRCS, BRCC

Page 11: 04.02 Marsohod

Программа для микроконтроллера в реализованного в ПЛИС

Page 12: 04.02 Marsohod

Проект USB в плате Марсоход

Page 13: 04.02 Marsohod

Передача пакета платой Марсоход

Page 14: 04.02 Marsohod

Принтер для раскрашивания пасхальных яиц

Page 15: 04.02 Marsohod