ЦИФРОВЫЕ УСТРОЙСТВА УЧЕБНЫЙ ЛАБОРАТОРНЫЙ...

68
МИНИСТЕРСТВО ОБРАЗОВАНИЯ И НАУКИ РОССИЙСКОЙ ФЕДЕРАЦИИ федеральное государственное бюджетное образовательное учреждение высшего профессионального образования «УЛЬЯНОВСКИЙ ГОСУДАРСТВЕННЫЙ ТЕХНИЧЕСКИЙ УНИВЕРСИТЕТ» ЦИФРОВЫЕ УСТРОЙСТВА. УЧЕБНЫЙ ЛАБОРАТОРНЫЙ СТЕНД НА ПЛИС Методические указания к лабораторным работам по дисциплине «Цифровые устройства и микропроцессоры» для студентов дневной формы обучения специальности 11.05.01 и бакалавров направления 11.03.01 «Радиотехника» Составители: Н. Г. Захаров Н. В. Субботин А. А. Казанков А. С. Савалев Ульяновск УлГТУ 2015

Upload: others

Post on 06-Sep-2020

22 views

Category:

Documents


0 download

TRANSCRIPT

Page 1: ЦИФРОВЫЕ УСТРОЙСТВА УЧЕБНЫЙ ЛАБОРАТОРНЫЙ ...venec.ulstu.ru/lib/disk/2015/132.pdfпараллельной обработки информации

МИНИСТЕРСТВО ОБРАЗОВАНИЯ И НАУКИ РОССИЙСКОЙ ФЕДЕРАЦИИ

федеральное государственное бюджетное образовательное учреждение высшего профессионального образования

«УЛЬЯНОВСКИЙ ГОСУДАРСТВЕННЫЙ ТЕХНИЧЕСКИЙ УНИВЕРСИТЕТ»

ЦИФРОВЫЕ УСТРОЙСТВА. УЧЕБНЫЙ ЛАБОРАТОРНЫЙ СТЕНД

НА ПЛИС

Методические указания к лабораторным работам по дисциплине «Цифровые устройства и микропроцессоры»

для студентов дневной формы обучения специальности 11.05.01 и бакалавров направления 11.03.01 «Радиотехника»

Составители: Н. Г. Захаров Н. В. Субботин А. А. Казанков А. С. Савалев

Ульяновск УлГТУ

2015

Page 2: ЦИФРОВЫЕ УСТРОЙСТВА УЧЕБНЫЙ ЛАБОРАТОРНЫЙ ...venec.ulstu.ru/lib/disk/2015/132.pdfпараллельной обработки информации

2

УДК 004.3+371.693 (076) ББК 32.97+74.202 я 73

Ц 75

Рецензент профессор кафедры «Телекоммуникации» радиотехнического факультета Ульяновского государственного технического университета д-р техн. наук К. К. Васильев

Рекомендовано научно-методической комиссией радиотехнического факультета в качестве методических указаний

Ц 75 Цифровые устройства. Учебный лабораторный стенд на ПЛИС: методические указания к лабораторным работам / сост.: Н. Г. Захаров, Н. В. Субботин, А. А. Казанков, А.С. Савалев. – Ульяновск : УлГТУ, 2015. – 68 с.

Составлены в соответствии с программой курса «Цифровые

устройства и микропроцессоры». Предназначены для исследования логических элементов и узлов цифровых устройств на учебном лабораторном стенде на базе ПЛИС Altera DE2-115. Стенд позволяет исследовать комбинационные и последовательностные цифровые устройства: логические элементы, шифраторы, дешифраторы, мультиплексоры, демультиплексоры, триггеры, регистры, счетчики.

Адресованы студентам дневной формы обучения специальности 11.05.01 и бакалаврам направления 11.03.01 «Радиотехника».

Подготовлены на кафедре «Радиотехника».

УДК 004.3+371.693 (076) ББК 32.97+74.202 я 73

© Захаров Н. Г., Субботин Н. В.,

Казанков А. А., Савалев А. С., составление, 2015

© Оформление. УлГТУ, 2015

Page 3: ЦИФРОВЫЕ УСТРОЙСТВА УЧЕБНЫЙ ЛАБОРАТОРНЫЙ ...venec.ulstu.ru/lib/disk/2015/132.pdfпараллельной обработки информации

3

СОДЕРЖАНИЕ

Введение ..................................................................................................... 4

Описание стенда ALTERA DE2-115 ........................................................ 5

Правила выполнения работ .................................................................... 12

Лабораторная работа №1. Исследование основных логических

элементов на основе программируемых логических интегральных

схем (ПЛИС) в среде QUARTUS II ........................................................ 13

Лабораторная работа №2. Синтез логических схем ............................. 19

Лабораторная работа №3. Исследование комбинационных схем ....... 27

Лабораторная работа №4. Исследование триггеров ............................. 41

Лабораторная работа №5. Исследование регистров ............................. 51

Лабораторная работа №6. Исследование двоичных счетчиков .......... 59

Список рекомендуемой литературы ...................................................... 68

3

Page 4: ЦИФРОВЫЕ УСТРОЙСТВА УЧЕБНЫЙ ЛАБОРАТОРНЫЙ ...venec.ulstu.ru/lib/disk/2015/132.pdfпараллельной обработки информации

4

ВВЕДЕНИЕ

Методические указания предназначены для студентов дневной формы обучения специальности 11.05.01 и бакалавров направления 11.03.01.

Лабораторный практикум позволяет студентам на лабораторном стенде изучить основные принципы построения цифровых устройств на основе ПЛИС. Лабораторные работы позволяют исследовать логические элементы и комбинационные устройства на их базе. Исследуются также последовательностные цифровые устройства. Современные ПЛИС представляют собой высокопроизводительные и высокоскоростные цифровые устройства. Они обладают преимуществами по сравнению с микропроцессорными системами: более высокой производительностью в задачах цифровой обработки сигналов и возможностью реализовать на одном кристалле систем параллельной обработки информации. На основе ПЛИС можно синтезировать цифровые устройства любой степени сложности. Достоинством технологии проектирования на базе ПЛИС являются минимальное время разработки, быстрое преобразование одной конфигурации цифровой схемы в другую. Для создания устройств на основе ПЛИС не требуется сложное технологическое производство, т. к. ПЛИС конфигурируется с помощью ПК на столе разработчика.

4

Page 5: ЦИФРОВЫЕ УСТРОЙСТВА УЧЕБНЫЙ ЛАБОРАТОРНЫЙ ...venec.ulstu.ru/lib/disk/2015/132.pdfпараллельной обработки информации

5

ОПИСАНИЕ СТЕНДА ALTERA DE2-115

Все лабораторные работы выполняются на учебном стенде Altera DE2-115, основой которого является ПЛИС семейства Cyclon IV EP4CE115F29. На рис. 1 показаны основные элементы учебного стенда изучаемой ПЛИС.

Рис. 1. Учебный стенд Altera DE2-115

5

Page 6: ЦИФРОВЫЕ УСТРОЙСТВА УЧЕБНЫЙ ЛАБОРАТОРНЫЙ ...venec.ulstu.ru/lib/disk/2015/132.pdfпараллельной обработки информации

6

Программируемые логические интегральные схемы (ПЛИС) являются одними из самых перспективных элементов цифровой схемотехники. ПЛИС представляет собой кристалл, на котором расположено большое количество простых логических элементов. Изначально эти элементы не соединены между собой. Соединение элементов (превращение разрозненных элементов в электрическую схему) осуществляется с помощью электронных ключей, расположенных в этом же кристалле. Электронные ключи управляются специальной памятью, в ячейки которой заносится код конфигурации цифровой схемы. Таким образом, записав в память ПЛИС определенные коды, можно собрать цифровое устройство любой степени сложности (это зависит от количества элементов на кристалле и параметров ПЛИС). В отличие от микропроцессоров, в ПЛИС можно организовать алгоритмы цифровой обработки на аппаратном (схемном) уровне. При этом быстродействие цифровой обработки резко возрастает. Достоинствами технологии проектирования устройств на основе ПЛИС являются:

- минимальное время разработки схемы (нужно лишь занести в память ПЛИС конфигурационный код);

- в отличие от обычных элементов цифровой схемотехники, здесь отпадает необходимость в разработке и изготовлении сложных печатных плат;

- быстрое преобразование одной конфигурации цифровой схемы в другую (замена кода конфигурации схемы в памяти);

- для создания устройств на основе ПЛИС не требуется сложное технологическое производство. ПЛИС конфигурируется с помощью персонального компьютера на столе разработчика. Потому иногда эту технологию называют «фабрикой на столе».

Система автоматизированного проектирования Quartus II

Одним из мировых лидеров по производству ПЛИС является фирма Altera. Для создания цифровых устройств на основе своих изделий Altera разработала специальную программную среду Quartus II. Эта среда позволяет:

- с помощью графического редактора ввести в память персонального компьютера электрическую схему;

- проверить и исправить ошибки; - определить параметры и характеристики разработанного

устройства;

6

Page 7: ЦИФРОВЫЕ УСТРОЙСТВА УЧЕБНЫЙ ЛАБОРАТОРНЫЙ ...venec.ulstu.ru/lib/disk/2015/132.pdfпараллельной обработки информации

7

- сформировать файл конфигурации для конкретной ПЛИС; - загрузить этот файл в память интегральной схемы.

Открытие файла проекта

Прежде всего необходимо запустить среду Quartus II, используя соответствующий ярлык.

Работа в среде Quartus II начинается с открытия файла проекта, который содержит соответствующую лабораторную работу. Далее выбираем из меню File пункт Open Project – открыть проект (рис. 2).

Рис. 2. Открытие проекта в среде Quartus II

В открывшемся окне находим папку с лабораторными работами, которая находится на рабочем столе, в ней открываем папку Lab1. Далее выбираем файл проекта Lab1.qpf и нажимаем кнопку Открыть (рис. 3).

Для открытия файла, который содержит принципиальную схему исследуемых цифровых устройств, в окне Project Navigator следует открыть файл Lab1.bdf (рис. 4).

7

Page 8: ЦИФРОВЫЕ УСТРОЙСТВА УЧЕБНЫЙ ЛАБОРАТОРНЫЙ ...venec.ulstu.ru/lib/disk/2015/132.pdfпараллельной обработки информации

8

Рис. 3. Меню выбора текущего файла проекта

Рис. 4. Открытие файла, содержащего принципиальную схему исследуемых цифровых устройств

8

Page 9: ЦИФРОВЫЕ УСТРОЙСТВА УЧЕБНЫЙ ЛАБОРАТОРНЫЙ ...venec.ulstu.ru/lib/disk/2015/132.pdfпараллельной обработки информации

9

В результате откроется окно графического редактора с файлом Lab1.bdf, в котором появится схемы исследуемых цифровых устройств. Следует обратить внимание, что графические изображения элементов схемы в системе Quartus II отличаются от обозначений, принятых в России. В таблице 1 приведены российские изображения и соответствующие им обозначения элементов в системе Quartus II.

Таблица 1

Название элемента Российское обозначение

Название элемента в

Quartus

Обозначение в Quartus

«И» and

«И-НЕ» nand

«ИЛИ» or

«ИЛИ-НЕ» nor

«ИСКЛЮЧАЮЩЕЕ ИЛИ»

xor

«ИСКЛЮЧАЮЩЕЕ ИЛИ-НЕ»

xnor

«НЕ» not

9

Page 10: ЦИФРОВЫЕ УСТРОЙСТВА УЧЕБНЫЙ ЛАБОРАТОРНЫЙ ...venec.ulstu.ru/lib/disk/2015/132.pdfпараллельной обработки информации

10

Загрузка файла конфигурации в ПЛИС

Во время компиляции модуль Assembler системы Quartus II генерирует файлы, которые модуль программирования Programmer использует для конфигурирования устройства. Ассемблер автоматически преобразует результаты компоновки логических ячеек и назначения контактов в программный образ устройства в виде одного или нескольких объектных файлов Programmer Object (.pof) или объектных SRAM файлов (.sof). При этом модуль Assembler системы Quartus II можно запускать либо в рамках полной компиляции проекта, либо запускать его отдельно. Модуль Programmer использует POF и SOF файлы, сгенерированные ассемблером для программирования или конфигурирования всех устройств Altera, поддерживаемых САПР Quartus II. Теперь рассмотрим, как выполняется программирование ПЛИС MAX II в САПР Quartus II.

Для подготовки к программированию или конфигурированию ПЛИС необходимо открыть окно программатора. Для этого в меню Tools выбираем пункт Programmer. Окно Programmer представлено на рисунке 5.

Рис. 5. Окно Programmer

10

Page 11: ЦИФРОВЫЕ УСТРОЙСТВА УЧЕБНЫЙ ЛАБОРАТОРНЫЙ ...venec.ulstu.ru/lib/disk/2015/132.pdfпараллельной обработки информации

11

При запуске программатора по умолчанию файл конфигурации должен отобразиться в основном окне программатора в виде строчки. Для начала процесса программирования выбираем Start из меню Processing окна программатора или же нажимаем соот-ветствующую кнопку, находящуюся в левой области окна программатора. О ходе выполнения конфигурирования можно судить по индикатору загрузки, окна Progress. После успешного окончания конфигурирования система Quartus II выдаст соответствующее сообщение.

11

Page 12: ЦИФРОВЫЕ УСТРОЙСТВА УЧЕБНЫЙ ЛАБОРАТОРНЫЙ ...venec.ulstu.ru/lib/disk/2015/132.pdfпараллельной обработки информации

12

ПРАВИЛА ВЫПОЛНЕНИЯ РАБОТ

1. Подготовка к работе

При подготовке к работе следует: - по конспектам лекций и рекомендованной литературе изучить

теоретический материал, относящийся к данной работе; - ознакомиться с описанием, выполнить домашнее задание и

продумать ответы на контрольные вопросы; - составить краткую программу выполнения лабораторной

работы; - ознакомиться с применяемой в работе программой Quartus II.

2. Выполнение работ в лаборатории

Лабораторные работы выполняются только в часы,

предусмотренные расписанием, бригадами по 2–4 человека. Выполнению работы предшествует проверка готовности студента к работе, при этом студент должен представить все материалы, подготовленные в соответствии с п. 1, и ответить на вопросы преподавателя по теории предстоящей работы и методике ее выполнения. Если результаты проверки готовности будут признаны удовлетворительными, студент получает допуск к работе.

Работа в лаборатории считается законченной только после просмотра и утверждения полученных результатов преподавателем.

3. Оформление отчета и зачет по работе

Отчет о выполненной работе должен быть составлен индивидуально на листах писчей бумаги формата А4. Графический материал и все результаты лабораторной работы выполняются дома на компьютере. Расчету отдельных величин должно предшествовать краткое объяснение и буквенное обозначение формул. Зачет по работе студент получает только после представления отчета.

12

Page 13: ЦИФРОВЫЕ УСТРОЙСТВА УЧЕБНЫЙ ЛАБОРАТОРНЫЙ ...venec.ulstu.ru/lib/disk/2015/132.pdfпараллельной обработки информации

13

ЛАБОРАТОРНАЯ РАБОТА №1

ИССЛЕДОВАНИЕ ОСНОВНЫХ ЛОГИЧЕСКИХ ЭЛЕМЕНТОВ НА ОСНОВЕ ПРОГРАММИРУЕМЫХ ЛОГИЧЕСКИХ

ИНТЕГРАЛЬНЫХ СХЕМ (ПЛИС) В СРЕДЕ QUARTUS II 1. Цель работы

Целью работы является изучение способов создания цифровых устройств (основных логических элементов) на основе ПЛИС в среде Quartus II.

2. Домашнее задание Изучить основные принципы работы с пакетом Quartus II.

3. Задание к работе

3.1. Исследование логического элемента «И»

Рис. 1.1. Схема исследования элемента «И»

С помощью переключателей SW17 и SW16 находящихся на

учебном стенде Altera DE2-115, последовательно установить возможные комбинации логических уровней на входах элемента «И». При этом каждый раз контролировать логический уровень на выходе элемента «И».

Светодиоды LEDR17, LEDR19 индицируют входные сигналы, а LEDG7 – выходной сигнал. Если светодиод светится – это логическая единица, иначе – логический ноль. По результатам исследования заполнить таблицу 1.1. Записать логическое выражение, соответствующее полученной таблице истинности.

13

Page 14: ЦИФРОВЫЕ УСТРОЙСТВА УЧЕБНЫЙ ЛАБОРАТОРНЫЙ ...venec.ulstu.ru/lib/disk/2015/132.pdfпараллельной обработки информации

14

Таблица 1.1 X1 X2 Y 0 0 .. 0 1 .. 1 0 .. 1 1 ..

3.2. Исследование логического элемента «И-НЕ»

Рис. 1.2. Схема исследования элемента «И-НЕ»

С помощью переключателей SW15 и SW14 последовательно

установить возможные комбинации логических уровней на входах элемента «И-НЕ». При этом каждый раз контролировать логический уровень на выходе элемента «И-НЕ». Светодиоды LEDR15, LEDR14 индицируют входные сигналы, а LEDG6 – выходной сигнал. По результатам исследования заполнить таблицу 1.1. Записать логическое выражение, соответствующее полученной таблице истинности.

3.3. Исследование логического элемента «ИЛИ»

Рис. 1.3. Схема исследования элемента «ИЛИ»

С помощью переключателей SW13 и SW12 последовательно

установить возможные комбинации логических уровней на входах элемента «ИЛИ». При этом каждый раз контролировать логический уровень на выходе элемента «ИЛИ». Светодиоды LEDR13, LEDR12

14

Page 15: ЦИФРОВЫЕ УСТРОЙСТВА УЧЕБНЫЙ ЛАБОРАТОРНЫЙ ...venec.ulstu.ru/lib/disk/2015/132.pdfпараллельной обработки информации

15

индицируют входные сигналы, а LEDG5 – выходной сигнал. По результатам исследования заполнить таблицу 1.1. Записать логическое выражение, соответствующее полученной таблице истинности.

3.4. Исследование логического элемента «ИЛИ-НЕ»

Рис. 1.4. Схема исследования элемента «ИЛИ-НЕ»

С помощью переключателей SW11 и SW10 последовательно

установить возможные комбинации логических уровней на входах элемента «ИЛИ-НЕ». При этом каждый раз контролировать логический уровень на выходе элемента «ИЛИ-НЕ». Светодиоды LEDR11, LEDR10 индицируют входные сигналы, а LEDG4 – выходной сигнал. По результатам исследования заполнить таблицу 1.1. Записать логическое выражение, соответствующее полученной таблице истинности.

3.5. Исследование логического элемента «ИСКЛЮЧАЮЩЕЕ

ИЛИ»

Рис. 1.5. Схема исследования элемента «ИСКЛЮЧАЮЩЕЕ ИЛИ»

С помощью переключателей SW9 и SW8 последовательно

установить возможные комбинации логических уровней на входах

15

Page 16: ЦИФРОВЫЕ УСТРОЙСТВА УЧЕБНЫЙ ЛАБОРАТОРНЫЙ ...venec.ulstu.ru/lib/disk/2015/132.pdfпараллельной обработки информации

16

элемента «ИСКЛЮЧАЮЩЕЕ ИЛИ». При этом каждый раз контролировать логический уровень на выходе элемента «ИСКЛЮЧАЮЩЕЕ ИЛИ». Светодиоды LEDR9, LEDR8 индицируют входные сигналы, а LEDG3 – выходной сигнал. По результатам исследования заполнить таблицу 1.1. Записать логическое выражение, соответствующее полученной таблице истинности.

3.6. Исследование логического элемента «ИСКЛЮЧАЮЩЕЕ ИЛИ-НЕ»

Рис. 1.6. Схема исследования элемента «ИСКЛЮЧАЮЩЕЕ ИЛИ-НЕ»

С помощью переключателей SW7 и SW6 последовательно установить возможные комбинации логических уровней на входах элемента «ИСКЛЮЧАЮЩЕЕ ИЛИ-НЕ». При этом каждый раз контролировать логический уровень на выходе элемента «ИСКЛЮЧАЮЩЕЕ ИЛИ-НЕ». Светодиоды LEDR7, LEDR6 индицируют входные сигналы, а LEDG2 – выходной сигнал. По результатам исследования заполнить таблицу 1.1. Записать логическое выражение, соответствующее полученной таблице истинности.

3.7. Исследование логического элемента «НЕ»

Рис. 1.7. Схема исследования элемента «НЕ»

16

Page 17: ЦИФРОВЫЕ УСТРОЙСТВА УЧЕБНЫЙ ЛАБОРАТОРНЫЙ ...venec.ulstu.ru/lib/disk/2015/132.pdfпараллельной обработки информации

17

С помощью переключателя SW5 последовательно установить возможные комбинации логических уровней на входе элемента «НЕ». При этом каждый раз контролировать логический уровень на выходе элемента «НЕ». Светодиод LEDR5 индицирует входной сигнал, а LEDG1 – выходной. По результатам исследования заполнить таблицу 1.2. Записать логическое выражение, соответствующее полученной таблице истинности.

Таблица 1.2

X1 Y

0 ..

1 ..

4. Содержание отчета

1. Цель работы. 2. Схема исследования логических элементов. 3. Схемы конфигурации ПЛИС в среде Quartus II. 4. Таблицы истинности исследуемых логических элементов. 5. Логические выражения для каждого элемента. 6. Выводы.

5. Контрольные вопросы

1. Расскажите, что такое ПЛИС? 2. Каковы преимущества ПЛИС? 3. Что такое файл конфигурации ПЛИС? 4. Каким образом производится конфигурирование ПЛИС? 5. Назначение системы автоматизированного проектирования Quartus II. 6. Этапы создания проекта в системе Quartus II. 7. Работа с графическим редактором Quartus II. 8. Как производится подключение электрической схемы внутри ПЛИС к внешним выводам? 9. Как устроен лабораторный стенд? 10. Изобразите схему исследования логических элементов. 11. Приведите условное графическое изображение основных логических элементов в соответствии с российскими стандартами и в системе Quartus II.

17

Page 18: ЦИФРОВЫЕ УСТРОЙСТВА УЧЕБНЫЙ ЛАБОРАТОРНЫЙ ...venec.ulstu.ru/lib/disk/2015/132.pdfпараллельной обработки информации

18

12. Запишите логические выражения, соответствующие основным логическим элементам. 13. Что такое таблица истинности? 14. Изобразите таблицы истинности основных логических элементов.

18

Page 19: ЦИФРОВЫЕ УСТРОЙСТВА УЧЕБНЫЙ ЛАБОРАТОРНЫЙ ...venec.ulstu.ru/lib/disk/2015/132.pdfпараллельной обработки информации

19

ЛАБОРАТОРНАЯ РАБОТА №2

СИНТЕЗ ЛОГИЧЕСКИХ СХЕМ

1. Цель работы

Научиться синтезировать логические схемы по заданной таблице истинности.

2. Краткие теоретические сведения

Любая логическая схема без памяти полностью описывается таблицей истинности. Эта таблица является исходной информацией для синтеза схемы на основе логических элементов «И», «ИЛИ», «НЕ». Для разработки требуемого цифрового устройства сначала на основе таблицы истинности записывают его логическое выражение. Затем с целью упрощения цифрового устройства минимизируют его логическое выражение и далее разрабатывают схему, реализующую полученное логическое выражение. Логические выражения можно получить двумя способами:

- на основе совершенной дизъюнктивной нормальной формы (СДНФ);

- на основе совершенной конъюнктивной нормальной формы (СКНФ).

Совершенная дизъюнктивная нормальная форма (СДНФ). Функция представляется суммой групп. Каждая группа состоит из произведения, в которую входят все переменные.

Например:

X3X1·X2· ·X3X2X1· ·X2·X3X1X3)X2,F(X1, . Совершенная конъюнктивная нормальная форма (СКНФ).

Функция представляется произведением групп. Каждая группа состоит из суммы, в которую входят все переменные.

Например:

)X3X2(X1 X3)X2(X1 X3)X2(X1X3)X2,F(X1, . Если схема имеет несколько выходов, то каждый выход

описывается своей функцией. Такая система функций называется системой собственных функций. СДНФ составляется на основе таблицы истинности по следующему правилу: для каждого набора переменных, при котором функция равна 1, записывается

19

Page 20: ЦИФРОВЫЕ УСТРОЙСТВА УЧЕБНЫЙ ЛАБОРАТОРНЫЙ ...venec.ulstu.ru/lib/disk/2015/132.pdfпараллельной обработки информации

20

произведение, в котором с отрицанием берутся переменные, имеющие значение «0».

Пример: Таблица 2.1

X1 X2 X3 Y 0 0 0 0 0 0 1 1 0 1 0 0 0 1 1 1 1 0 0 0 1 0 1 0 1 1 0 1 1 1 1 1

СДНФ:

X1·X2·X3 X3X1·X2··X2·X3X1 ·X3X2·X1X3)X2,F(X1,Y

СКНФ составляется на основе таблицы истинности по правилу: для каждого набора переменных, при котором функция равна 0, записывается сумма, в которой с отрицанием берутся переменные, имеющие значение 1.

Таблица 2.2 X1 X2 X3 Y 0 0 0 0 0 0 1 1 0 1 0 0 0 1 1 1 1 0 0 0 1 0 1 0 1 1 0 1 1 1 1 1

СКНФ:

. )X3X2X1(

X3)X2X1(X3)X2(X1 X3)X2(X1X3)X2,F(X1,Y

 

На основе полученных выражений можно составить схему устройства, реализующего заданную функцию. Схема устройства, полученная на основе СДНФ, изображена на рис. 2.1, а на основе СКНФ на рис. 2.2.

20

Page 21: ЦИФРОВЫЕ УСТРОЙСТВА УЧЕБНЫЙ ЛАБОРАТОРНЫЙ ...venec.ulstu.ru/lib/disk/2015/132.pdfпараллельной обработки информации

21

Рис. 2.1. Схема устройства, полученная на основе СДНФ

Рис. 2.2. Схема устройства, полученная на основе СКНФ

21

Page 22: ЦИФРОВЫЕ УСТРОЙСТВА УЧЕБНЫЙ ЛАБОРАТОРНЫЙ ...venec.ulstu.ru/lib/disk/2015/132.pdfпараллельной обработки информации

22

С целью упрощения цифрового устройства применяют минимизацию функций. Используя законы алгебры логики, можно упростить исходную функцию.

. X1·X2 ·X3X1 X3)X3X1·X2·( X2)X2·X3·(X1

X1·X2·X3 X3X1·X2· ·X2·X3X1 ·X3X2·X1X3)X2,Y(X1,

На основе полученного выражения составим новую схему устройства (рис. 2.3).

Рис. 2.3. Схема устройства, полученная после минимизации логической функции

3. Задание к работе

Необходимо запустить среду Quartus II, затем выбрать из меню File пункт Open Project. В открывшемся окне найти папку с лабораторными работами, которая находится на рабочем столе, в ней открываем папку Lab2. Далее выбираем файл проекта Lab2.qpf и нажимаем кнопку Открыть. Для открытия файла, который содержит принципиальную схему исследуемых цифровых устройств, в окне Project Navigator следует открыть файл Lab2.bdf. Сконфигурировать ПЛИС в соответствии с открытой схемой.

3.1. Исследовать схему, полученную по таблице истинности (таблица 2.1) на основе СДНФ

Входные сигналы устанавливаются при помощи переключателей SW17 (вход X1), SW16 (вход X2), SW15 (вход X3). Индикация входных сигналов осуществляется светодиодами LEDR17, LEDR16, LEDR16 соответственно. Выходной сигнал контролируется при помощи светодиода LEDG7 (выход Y). Схема устройства в среде Quartus II будет выглядеть в соответствии с рис. 2.4.

22

Page 23: ЦИФРОВЫЕ УСТРОЙСТВА УЧЕБНЫЙ ЛАБОРАТОРНЫЙ ...venec.ulstu.ru/lib/disk/2015/132.pdfпараллельной обработки информации

23

Устанавливая на входах схемы с помощью переключателей все возможные кодовые комбинации и наблюдая за светодиодом, заполнить таблицу истинности исследуемого устройства.

Рис. 2.4. Схема устройства в среде Quartus II, составленная на основе СДНФ

3.2. Исследовать схему, полученную по таблице истинности

(таблица 2.2) на основе СКНФ

Входные сигналы устанавливаются при помощи переключателей SW14 (вход X1), SW13 (вход X2), SW12 (вход X3). Индикация входных сигналов осуществляется светодиодами LEDR14, LEDR13, LEDR12 соответственно. Выходной сигнал контролируется при

23

Page 24: ЦИФРОВЫЕ УСТРОЙСТВА УЧЕБНЫЙ ЛАБОРАТОРНЫЙ ...venec.ulstu.ru/lib/disk/2015/132.pdfпараллельной обработки информации

24

помощи светодиода LEDG6 (выход Y). Схема устройства в среде Quartus II будет выглядеть в соответствии с рис. 2.5.

Устанавливая на входах схемы с помощью переключателей все возможные кодовые комбинации и наблюдая за светодиодом, заполнить таблицу истинности исследуемого устройства.

Рис. 2.5. Схема устройства в среде Quartus II, составленная на основе СКНФ

3.3. Исследовать минимизированную схему (рис. 2.6)

Входные сигналы устанавливаются при помощи переключателей SW11 (вход X1), SW10 (вход X2), SW9 (вход X3). Индикация входных сигналов осуществляется светодиодами LEDR11, LEDR10,

24

Page 25: ЦИФРОВЫЕ УСТРОЙСТВА УЧЕБНЫЙ ЛАБОРАТОРНЫЙ ...venec.ulstu.ru/lib/disk/2015/132.pdfпараллельной обработки информации

25

LEDR9 соответственно. Выходной сигнал контролируется при помощи светодиода LEDG5 (выход Y).

Устанавливая на входах схемы с помощью переключателей все возможные кодовые комбинации и наблюдая за светодиодом, заполнить таблицу истинности исследуемого устройства.

Рис. 2.6. Схема устройства в среде Quartus II, составленная на основе СДНФ с последующей минимизацией

25

Page 26: ЦИФРОВЫЕ УСТРОЙСТВА УЧЕБНЫЙ ЛАБОРАТОРНЫЙ ...venec.ulstu.ru/lib/disk/2015/132.pdfпараллельной обработки информации

26

4. Содержание отчета

1. Цель работы. 2. Заданная таблица истинности. 3. Логическое выражение на основе СДНФ. 4. Логическое выражение на основе СКНФ. 5. Минимизированное логическое выражение. 6. Схемы, синтезированные на основе СДНФ, СКНФ и в результате минимизации. 7. Таблицы истинности, полученные в результате исследования схем. 8. Выводы.

5. Контрольные вопросы

1. Что такое СДНФ? 2. Что такое СКНФ? 3. Как записать СДНФ, используя таблицу истинности устройства? 4. Как записать СКНФ, используя таблицу истинности устройства? 5. Как разработать схему логического устройства, используя СДНФ? 6. Как разработать схему логического устройства, используя СКНФ? 7. Как лучше синтезировать логическое устройство (на основе СДНФ или СКНФ), если значение функции в таблице истинности имеет больше нулей, чем единиц? 8. Как разработать логическое устройство, если оно имеет несколько выходов? 9. Что такое минимизация логического выражения? 10. Запишите основные законы алгебры логики. 11. Расскажите, как определить таблицу истинности логического устройства экспериментально, используя лабораторный стенд.

26

Page 27: ЦИФРОВЫЕ УСТРОЙСТВА УЧЕБНЫЙ ЛАБОРАТОРНЫЙ ...venec.ulstu.ru/lib/disk/2015/132.pdfпараллельной обработки информации

27

ЛАБОРАТОРНАЯ РАБОТА №3

ИССЛЕДОВАНИЕ КОМБИНАЦИОННЫХ СХЕМ

1. Цель работы

Целью работы является изучение принципов действия комбинационных схем: дешифратора, шифратора, преобразователя кода для семисегментного индикатора, сумматора.

2. Краткие теоретические сведения

2.1. Дешифратор (декодер)

Дешифратор (декодер) служит для преобразования n-разрядного позиционного двоичного кода в единичный выходной сигнал на одном из 2n выходов. При каждой входной комбинации сигналов на одном из выходов появляется 1. Таким образом, по единичному сигналу на одном из выходов можно судить о входной кодовой комбинации. Таблица истинности для декодера с двумя входами изображена в таблице 3.1.

Таблица 3.1 X1 X2 Y0 Y1 Y2 Y3 0 0 1 0 0 0 0 1 0 1 0 0 1 0 0 0 1 0 1 1 0 0 0 1

Для построения схемы декодера по таблице истинности

воспользуемся методикой, изложенной в лабораторной работе №2. Например, устройство должно иметь 4 выхода. Для каждого выхода записываем логическое выражение. На основе СДНФ:

X1·X2Y3

X2X1· Y2

·X2X1 Y1

X2·X1 Y0

По этой системе выражений несложно построить схему требуемого дешифратора (рис. 3.1). Условное графическое обозначение такого дешифратора изображено на рис. 3.2.

27

Page 28: ЦИФРОВЫЕ УСТРОЙСТВА УЧЕБНЫЙ ЛАБОРАТОРНЫЙ ...venec.ulstu.ru/lib/disk/2015/132.pdfпараллельной обработки информации

28

Рис. 3.1. Схема дешифратора Рис. 3.2. Условное графическое

обозначение дешифратора

2.2. Шифратор (кодер)

Шифратор выполняет функцию, обратную декодеру (дешифратору), то есть преобразует непозиционный (унитарный) двоичный 2n-разрядный код в n-разрядный позиционный код. При подаче на один из входов единичного сигнала на выходе формируется соответствующий двоичный код. Составим таблицу истинности шифратора при n = 2.

Таблица 3.2

Синтезируем шифратор. Для этого запишем систему его

собственных функций:

X1 X2 X3 X4 Y1 Y0 1 0 0 0 0 0 0 1 0 0 0 1 0 0 1 0 1 0 0 0 0 1 1 1

. ·X4 X3 · X2 · X1 X4 · X3 · X2 · X1 Y0

·X4 X3 · X2 · X1 X4 · X3 · X2 · X1 Y1

28

Page 29: ЦИФРОВЫЕ УСТРОЙСТВА УЧЕБНЫЙ ЛАБОРАТОРНЫЙ ...venec.ulstu.ru/lib/disk/2015/132.pdfпараллельной обработки информации

29

Рис. 3.3. Схема шифратора Рис. 3.4. Условное графическое

обозначение шифратора

2.3. Преобразователь кода для семисегментного индикатора

Наиболее широко преобразователи кодов известны применительно к цифровым индикаторам. Например, преобразователь 4-разрядного позиционного двоичного кода в десятичные цифры. Имеется семисегментный индикатор и с его помощью требуется высветить десять цифр.

Рис. 3.5. Семисегментный индикатор

29

Page 30: ЦИФРОВЫЕ УСТРОЙСТВА УЧЕБНЫЙ ЛАБОРАТОРНЫЙ ...venec.ulstu.ru/lib/disk/2015/132.pdfпараллельной обработки информации

30

Очевидно, что двоичный код должен иметь не менее четырех разрядов (24 = 16, что больше 10). Составим таблицу истинности работы такого преобразователя.

Таблица 3.3 Цифра Двоичный код 8-4-2-1 a б в г д е ж

0 0 0 0 0 1 1 1 1 1 1 0 1 0 0 0 1 0 1 1 0 0 0 0 2 0 0 1 0 1 1 0 1 1 0 1 3 0 0 1 1 1 1 1 1 0 0 1 4 0 1 0 0 0 1 1 0 0 1 1 5 0 1 0 1 1 0 1 1 0 1 1 6 0 1 1 0 1 0 1 1 1 1 1 7 0 1 1 1 1 1 1 0 0 0 0 8 1 0 0 0 1 1 1 1 1 1 1 9 1 0 0 1 1 1 1 1 0 1 1

По таблице истинности несложно составить систему

собственных функций для всех выходов СДНФ, минимизировать ее и составить принципиальную схему.

Рис. 3.6. Условное графическое обозначение преобразователя кода

2.4. Сумматор

Сумматор – узел компьютера, предназначенный для сложения двоичных чисел. Построение двоичных сумматоров обычно начинается с сумматора по модулю 2. Схема сумматора по модулю 2 совпадает со схемой исключающее «ИЛИ»:

Таблица 3.4 X1 X2 Y 0 0 0 0 1 1 1 0 1 1 1 0

30

Page 31: ЦИФРОВЫЕ УСТРОЙСТВА УЧЕБНЫЙ ЛАБОРАТОРНЫЙ ...venec.ulstu.ru/lib/disk/2015/132.pdfпараллельной обработки информации

31

Логическое выражение, описывающее сумматор по модулю 2:

X2·X1·X2X1 Y .

На основе логического уравнения, описывающего этот элемент, можно синтезировать схему (рис. 3.8).

Рис. 3.7. Условное графическое Рис. 3.8. Схема сумматора по модулю 2 обозначение сумматора

по модулю 2

Сумматор по модулю 2 выполняет суммирование без учета переноса. В обычном двоичном сумматоре требуется учитывать перенос, поэтому требуются схемы, позволяющие формировать перенос в следующий двоичный разряд. Таблица истинности такой схемы, называемой полусумматором, приведена в таблице 3.5.

Таблица 3.5 A B S P0 0 0 0 0 0 1 1 0 1 0 1 0 1 1 0 1

Здесь A и B – слагаемые, S – сумма, P0 – перенос в старший разряд (выход переноса Pout). Запишем систему собственных функций для полусумматора:

. B ·A P0

B ·A B · A S

31

Page 32: ЦИФРОВЫЕ УСТРОЙСТВА УЧЕБНЫЙ ЛАБОРАТОРНЫЙ ...venec.ulstu.ru/lib/disk/2015/132.pdfпараллельной обработки информации

32

Рис. 3.9. Принципиальная схема, реализующая

таблицу истинности полусумматора

Рис. 3.10. Изображение полусумматора на схемах

2.5. Полный сумматор

Схема полусумматора формирует перенос в старший разряд, но не может учитывать перенос из младшего разряда. При сложении многоразрядных двоичных чисел необходимо складывать три цифры в каждом разряде – 2 слагаемых и единицу переноса из предыдущего разряда PI.

Таблица 3.6 PI A B S P0 0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 0 1 1 0 0 1 0 1 0 1 0 1 1 1 0 0 1 1 1 1 1 1

32

Page 33: ЦИФРОВЫЕ УСТРОЙСТВА УЧЕБНЫЙ ЛАБОРАТОРНЫЙ ...venec.ulstu.ru/lib/disk/2015/132.pdfпараллельной обработки информации

33

PI – вход 1 переноса из предыдущего разряда, P0 – выход 1 переноса в старший разряд. На основании таблицы истинности запишем систему собственных функций для каждого выхода:

. PI · B ·A PI · B ·A PI · B · A PI · B ·A P0

PI · B ·A PI · B · A PI · B ·A PI · B · A S

В результате получим схему полного сумматора (рис. 3.11).

Рис. 3.11. Принципиальная схема, реализующая таблицу истинности полного двоичного одноразрядного сумматора

33

Page 34: ЦИФРОВЫЕ УСТРОЙСТВА УЧЕБНЫЙ ЛАБОРАТОРНЫЙ ...venec.ulstu.ru/lib/disk/2015/132.pdfпараллельной обработки информации

34

Рис. 3.12. Изображение полного двоичного одноразрядного сумматора на схемах

3. Задание к работе

Необходимо запустить среду Quartus II, затем выбирать из меню File пункт Open Project. В открывшемся окне найти папку с лабораторными работами, которая находится на рабочем столе, в ней открываем папку Lab3. Далее выбираем файл проекта Lab3.qpf и нажимаем кнопку Открыть. Для открытия файла, который содержит принципиальную схему исследуемых цифровых устройств, в окне Project Navigator следует открыть файл Lab3.bdf. Сконфигурировать ПЛИС в соответствии с открытой схемой.

3.1. Исследовать принцип работы дешифратора 2x4

Исследуемая схема дешифратора представлена на рис. 3.13. Подавая все возможные комбинации логических уровней на входы X1, X2 с помощью ключей SW17, SW16 и наблюдая за состояниями светодиодных индикаторов LEDG7, LEDG6, LEDG5, LEDG4, заполните таблицу истинности дешифратора.

Таблица 3.7 X1

SW17 X2

SW16 Y0

LEDG7 Y1

LEDG6 Y2

LEDG5 Y3

LEDG4

0 0

0 1

1 0

1 1

34

Page 35: ЦИФРОВЫЕ УСТРОЙСТВА УЧЕБНЫЙ ЛАБОРАТОРНЫЙ ...venec.ulstu.ru/lib/disk/2015/132.pdfпараллельной обработки информации

35

Рис. 3.13. Схема дешифратора

35

Page 36: ЦИФРОВЫЕ УСТРОЙСТВА УЧЕБНЫЙ ЛАБОРАТОРНЫЙ ...venec.ulstu.ru/lib/disk/2015/132.pdfпараллельной обработки информации

36

3.2. Исследовать принцип работы шифратора 4x2

Исследуемая схема шифратора представлена на рис. 3.14.

Рис. 3.14. Схема шифратора 4x2

Подавая все возможные комбинации логических уровней на входы X1, X2, X3, X4 с помощью ключей SW15, SW14, SW13, SW12

36

Page 37: ЦИФРОВЫЕ УСТРОЙСТВА УЧЕБНЫЙ ЛАБОРАТОРНЫЙ ...venec.ulstu.ru/lib/disk/2015/132.pdfпараллельной обработки информации

37

и наблюдая за состояниями светодиодных индикаторов LEDG2, LEDG3, заполните таблицу истинности шифратора.

Таблица 3.8 X1

SW15 X2

SW14 X3

SW13 X4

SW12 Y1

LEDG2 Y0

LEDG3

1 0 0 0

0 1 0 0

0 0 1 0

0 0 0 1

3.3. Исследовать работу преобразователя кода

для семисегментного индикатора

Исследуемая схема преобразователя кода для семисегментного индикатора представлена на рис. 3.15.

Подавая с помощью ключей SW8, SW9, SW10, SW11 различные кодовые комбинации на входы X0, X1, X2, X3, определить цифры, высвечиваемые на индикаторе. По результатам эксперимента заполнить таблицу 3.9.

Таблица 3.9 X3

SW11 X2

SW10 X1

SW9 X0

SW8 ПОКАЗАНИЯ ИНДИКАТОРА

0 0 0 0

0 0 0 1

0 0 1 0

0 0 1 1

0 1 0 0

0 1 0 1

0 1 1 0

0 1 1 1

1 0 0 0

1 0 0 1

37

Page 38: ЦИФРОВЫЕ УСТРОЙСТВА УЧЕБНЫЙ ЛАБОРАТОРНЫЙ ...venec.ulstu.ru/lib/disk/2015/132.pdfпараллельной обработки информации

38

Рис. 3.15. Схема преобразователя кода для семисегментного индикатора

3.4. Исследовать схему сумматора

Исследуемая схема сумматора представлена на рис. 3.16. Здесь Pin, Pout соответственно вход и выход единицы переноса, A и B – слагаемые, S – сумма. Заполнить таблицу истинности сумматора (таблица 3.10).

Таблица 3.10 Pin

SW7 B

SW6 A

SW5 Pout

LEDG1 S

LEDG0 0 0 0 0 0 1 0 1 0 0 1 1 1 0 0 1 0 1 1 1 0 1 1 1

38

Page 39: ЦИФРОВЫЕ УСТРОЙСТВА УЧЕБНЫЙ ЛАБОРАТОРНЫЙ ...venec.ulstu.ru/lib/disk/2015/132.pdfпараллельной обработки информации

39

Рис. 3.16. Схема сумматора

4. Содержание отчета

1. Цель работы. 2 .Схемы исследования дешифратора, шифратора, преобразователя кода для семисегментного индикатора, сумматора. 3. Таблицы истинности для каждой схемы. 4. Выводы по каждому заданию.

39

Page 40: ЦИФРОВЫЕ УСТРОЙСТВА УЧЕБНЫЙ ЛАБОРАТОРНЫЙ ...venec.ulstu.ru/lib/disk/2015/132.pdfпараллельной обработки информации

40

5. Контрольные вопросы

1. Принцип работы дешифратора. 2. Как синтезировать дешифратор с произвольной разрядностью? 3. Как работает шифратор? 4. Изобразите таблицу истинности шифратора. 5. Как работает преобразователь кода для семисегментного индикатора? 6. Как устроен семисегментный индикатор? 7. Как работает мультиплексор? 8. Как работает сумматор? 9. Как в лабораторной работе проводилось исследование сумматора? 10. Изобразите таблицу истинности шифратора. 11. Что такое единица переноса?

40

Page 41: ЦИФРОВЫЕ УСТРОЙСТВА УЧЕБНЫЙ ЛАБОРАТОРНЫЙ ...venec.ulstu.ru/lib/disk/2015/132.pdfпараллельной обработки информации

41

ЛАБОРАТОРНАЯ РАБОТА №4

ИССЛЕДОВАНИЕ ТРИГГЕРОВ

1. Цель работы

Целью работы является экспериментальное исследование работы различных типов триггеров.

2. Краткие теоретические сведения

Триггеры предназначены для запоминания двоичной информации. Использование триггеров позволяет реализовывать устройства оперативной памяти (то есть памяти, информация в которой хранится только на время вычислений). Однако триггеры могут использоваться и для построения некоторых цифровых устройств с памятью, таких как счетчики, преобразователи последовательного кода в параллельный или цифровые линии задержки.

2.1. RS-триггер

Основным триггером, на котором базируются все остальные триггеры, является RS-триггер.

RS-триггер имеет два логических входа: R - установка 0 (от слова reset); S - установка 1 (от слова set). RS-триггер имеет два выхода: Q - прямой; Q - обратный (инверсный). Состояние триггера определяется состоянием прямого выхода.

Простейший RS-триггер состоит из двух логических элементов, охваченных перекрестной положительной обратной связью (рис. 4.1).

Рис. 4.1. Схема простейшего RS-триггера

41

Page 42: ЦИФРОВЫЕ УСТРОЙСТВА УЧЕБНЫЙ ЛАБОРАТОРНЫЙ ...venec.ulstu.ru/lib/disk/2015/132.pdfпараллельной обработки информации

42

Рассмотрим работу триггера: пусть R = 0, S = 1. Нижний логический элемент выполняет логическую функцию ИЛИ-НЕ, т. е. 1 на любом его входе приводит к тому, что на его выходе будет логический ноль Q = 0. На выходе Q будет 1 (Q = 1), т. к. на оба входа верхнего элемента поданы нули (один ноль – со входа R, другой – с выхода). Триггер находится в единичном состоянии. Если теперь убрать сигнал установки (R = 0, S = 0), на выходе ситуация не изменится, т.к. несмотря на то, что на нижний вход нижнего логического элемента будет поступать 0, на его верхний вход поступает 1 с выхода верхнего логического элемента. Триггер будет находиться в единичном состоянии, пока на вход R не поступит сигнал сброса. Пусть теперь R = 1, S = 0. Тогда Q = 0, а = 1. Триггер переключился в «0». Если после этого убрать сигнал сброса (R = 0, S = 0), то все равно триггер не изменит своего состояния. Для описания работы триггера используют таблицу состояний (переходов).

Обозначим: Q(t) - состояние триггера до поступления управляющих сигналов

(изменения на входах R и S); Q(t+1) - состояние триггера после изменения на входах R и S.

Таблица 4.1 R S Q(t) Q(t+1) Пояснения 0 0 0 0 Режим хранения

информации R = S = 0 0 0 1 1 0 1 0 1 Режим установки

единицы S = 1 0 1 1 1 1 0 0 0 Режим установки нуля

R = 1 1 0 1 0 1 1 0 * R = S = 1 запрещенная

комбинация 1 1 1 *

Рис. 4.2. Схема RS-триггера, построенного на схемах «2И-НЕ»

42

Page 43: ЦИФРОВЫЕ УСТРОЙСТВА УЧЕБНЫЙ ЛАБОРАТОРНЫЙ ...venec.ulstu.ru/lib/disk/2015/132.pdfпараллельной обработки информации

43

Входы R и S инверсные (активный уровень «0»). Переход (переключение) этого триггера из одного состояния в другое происходит при установке на одном из входов «0». Комбинация R = S = 0 является запрещенной.

Таблица 4.2 R S Q(t) Q(t+1) Пояснения 0 0 0 * R = S = 0 запрещенная

комбинация 0 0 1 * 0 1 0 0 Режим установки нуля

R = 0 0 1 1 0 1 0 0 1 Режим установки

единицы S = 0 1 0 1 1 1 1 0 0 Режим хранения

информации R = S = 1 1 1 1 1

2.2. Синхронный RS-триггер

Схема RS-триггера позволяет запоминать состояние логической схемы, но так как при изменении входных сигналов может возникать переходный процесс (в цифровых схемах этот процесс называется «опасные гонки»), то запоминать состояния логической схемы нужно только в определенные моменты времени, когда все переходные процессы закончены, и сигнал на выходе комбинационной схемы соответствует выполняемой ею функции. Это означает, что большинство цифровых схем требует сигнала синхронизации (тактового сигнала). Все переходные процессы в комбинационной логической схеме должны закончиться за время периода синхросигнала, подаваемого на входы триггеров. Триггеры, запоминающие входные сигналы только в момент времени, определяемый сигналом синхронизации, называются синхронными. Принципиальная схема синхронного RS триггера приведена на рисунке 4.3.

Рис. 4.3. Схема синхронного RS-триггера

43

Page 44: ЦИФРОВЫЕ УСТРОЙСТВА УЧЕБНЫЙ ЛАБОРАТОРНЫЙ ...venec.ulstu.ru/lib/disk/2015/132.pdfпараллельной обработки информации

44

Таблица 4.3 R S C Q(t) Q(t+1) Пояснения 0 0 1 0 0 Режим хранения информации

R = S = 0 0 0 1 1 1 0 1 1 0 1

Режим установки единицы S = 1 0 1 1 1 1 1 0 1 0 0

Режим установки нуля R = 1 1 0 1 1 0 1 1 1 0 * R = S = 1 запрещенная

комбинация 1 1 1 1 * В таблице 4.3 под сигналом С подразумевается синхроимпульс. Без синхроимпульса синхронный RS триггер сохраняет свое состояние.

2.3. D-триггер

D-триггер имеет 1 информационный вход (D-вход). Существуют только синхронные D-триггеры. Состояние информационного входа передается на выход под действием синхроимпульса (вход С).

Рис. 4.4. Схема D-триггера на основе синхронного RS-триггера

Таблица 4.4 C D Q(t) Q(t+1) Пояснения 0 * 0 0 Режим хранения

информации 0 * 1 1 1 0 * 0 Режим записи

информации 1 1 * 1 Если на входе D - «1», то по приходу синхроимпульса Q = 1. Если на D «0», то Q = 0.

44

Page 45: ЦИФРОВЫЕ УСТРОЙСТВА УЧЕБНЫЙ ЛАБОРАТОРНЫЙ ...venec.ulstu.ru/lib/disk/2015/132.pdfпараллельной обработки информации

45

2.4. Счетный триггер (Т-триггер)

Т-триггер имеет один счетный информационный вход. Триггер переключается каждый раз в противоположное состояние, когда на вход Т поступает управляющий сигнал.

Таблица 4.5

T Q(t) Q(t+1) 0 0 0 0 1 1 1 0 1 1 1 0

Рис. 4.5. Схема T-триггера на основе двухступенчатого D-триггера

2.5. Универсальный триггер (JK-триггер)

Такой триггер имеет информационные входы J и К, которые по своему влиянию аналогичны входам S и R тактируемого RS-триггера:

- при J = 1, K = 0 триггер по тактовому импульсу устанавливается в состояние Q = 1;

- при J = 0, К = 1 – переключается в состояние Q = 0; - при J = K = 0 – хранит ранее принятую информацию. Но в отличие от синхронного RS-триггера одновременное

присутствие логических 1 на информационных входах не является для JK-триггера запрещенной комбинацией и приводит триггер в противоположное состояние.

45

Page 46: ЦИФРОВЫЕ УСТРОЙСТВА УЧЕБНЫЙ ЛАБОРАТОРНЫЙ ...venec.ulstu.ru/lib/disk/2015/132.pdfпараллельной обработки информации

46

Рис. 4.6. Схема JK-триггера на основе двухступенчатого синхронного

RS-триггера

Таблица 4.6 K J C Q(t) Q(t+1) 0 0 1 0 0 0 0 1 1 1 0 1 1 0 1 0 1 1 1 1 1 0 1 0 0 1 0 1 1 0 1 1 1 0 1 1 1 1 1 0

3. Задание к работе

Необходимо запустить среду Quartus II, затем выбирать из меню File пункт Open Project. В открывшемся окне найти папку с лабораторными работами, которая находится на рабочем столе, в ней открываем папку Lab4. Далее выбираем файл проекта Lab4.qpf и нажимаем кнопку Открыть. Для открытия файла, который содержит принципиальную схему исследуемых цифровых устройств, в окне Project Navigator следует открыть файл Lab4.bdf. Сконфигурировать ПЛИС в соответствии с открытой схемой.

3.1. Исследовать асинхронный RS-триггер

Рис. 4.7. RS-триггер на основе логических элементов "ИЛИ-НЕ"

46

Page 47: ЦИФРОВЫЕ УСТРОЙСТВА УЧЕБНЫЙ ЛАБОРАТОРНЫЙ ...venec.ulstu.ru/lib/disk/2015/132.pdfпараллельной обработки информации

47

Устанавливая с помощью переключателей SW17 и SW16 различные комбинации логических уровней, наблюдая за светодиодом LEDG7, заполнить таблицу 4.7.

Таблица 4.7 R

SW17 S

SW16 Q(t)

LEDG7 Q(t+1) LEDG7

0 0 0 0 0 1 0 1 0 0 1 1 1 0 0 1 0 1

3.2. Исследовать синхронный RS-триггер

При нажатой кнопке KEY3 с нее поступает сигнал низкого логического уровня, а при отжатой – высокого, поэтому в приведенной схеме (рис. 4.8) введен инвертор NOT, инвертирующий поступающий сигнал.

Устанавливая с помощью переключателей SW15 и SW14 различные комбинации логических уровней и затем, нажимая на кнопку KEY3, заполнить таблицу переходов 4.8.

Рис. 4.8. Синхронный RS-триггер

47

Page 48: ЦИФРОВЫЕ УСТРОЙСТВА УЧЕБНЫЙ ЛАБОРАТОРНЫЙ ...venec.ulstu.ru/lib/disk/2015/132.pdfпараллельной обработки информации

48

Таблица 4.8 R

SW14 S

SW15 C

KEY3 Q(t)

LEDG6 Q(t+1) LEDG6

0 0 1 0 0 0 1 1 0 1 1 0 0 1 1 1 1 0 1 0 1 0 1 1 0 0 0 0 0 0 0 1 0 1 0 0 0 1 0 1 1 0 0 0 1 0 0 1

3.3. Исследовать D-триггер

Рис. 4.9. Схема D-триггера

Устанавливая с помощью переключателя SW13 различные

логические уровни на входе D и затем нажимая на кнопку KEY2 (0 – кнопка не нажата, 1 – кнопка нажата), заполнить таблицу переходов 4.9.

Таблица 4.9

D SW13

C KEY2

Q(t) LEDG5

Q(t+1) LEDG5

* 0 0 * 0 1 0 1 0 1 1 1

48

Page 49: ЦИФРОВЫЕ УСТРОЙСТВА УЧЕБНЫЙ ЛАБОРАТОРНЫЙ ...venec.ulstu.ru/lib/disk/2015/132.pdfпараллельной обработки информации

49

3.4. Исследовать синхронный T-триггер

Рис. 4.10. Схема счетного Т-триггера

Устанавливая с помощью переключателя SW12 различные

логические уровни на входе T и затем, нажимая на кнопку KEY1, заполнить таблицу переходов 4.10.

Таблица 4.10 T

SW12 C

KEY1 Q(t)

LEDG4 Q(t+1) LEDG4

1 1 0 1 1 1 0 1 0 0 1 1

3.5. Исследование синхронного JK-триггера

Рис. 4.11. Схема JK-триггера

Устанавливая с помощью переключателя SW11 и SW10

различные логические уровни на входах J, K и затем, нажимая на кнопку KEY0, заполнить таблицу переходов 4.11.

49

Page 50: ЦИФРОВЫЕ УСТРОЙСТВА УЧЕБНЫЙ ЛАБОРАТОРНЫЙ ...venec.ulstu.ru/lib/disk/2015/132.pdfпараллельной обработки информации

50

Таблица 4.11 J

SW11 K

SW10 C

KEY0 Q(t)

LEDG3 Q(t+1) LEDG3

0 0 1 0 0 0 1 1 0 1 1 0 0 1 1 1 1 0 1 0 1 0 1 1 1 1 1 0 1 1 1 1

4. Содержание отчета

1. Цель работы. 2. Схемы исследования триггеров. 3. Привести условные графические обозначения исследуемых триггеров. 4. Таблица переходов исследуемых триггеров. 5. Выводы по каждому заданию.

5. Контрольные вопросы

1. Чем определяется быстродействие триггера? 2. Начертить схему RS-триггера на логических элементах «ИЛИ-НЕ» и пояснить принцип его работы. 3. Почему JK-триггер называется универсальным? 4. Пояснить по таблице переходов работу D-триггера. 5. Какой характерной особенностью обладает периодическая последовательность импульсов на входе T-триггера? 6. Способы описания последовательных цифровых устройств. 7. Каким преимуществом обладает двухступенчатый триггер?

50

Page 51: ЦИФРОВЫЕ УСТРОЙСТВА УЧЕБНЫЙ ЛАБОРАТОРНЫЙ ...venec.ulstu.ru/lib/disk/2015/132.pdfпараллельной обработки информации

51

ЛАБОРАТОРНАЯ РАБОТА №5

ИССЛЕДОВАНИЕ РЕГИСТРОВ

1. Цель работы

Целью работы является изучение принципа работы схем триггерных регистров и приобретение практических навыков в выполнении микроопераций на регистрах в статическом режиме.

2. Краткие теоретические сведения

Регистры предназначены для хранения и преобразования многоразрядных двоичных чисел. Для запоминания отдельных разрядов числа могут применяться триггеры различных типов. Одиночный триггер можно считать одноразрядным регистром.

Занесение информации в регистр называется операцией записи. Операция выдачи информации из регистра – считывание. Перед записью информации в регистр, его необходимо обнулить.

Классификация регистров: По способу ввода/вывода информации: - параллельные (регистры хранения) – информация вводится и

выводится одновременно по всем разрядам; - последовательные (регистры сдвига) – информация бит за

битом «проталкивается» через регистр и выводится также последовательно;

- комбинированные – параллельный ввод и последовательный вывод (и наоборот).

По способу представления информации: - однофазные – информация представляется в прямом или

обратном (инверсном) виде; - парафазные – информация представляется и в прямом, и в

обратном виде.

2.1. Параллельный регистр

Параллельные регистры осуществляют прием и выдачу информации в параллельном коде, а это значит, что для передачи каждого разряда используется отдельная линия.

Для записи информации в регистр на его входных выводах (D0-D3) нужно установить логические уровни, после чего на вход

51

Page 52: ЦИФРОВЫЕ УСТРОЙСТВА УЧЕБНЫЙ ЛАБОРАТОРНЫЙ ...venec.ulstu.ru/lib/disk/2015/132.pdfпараллельной обработки информации

52

синхронизации (C) подать разрешающий импульс — логическую единицу. После этого на выходах Q0-Q3 появится записанное слово. Регистры запоминают входные сигналы только в момент времени, определяемый сигналом синхронизации.

Рис. 5.1. Условно-графическое Рис. 5.2. Схема параллельного регистра обозначение параллельного регистра

2.2. Последовательные регистры

Кроме параллельного соединения триггеров для построения регистров используются последовательное соединение этих элементов. Последовательный регистр (регистр сдвига) обычно служит для преобразования последовательного кода в параллельный и наоборот. Применение последовательного кода связано с необходимостью передачи большого количества двоичной информации по ограниченному количеству соединительных линий. При параллельной передаче разрядов требуется большое количество

52

Page 53: ЦИФРОВЫЕ УСТРОЙСТВА УЧЕБНЫЙ ЛАБОРАТОРНЫЙ ...venec.ulstu.ru/lib/disk/2015/132.pdfпараллельной обработки информации

53

соединительных проводников. Если двоичные разряды последовательно бит за битом передавать по одному проводнику, то можно значительно сократить размеры соединительных линий на плате (и размеры корпусов микросхем).

Принципиальная схема последовательного регистра, собранного на основе D-триггеров и позволяющего осуществить преобразование последовательного кода в параллельный, приведена на рис. 5.3.

Рассмотрим работу этого регистра. Можно предположить, что в начале все триггеры регистра находятся в состоянии логического нуля, т. е. Q0 = 0, Q1 = 0, Q2 = 0, Q3 = 0. Если на входе D-триггера Т1 имеет место логический 0, то поступление синхроимпульсов на входы «С» триггеров не меняет их состояния.

Рис. 5.3. Схема последовательного регистра

Как следует из рис. 5.3, синхроимпульсы поступают на

соответствующие входы всех триггеров регистра одновременно и записывают в них то, что имеет место на их информационных входах.

53

Page 54: ЦИФРОВЫЕ УСТРОЙСТВА УЧЕБНЫЙ ЛАБОРАТОРНЫЙ ...venec.ulstu.ru/lib/disk/2015/132.pdfпараллельной обработки информации

54

На информационных входах триггеров Т2, Т3, Т4 – уровни логического «0», т. к. информационные входы последующих триггеров соединены с выходами предыдущих триггеров, находящихся в состоянии логического «0», а на вход «D» первого триггера, по условию примера, подается «0» из внешнего источника информации. При подаче на вход «D» первого триггера «1», с приходом первого синхроимпульса, в этот триггер запишется «1», а в остальные триггеры – «0», т. к. к моменту поступления фронта синхроимпульса на выходе триггера Т1 еще присутствовал логический «0». Таким образом, в триггер Т1 записывается та информация (тот бит), которая была на его входе «D в момент поступления фронта синхроимпульса и т. д.

При поступлении второго синхроимпульса логическая «1» с выхода первого триггера, запишется во второй триггер, и в результате происходит сдвиг первоначально записанной «1» с триггера Т1 в триггер Т2, из триггера Т2 в триггер Т3 и т. д. Таким образом, производится последовательный сдвиг поступающей на вход регистра информации (в последовательном коде) на один разряд вправо в каждом такте синхроимпульсов.

После поступления четырех синхроимпульсов регистр оказывается полностью заполненным разрядами числа, вводимого через последовательный ввод «D». В течение следующих четырех синхроимпульсов производится последовательный поразрядный вывод из регистра записанного числа, после чего регистр оказывается полностью очищенным (регистр окажется полностью очищенным только при условии подачи на его вход уровня «0» в режиме вывода записанного числа).

Рис. 5.4. Временные диаграммы, поясняющие работу регистра сдвига

54

Page 55: ЦИФРОВЫЕ УСТРОЙСТВА УЧЕБНЫЙ ЛАБОРАТОРНЫЙ ...venec.ulstu.ru/lib/disk/2015/132.pdfпараллельной обработки информации

55

3. Задание к работе

Для выполнения первой части работы лабораторной необходимо запустить среду Quartus II, затем выбирать из меню File пункт Open Project. В открывшемся окне найти папку с лабораторными работами, которая находится на рабочем столе, в ней открываем папку Lab5_1. Далее выбираем файл проекта Lab5_1.qpf и нажимаем кнопку Открыть. Для открытия файла, который содержит принципиальную схему исследуемых цифровых устройств, в окне Project Navigator следует открыть файл Lab5_1.bdf. Сконфигурировать ПЛИС в соответствии с открытой схемой.

3.1. Исследовать параллельный регистр

Рис. 5.5. Схема 4-битного параллельного регистра

Записать целые десятичные числа от 0 до 15 в двоичной системе

счисления в регистр и считать их. Установка числа осуществляется при помощи переключателей SW17 – SW14, а его запись при помощи кнопки KEY3.Считывание записанных чисел производится по светодиодам LEDG7 – LEDG4. Заполнить таблицу 5.1.

55

Page 56: ЦИФРОВЫЕ УСТРОЙСТВА УЧЕБНЫЙ ЛАБОРАТОРНЫЙ ...venec.ulstu.ru/lib/disk/2015/132.pdfпараллельной обработки информации

56

Таблица 5.1 Записываемое десятичное

число Считанное из регистра

двоичное число

0000

0001

... 1111

3.2. Исследовать параллельно-последовательный регистр

Рис. 5.6. Схема параллельно-последовательного регистра

Элемент 74166 представляет собой параллельно-

последовательный регистр. Необходимо записать число в интервале от 32 до 56 в двоичном

коде и установить его при помощи переключателей SW13 – SW7 (1 – включено, 0 – выключено). Далее на входе STLD установить логический 0 при помощи переключателя SW6 и подать синхроимпульс кнопкой KEY2.

Чтобы начать считывать записанное число, необходимо на вход STLD подать логическую 1 (включив переключатель SW6) и подавать синхроимпульсы при помощи кнопки KEY2. Записать результат в отчет.

56

Page 57: ЦИФРОВЫЕ УСТРОЙСТВА УЧЕБНЫЙ ЛАБОРАТОРНЫЙ ...venec.ulstu.ru/lib/disk/2015/132.pdfпараллельной обработки информации

57

3.3. Исследовать последовательно-параллельный регистр

Для выполнения второй части лабораторной работы необходимо закрыть предыдущий проект, выбрав из меню File пункт Close Project. Затем выбирать из меню File пункт Open Project. В открывшемся окне найти папку с лабораторными работами, которая находится на рабочем столе, в ней открываем папку Lab5_2. Далее выбираем файл проекта Lab5_2.qpf и нажимаем кнопку Открыть. Для открытия файла, который содержит принципиальную схему исследуемых цифровых устройств, в окне Project Navigator следует открыть файл Lab5_2.bdf. Сконфигурировать ПЛИС в соответствии с открытой схемой.

Рис. 5.7. Схема последовательно-параллельного регистра

В данной схеме элемент 74164 – это последовательно-

параллельный регистр. Необходимо записать нечетное число в интервале от 32 до 56 в

двоичном коде. Далее необходимо ввести это число поразрядно (каждый разряд вносится при помощи переключателя SW17) c дальнейшим продвижением влево путем нажатия кнопки KEY3. Введенное число контролируется в параллельном двоичном коде при помощи светодиодов LEDG7 - LEDG0. Записать результат в отчет.

4. Содержание отчета

1. Цель работы. 2. Схема параллельного 4-битного регистра с таблицей 5.1. 3. Схема последовательно-параллельного регистра с результатами исследований. 4. Схема параллельно-последовательного регистра с результатами исследований. 5. Выводы по каждому заданию.

57

Page 58: ЦИФРОВЫЕ УСТРОЙСТВА УЧЕБНЫЙ ЛАБОРАТОРНЫЙ ...venec.ulstu.ru/lib/disk/2015/132.pdfпараллельной обработки информации

58

5. Контрольные вопросы

1. Назначение регистров. 2. По каким признакам классифицируются регистры? 3. Чем определяется разрядность регистров? 4. Назначение параллельного регистра. 5. Объяснить принцип работы последовательного регистра. 6. Объяснить принцип работы параллельного регистра. 7. Объяснить принцип работы последовательно-параллельного регистра. 8. Объяснить принцип работы параллельно-последовательного регистра.

58

Page 59: ЦИФРОВЫЕ УСТРОЙСТВА УЧЕБНЫЙ ЛАБОРАТОРНЫЙ ...venec.ulstu.ru/lib/disk/2015/132.pdfпараллельной обработки информации

59

ЛАБОРАТОРНАЯ РАБОТА №6

ИССЛЕДОВАНИЕ ДВОИЧНЫХ СЧЕТЧИКОВ

1. Цель работы

Целью работы является изучение универсального двоичного счетчика и приобретение навыков в построении и экспериментальном исследовании счетчиков.

2. Краткие теоретические сведения

Счетчик – устройство для подсчета числа входных импульсов. Параметры счетчика: - М (модуль счета) – число устойчивых состояний; - Е (емкость) – максимальное число, которое может быть

записано в счетчик (Е = М-1); - быстродействие (скорость перехода из состояния «все 1» в

состояние «все 0» и наоборот). Классификация:

1. По направлению счета: - суммирующие; - вычитающие; - реверсивные.

2. По способу построения цепи переноса: - с последовательным переносом; - с параллельным переносом; - с комбинированным переносом.

3. По способу переключения триггера: - синхронные; - асинхронные.

2.1. Простейший суммирующий асинхронный счетчик

Счетчик представляет собой несколько последовательно включенных счетных триггеров. Напомним, что по каждому входному импульсу счетный триггер изменяет свое состояние на противоположное.

59

Page 60: ЦИФРОВЫЕ УСТРОЙСТВА УЧЕБНЫЙ ЛАБОРАТОРНЫЙ ...venec.ulstu.ru/lib/disk/2015/132.pdfпараллельной обработки информации

60

Рис. 6.1. Простейший суммирующий асинхронный счетчик

Если вход синхроимпульса триггера отмечен как «\», то

опрокидывание триггера происходит по заднему фронту, если как «/» - то по переднему.

Рис. 6.2. Временная диаграмма работы суммирующего асинхронного счетчика

Для того чтобы разобраться, как работает схема двоичного счетчика, воспользуемся временными диаграммами сигналов на входе и выходах этой схемы, приведенными на рис. 6.2.

Пусть первоначальное состояние всех триггеров счетчика будет нулевым. Это состояние мы видим на временных диаграммах. Запишем его в таблицу 6.1. После поступления на вход счетчика тактового импульса (который воспринимается по заднему фронту) первый триггер изменяет свое состояние на противоположное, то есть единицу.

Запишем новое состояние выходов счетчика в ту же самую таблицу. Так как по приходу первого импульса изменилось состояние первого триггера, то этот триггер содержит младший разряд двоичного числа (единицы).

60

Page 61: ЦИФРОВЫЕ УСТРОЙСТВА УЧЕБНЫЙ ЛАБОРАТОРНЫЙ ...venec.ulstu.ru/lib/disk/2015/132.pdfпараллельной обработки информации

61

Таблица 6.1 Номер

входного импульса

Q2 Q1 Q0

1 0 0 1 2 0 1 0 3 0 1 1 4 1 0 0 5 1 0 1 6 1 1 0 7 1 1 1 8 0 0 0

Подадим на вход счетчика еще один тактовый импульс. Значение

первого триггера снова изменится на прямо противоположное. На этот раз на выходе первого триггера, а значит и на входе второго триггера, сформируется задний фронт. Это означает, что второй триггер тоже изменит свое состояние на противоположное. Это отчетливо видно на временных диаграммах, приведенных на рис. 6.2. Запишем новое состояние выходов счетчика в таблицу 6.1. В этой строке таблицы образовалось двоичное число 2. Оно совпадает с номером входного импульса.

Продолжая анализировать временную диаграмму, можно определить, что на выходах приведенной схемы счетчика последовательно появляются цифры от 0 до 7. Эти цифры записаны в двоичном виде. При поступлении на счетный вход счетчика очередного импульса, содержимое его триггеров увеличивается на 1. Поэтому такие счетчики получили название суммирующих двоичных счетчиков. Если информацию снимать с инверсных выходов триггеров, то получится вычитающий счетчик.

2.2. Простейший вычитающий асинхронный счетчик

Рассмотрим схему счетчика на триггерах, опрокидывающихся по переднему фронту входных импульсов (рисунок 6.3).

61

Page 62: ЦИФРОВЫЕ УСТРОЙСТВА УЧЕБНЫЙ ЛАБОРАТОРНЫЙ ...venec.ulstu.ru/lib/disk/2015/132.pdfпараллельной обработки информации

62

Рис. 6.3. Вычитающий счетчик

Рис. 6.4. Временная диаграмма

Из временной диаграммы видим, что получился вычитающий

счетчик. Если информацию снимать с инверсных выходов триггеров, то получится суммирующий счетчик.

2.3. Счетчик с произвольным модулем счета

Для построения такого счетчика можно использовать двоичный счетчик, у которого модуль счета М должен быть больше модуля счета разрабатываемого счетчика с произвольным модулем счета.

Пусть нужно сделать счетчик с М = 10. У 4-разрядного счетчика модуль счета равен 16 (больше 10). Схема счетчика представляет собой 4 последовательно включенных счетных триггера, у которых есть вход сброса R. Число 10 в двоичной системе счисления

62

Page 63: ЦИФРОВЫЕ УСТРОЙСТВА УЧЕБНЫЙ ЛАБОРАТОРНЫЙ ...venec.ulstu.ru/lib/disk/2015/132.pdfпараллельной обработки информации

63

представляется 1010. Когда на выходах счетчика будет код 1010, на выходе элемента «И» появится логическая единица, которая запустит схему гашения. Длительность импульса на выходе схемы гашения должна быть достаточна для надежного сброса всех триггеров счетчика в 0. Разряды числа 1010, равные 1, подаются на схему «И» с прямых выходов триггеров, а равные 0 – с инверсных. Таким образом, как только счетчик досчитает до 10, произойдет обнуление всех триггеров, и счет продолжится с кода 0000.

Рис. 6.5. Счетчик с модулем счета М = 10

Рассмотрим счетчик с М = 11 на основе двоичного счетчика в

одной микросхеме (без инверсных выходов). 1110 = 10112

Рис. 6.6. Счетчик с модулем счета М = 11

63

Page 64: ЦИФРОВЫЕ УСТРОЙСТВА УЧЕБНЫЙ ЛАБОРАТОРНЫЙ ...venec.ulstu.ru/lib/disk/2015/132.pdfпараллельной обработки информации

64

В качестве схемы гашения может быть RS-триггер.

Рис. 6.7. Счетчик с модулем счета М = 17

В этой схеме (рис. 6.7) М=100012 = 1710. Сигнал на входе К

счетчика будет действовать в течение одного периода входных импульсов.

3. Задание к работе

Для выполнения первой части лабораторной работы необходимо запустить среду Quartus II, затем выбирать из меню File пункт Open Project. В открывшемся окне найти папку с лабораторными работами, которая находится на рабочем столе, в ней открываем папку Lab6_1. Далее выбираем файл проекта Lab6_1.qpf и нажимаем кнопку Открыть. Для открытия файла, который содержит принципиальную схему исследуемых цифровых устройств, в окне Project Navigator следует открыть файл Lab6_1.bdf. Сконфигурировать ПЛИС в соответствии с открытой схемой.

3.1. Исследовать суммирующий счетчик

Рис. 6.8. Схема суммирующего счетчика

64

Page 65: ЦИФРОВЫЕ УСТРОЙСТВА УЧЕБНЫЙ ЛАБОРАТОРНЫЙ ...venec.ulstu.ru/lib/disk/2015/132.pdfпараллельной обработки информации

65

Элемент 74393 представляет собой суммирующий счетчик. Подавая с помощью кнопки KEY3 на вход счетчика импульсы и

наблюдая за выходами Q (при помощи светодиодов LEDG4 - LEDG7), заполнить таблицу 6.2.

Таблица 6.2 Номер входного

импульса QD

LEDG7 QC

LEDG6 QB

LEDG5 QA

LEDG4 0 1 ... 15

3.2. Исследовать вычитающий счетчик

Рис. 6.9. Схема вычитающего счетчика

Элемент 4count представляет собой вычитающий 4-разрядный

счетчик. Подавая с помощью кнопки KEY2 на вход счетчика импульсы и

наблюдая за выходами QA, QB, QC, QD (при помощи светодиодов LEDG0 - LEDG3), заполнить таблицу 6.3.

Таблица 6.3 Номер входного

импульса QD

LEDG3 QC

LEDG2 QB

LEDG1 QA

LEDG0 0 1 ... 15

65

Page 66: ЦИФРОВЫЕ УСТРОЙСТВА УЧЕБНЫЙ ЛАБОРАТОРНЫЙ ...venec.ulstu.ru/lib/disk/2015/132.pdfпараллельной обработки информации

66

3.3. Исследовать счетчик с произвольным модулем счета

Для выполнения второй части лабораторной работы необходимо закрыть предыдущий проект, выбирав из меню File пункт Close Project. Затем выбирать из меню File пункт Open Project. В открывшемся окне найти папку с лабораторными работами, которая находится на рабочем столе, в ней открываем папку Lab6_2. Далее выбираем файл проекта Lab6_2.qpf и нажимаем кнопку Открыть. Для открытия файла, который содержит принципиальную схему исследуемых цифровых устройств, в окне Project Navigator следует открыть файл Lab6_2.bdf. Сконфигурировать ПЛИС в соответствии с открытой схемой.

На рис. 6.10 приведена схема счетчика с модулем счета 8. К выходам счетчика через преобразователь кодов 74247 подключен семисегментный индикатор.

Подавая с помощью кнопки KEY3 на вход счетчика импульсы и наблюдая за выходами QA, QB, QC, QD (при помощи светодиодов LEDG4 - LEDG7) и цифровым индикатором, заполнить таблицу 6.4.

Рис. 6.10. Схема счетчика с модулем счета 8

Таблица 6.4

Номер входного импульса

QD LEDG7

QC LEDG6

QB LEDG5

QA LEDG4

Цифра на индикаторе

0

1

...

15

66

Page 67: ЦИФРОВЫЕ УСТРОЙСТВА УЧЕБНЫЙ ЛАБОРАТОРНЫЙ ...venec.ulstu.ru/lib/disk/2015/132.pdfпараллельной обработки информации

67

4. Содержание отчета

1. Цель работы. 2. Схема исследования суммирующего счетчика с таблицей состояний. 3. Временные диаграммы входных и выходных импульсов суммирующего счетчика. 4. Схема исследования вычитающего счетчика с таблицей состояний. 5. Временные диаграммы входных и выходных импульсов вычитающего счетчика. 6. Схема исследования счетчика с произвольным модулем счета. 7. Временные диаграммы входных и выходных импульсов счетчика с произвольным модулем счета. 8. Выводы по каждому заданию.

5. Контрольные вопросы

1. Объяснить принцип работы суммирующего счетчика. 2. Изобразить временные диаграммы работы суммирующего счетчика. 3. Объяснить принцип работы вычитающего счетчика. 4. Изобразить временные диаграммы работы вычитающего счетчика. 5. Объяснить принцип работы счетчика с произвольным модулем счета. 6. Классификация счетчиков. 7. Где применяются счетчики?

67

Page 68: ЦИФРОВЫЕ УСТРОЙСТВА УЧЕБНЫЙ ЛАБОРАТОРНЫЙ ...venec.ulstu.ru/lib/disk/2015/132.pdfпараллельной обработки информации

68

СПИСОК РЕКОМЕНДУЕМОЙ ЛИТЕРАТУРЫ

1) Угрюмов Е. П. Цифровая схемотехника. – СПб.: БХВ – Петербург, 2002. 2) Потемкин Д. С., Тарасов Н. Е. Разработка систем цифровой обработки сигналов на базе ПЛИС. – М. : Горячая линия – Телеком, 2007. 3) Бунтов В. Д., Макаров С. Б. Цифровые и микропроцессорные радиотехнические устройства : учебное пособие / В. Д. Бунтов, С. Б. Макаров. – СПб. : Изд-во политехн. ун-та, 2005. 4) Варгаузин В. А. Цифровая обработка сигналов : учебное пособие для вузов. – СПб. : Изд-во СПбГПУ, 2004. 5) Бойко В. И. Схемотехника электронных схем. Микропроцессоры и микроконтроллеры. – СПб.: БХВ – Петербург, 2004.

Учебное издание

ЦИФРОВЫЕ УСТРОЙСТВА. УЧЕБНЫЙ ЛАБОРАТОРНЫЙ СТЕНД НА ПЛИС

Методические указания

Составители: ЗАХАРОВ Николай Григорьевич СУББОТИН Никита Владимирович

КАЗАНКОВ Александр Александрович САВАЛЕВ Александр Сергеевич

Редактор Н. А. Евдокимова

Подписано в печать 23.06.2015. Формат 60×84/16. Усл. печ. л. 3.72. Тираж 40 экз. Заказ 562.

Ульяновский государственный технический университет 432027, г. Ульяновск, ул. Сев. Венец, д.32.

ИПК «Венец» УлГТУ, 432037, г. Ульяновск, ул. Сев. Венец, д. 32.

68

user
Машинописный текст
ЭИ № 492.
user
Машинописный текст