xilinx ug883 kintex-7 fpga kc705 evaluation kit getting ...€¦ · updated step 2 and step 3 in...

38
XPN 0402894-04 Kintex-7 FPGA KC705 Evaluation Kit (Vivado Design Suite 2013.1) Getting Started Guide UG883 (v3.0) April 16, 2013

Upload: ledang

Post on 12-Jun-2018

230 views

Category:

Documents


0 download

TRANSCRIPT

Page 1: Xilinx UG883 Kintex-7 FPGA KC705 Evaluation Kit Getting ...€¦ · Updated step 2 and step 3 in Requirements to Get Started, page 14. ... KC705 Getting Started Guide 9 UG883 (v3.0)

XPN 0402894-04

Kintex-7 FPGA KC705 Evaluation Kit(Vivado Design Suite 20131)Getting Started Guide

UG883 (v30) April 16 2013

KC705 Getting Started Guide wwwxilinxcom UG883 (v30) April 16 2013

Notice of DisclaimerThe information disclosed to you hereunder (the ldquoMaterialsrdquo) is provided solely for the selection and use of Xilinx products To the maximum extent permitted by applicable law (1) Materials are made available ldquoAS ISrdquo and with all faults Xilinx hereby DISCLAIMS ALL WARRANTIES AND CONDITIONS EXPRESS IMPLIED OR STATUTORY INCLUDING BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY NON-INFRINGEMENT OR FITNESS FOR ANY PARTICULAR PURPOSE and (2) Xilinx shall not be liable (whether in contract or tort including negligence or under any other theory of liability) for any loss or damage of any kind or nature related to arising under or in connection with the Materials (including your use of the Materials) including for any direct indirect special incidental or consequential loss or damage (including loss of data profits goodwill or any type of loss or damage suffered as a result of any action brought by a third party) even if such damage or loss was reasonably foreseeable or Xilinx had been advised of the possibility of the same Xilinx assumes no obligation to correct any errors contained in the Materials or to notify you of updates to the Materials or to product specifications You may not reproduce modify distribute or publicly display the Materials without prior written consent Certain products are subject to the terms and conditions of the Limited Warranties which can be viewed at httpwwwxilinxcomwarrantyhtm IP cores may be subject to warranty and support terms contained in a license issued to you by Xilinx Xilinx products are not designed or intended to be fail-safe or for use in any application requiring fail-safe performance you assume sole risk and liability for use of Xilinx products in Critical Applications httpwwwxilinxcomwarrantyhtmcritapps

Automotive Applications DisclaimerXILINX PRODUCTS ARE NOT DESIGNED OR INTENDED TO BE FAIL-SAFE OR FOR USE IN ANY APPLICATION REQUIRING FAIL-SAFE PERFORMANCE SUCH AS APPLICATIONS RELATED TO (I) THE DEPLOYMENT OF AIRBAGS (II) CONTROL OF A VEHICLE UNLESS THERE IS A FAIL-SAFE OR REDUNDANCY FEATURE (WHICH DOES NOT INCLUDE USE OF SOFTWARE IN THE XILINX DEVICE TO IMPLEMENT THE REDUNDANCY) AND A WARNING SIGNAL UPON FAILURE TO THE OPERATOR OR (III) USES THAT COULD LEAD TO DEATH OR PERSONAL INJURY CUSTOMER ASSUMES THE SOLE RISK AND LIABILITY OF ANY USE OF XILINX PRODUCTS IN SUCH APPLICATIONS

Fedora Information

Xilinx obtained the Fedora Linux software from Fedora (httpfedoraprojectorg) and you may too Xilinx made no changes to the software obtained from Fedora If you desire to use Fedora Linux software in your product Xilinx encourages you to obtain Fedora Linux software directly from Fedora (httpfedoraprojectorg) even though we are providing to you a copy of the corresponding source code as provided to us by Fedora Portions of the Fedora software may be covered by the GNU General Public license as well as many other applicable open source licenses Please review the source code in detail for further information To the maximum extent permitted by applicable law and if not prohibited by any such third-party licenses (1) XILINX DISCLAIMS ANY AND ALL EXPRESS OR IMPLIED WARRANTIES INCLUDING BUT NOT LIMITED TO THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE AND (2) IN NO EVENT SHALL XILINX BE LIABLE FOR ANY DIRECT INDIRECT INCIDENTAL SPECIAL EXEMPLARY OR CONSEQUENTIAL DAMAGES (INCLUDING BUT NOT LIMITED TO PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES LOSS OF USEDATA OR PROFITS OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY WHETHER IN CONTRACT STRICT LIABILITY OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE

Fedora software and technical information is subject to the US Export Administration Regulations and other US and foreign law and may not be exported or re-exported to certain countries (currently Cuba Iran Iraq North Korea Sudan and Syria) or to persons or entities prohibited from receiving US exports (including those (a) on the Bureau of Industry and Security Denied Parties List or Entity List (b) on the Office of Foreign Assets Control list of Specially Designated Nationals and Blocked Persons and (c) involved with missile technology or nuclear chemical or biological weapons) You may not download Fedora software or technical information if you are located in one of these countries or otherwise affected by these restrictions You may not provide Fedora software or technical information to individuals or entities located in one of these countries or otherwise affected by these restrictions You are also responsible for compliance with foreign law requirements applicable to the import and use of Fedora software and technical information

copy Copyright 2012ndash2013 Xilinx Inc Xilinx the Xilinx logo Artix ISE Kintex Spartan Vivado Virtex Zynq and other designated brands included herein are trademarks of Xilinx in the United States and other countries PCI PCIe and PCI Express are trademarks of PCI-SIG and used under license All other trademarks are the property of their respective owners

UG883 (v30) April 16 2013 wwwxilinxcom KC705 Getting Started Guide

Revision HistoryThe following table shows the revision history for this document

Date Version Revision

01132012 10 Initial Xilinx release

01252012 11 Changed document title Added BIST section Changed Platform Flash to BPI Linear Flash Added a note after Figure 30 Updated photos in Figure 2 Figure 3 and Figure 31

02272012 111 Added a note to Hardware Test Setup Requirements

07102012 12 Added XPN number to title page Updated Introduction and Hardware Test Setup Requirements Added Kit Contents AMS Bring-up with the AMS101 Evaluation Card and AMS Bring-up with the AMS101 Evaluation Card Added Appendix B Warranty Removed ldquoModifying the Kintex-7 FPGA Base TRDrdquo section

122012 20 The document was updated for Vivadotrade Design Suite 20124 Agile Mixed Signal is now Analog Mixed Signal The USB stick is removed from the kit and instead design files are accessible from the Docs amp Designs tab at wwwxilinxcomkc705 Removing the USB stick affected many sections including thesebull Kit Contents page 7bull Hardware Test Setup Requirements page 9bull AMS Bring-up with the AMS101 Evaluation Card page 13bull Step 4 in Install the Linux Driver page 22The first bullet under Introduction page 7 removed ldquotransceivers by using the LogiCOREtrade IP Integrated Bit Error Ratio (IBERT) corerdquo Under KC705 Evaluation Board Setup page 10 ldquocord and brickrdquo changed to ldquo12V power adapterrdquo Removed the Transceiver Bring-up Using Integrated Bit Error Ratio Test section on page 14 Requirements to Get Started page 14 installation method a and step 3 changed The use of the ChipScopetrade tool is eliminated Step 2 of Evaluating AMS page 15 changed Below Figure 9 this sentence was deleted AMS Evaluator source code is not provided CD-ROM was replaced with DVD-ROM Appendix A Additional Resources was reorganized

04162013 30 Added third fourth and fifth bullets in AMS Bring-up with the AMS101 Evaluation Card page 13 Updated step 2 and step 3 in Requirements to Get Started page 14 Updated step 2 in Evaluating AMS page 15 Added eighth bullet in Hardware Test Setup Requirements page 18

KC705 Getting Started Guide wwwxilinxcom UG883 (v30) April 16 2013

KC705 Getting Started Guide wwwxilinxcom 5UG883 (v30) April 16 2013

Revision History 3

Getting Started with the Kintex-7 FPGA KC705 Evaluation Kit(Vivado Design Suite 20131)

Introduction 7Kit Contents 7Basic Hardware Bring-up Using the BIST 9Hardware Test Board Setup Requirements 9AMS Bring-up with the AMS101 Evaluation Card 13Advanced Bring-up Using the Base Targeted Reference Design 17

Appendix A Additional ResourcesXilinx Resources 35Solution Centers 35Further Resources 35References 36

Appendix B Warranty

Table of Contents

6 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

KC705 Getting Started Guide wwwxilinxcom 7UG883 (v30) April 16 2013

Getting Started with the Kintex-7 FPGA KC705 Evaluation Kit(Vivado Design Suite 20131)

IntroductionThe Kintextrade-7 FPGA KC705 evaluation kit provides a comprehensive high-performance development and demonstration platform using the Kintex-7 FPGA family for high-bandwidth and high-performance applications in multiple market segments The kit enables designing with DDR3 IO expansion through FMC and common serial standards such as PCI Expressreg XAUI and proprietary serial standards through the SMA interface See the KC705 Evaluation Board for the Kintex-7 FPGA User Guide (UG810) and the KC705 support website at wwwxilinxcomkc705

The built-in self-test (BIST) and Kintex-7 FPGA Base Targeted Reference Design (TRD) are developed on this kit

This Getting Started Guide is divided into two sections

bull Basic Hardware Bring-up Enables hands-on operation of all the features in the BIST as well as evaluation of Analog Mixed Signal (AMS) using the AMS101 evaluation card

bull Advanced Operation Enables hands-on operation with the base TRD which features PCIe DDR3 memory and AXImdashall supported through a custom evaluation graphical user interface (GUI)

Kit ContentsThe Kintex-7 FPGA KC705 evaluation kit includes

bull KC705 base board including the XC7K325T-2FFG900CES FPGA

bull AMS101 evaluation card enabling evaluation of the AMS technology built into all 7 series FPGAs

bull Software and licenses

bull Vivadotrade Design Suite Installation DVD

bull Printed entitlement voucher Provides entitlement of the Vivado Design Suite Logic Edition device-locked to the XC7K325T-2FFG900 FPGA Follow the printed instructions on the voucher to redeem your software entitlement

bull Fedora 16 Live DVD to support the Base Targeted Reference Design

8 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Kit Contents

bull Designs

bull Targeted Reference Design Robust sub-system including PCIe Gen2 x4 Northwest Logic DMA multi-port virtual FIFO AXI and DDR3 memory controller

bull Additional Reference Designs Numerous additional reference designs available online and linked from the KC705 product page wwwxilinxcomkc705

bull Documentation

bull Kintex-7 FPGA KC705 Evaluation Kit Getting Started Guide (UG883mdashthis guide included in the box)

bull KC705 Evaluation Board for the Kintex-7 FPGA User Guide (UG810) (online on product page)

bull KC705 Reference Design User Guide (UG845) (online on product page)

bull Kintex-7 FPGA KC705 Base Targeted Reference Design User Guide (Vivado Design Suite 20124) (UG882) (online on product page)

bull Board design files including schematics Gerber files and BOM (online on product page)

bull Cables and power supply

bull Universal 12V power adapter and cords

bull Two USB cables (1x USB Type-AMini-B and 1x USB Type-AMicro-B) for download and debug

bull Ethernet crossover cable

bull HDMI cable

KC705 Getting Started Guide wwwxilinxcom 9UG883 (v30) April 16 2013

Basic Hardware Bring-up Using the BIST

Basic Hardware Bring-up Using the BISTThe built-in self-test (BIST) tests many of the features offered by the Kintex-7 FPGA KC705 evaluation kit The test is stored in the nonvolatile BPI Linear Flash memory and configures the FPGA when the mode and upper flash address pins on the board are set for Master BPI

Figure 1 provides an overview of the board features used by the BIST

Note For a diagram of all the features on the KC705 see KC705 Evaluation Board for the Kintex-7 FPGA User Guide (UG810)

Hardware Test Setup RequirementsThe prerequisites for testing the design in hardware are

bull KC705 Evaluation board with the Kintex-7 FPGA XC7K325T-2FFG900CES device

bull USB-to-Mini-B cable (for UART)

bull AC power adapter (12 VDC)

bull Terminal program [Ref 3]

Note The Tera Term Pro program is used for illustrative purposes Other programs can be used

bull USB-UART drivers from SiLabs [Ref 4]

Hardware Test Board Setup RequirementsThis section details the hardware setup and use of the terminal program for running the BIST application It contains step-by-step instructions for board bring-up

X-Ref Target - Figure 1

Figure 1 KC705 Board Features Used by the BIST

BPI Flash (U58)

USB-UART(J6)

UG883_01_040913

Power Switch(SW15)

Power (J49)

USB-JTAG(U59)

Ethernet(U37)

User Push Buttons

DDR3

Mode Switches(SW13)

Rotary Switch (SW8)Switch is underneath LCD screen

Prog

CPU Reset

User LEDsUser DIP (SW11)

10 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Hardware Test Board Setup Requirements

KC705 Evaluation Board Setup

1 Set the jumpers and switches on the KC705 board as follows

bull The mode switches (SW13) are set for Master BPI mode 010

bull The upper flash address switches (SW13) are set to 11

2 Verify the switch and jumper settings are set as shown in Table 1 and Figure 2

Note For this application the board should be set up as a stand-alone system with power coming from the 12V power adapter included with the KC705 evaluation kit

Table 1 Switch amp Jumper Settings

Switch Setting

SW15Board Power slide-switch

Off

SW11

User GPIO DIP switch

4 Off

3 Off

2 Off

1 Off

SW13

Configuration Mode switch

5 Off

4 On

3 Off

2 On

1 On

X-Ref Target - Figure 2

Figure 2 BIST Switch and Jumper Settings

UG883_02_040913

KC705 Getting Started Guide wwwxilinxcom 11UG883 (v30) April 16 2013

Hardware Test Board Setup Requirements

Hardware Bring-UpThis section details the steps for hardware bring-up

1 With the board switched off plug a USB-to-Mini-B cable into the UART port of the KC705 board and your PC (see Figure 3)

2 Install the power cable

3 Switch the KC705 board power to ON

Install the UART Driver

1 Run the downloaded executable UART-USB driver file listed in Hardware Test Setup Requirements page 9 This enables UART-USB communications with a host PC (see Figure 4)

X-Ref Target - Figure 3

Figure 3 KC705 with the UART and Power Cable Attached

UG883_03_011912

X-Ref Target - Figure 4

Figure 4 UART Cable Driver Installation

UG883_04_011512

12 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Hardware Test Board Setup Requirements

2 Set the USB-UART connection to a known port in the Device Manager as follows

bull Right-click My Computer and select Properties

bull Select the Hardware tab then click the Device Manager button

bull Find and right-click the Silicon Labs device in the list Then select Properties

bull Click the Port Settings tab and the Advancedhellip button

bull Select an open COM port between COM1 and COM4

Figure 5 shows the steps needed to set the USB-UART port

Note Steps and diagrams refer to use with a Windows host PC with the Windows XP or Windows 7 operating systemX-Ref Target - Figure 5

Figure 5 Port Selection on the Device Manager Screen

UG883_05_040913

KC705 Getting Started Guide wwwxilinxcom 13UG883 (v30) April 16 2013

AMS Bring-up with the AMS101 Evaluation Card

Run the BIST Application1 Start the installed terminal program

2 Press PROG (SW14) on the KC705 board and view the BIST output on the terminal window (see Figure 6)

3 Select the relevant tests to run and observe the results

For more information on the BIST software and additional tutorials including how to restore the default content of the onboard nonvolatile storage see the KC705 support website at httpwwwxilinxcomkc705 under the Docs amp Designs tab

AMS Bring-up with the AMS101 Evaluation CardThe Xilinxreg 7 series FPGAs each feature a 1 MSPS 12-bit analog-to-digital converter built into the FPGA for everything from simple analog monitoring to more signal processing intensive tasks like linearization calibration oversampling and filtering The Kintex-7 FPGA KC705 evaluation kit includes the hardware and software to evaluate this feature and determine its usefulness in the userrsquos end system

For evaluation of Xilinx Analog Mixed Signal (AMS) capability the following items from your kit are needed

bull Access to XADC header in your FPGA base board

bull AMS101 evaluation card (Figure 7)

bull FPGA design and software files downloaded from the Docs amp Designs tab at wwwxilinxcomkc705

bull USBUART drivers from Silicon Labs

bull AMS Evaluator tool

X-Ref Target - Figure 6

Figure 6 BIST Main Menu

UG883_06_040913

14 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

AMS Bring-up with the AMS101 Evaluation Card

Requirements to Get Started1 Open the USBUART as described in Install the UART Driver page 11

2 The AMS101 evaluation card requires a Windows host PC to install the AMS Evaluator tool which uses National Instruments LabView run-time engine Install the AMS Evaluator tool by unzipping the AMS Evaluator installer fileshttpssecurexilinxcomwebregclickthroughdocid=199651amplicense=RefDesLicenseampfilename=ams101_gui_installer_v1_1zip located in the Targeted Reference Designs section in the Docs amp Designs tab at wwwxilinxcomkc705 After opening the zip file folder click the setupexe file to begin the installation When loading the National Instruments LabView runtime engine Click OK to accept the license agreement Running the setup program loads the AMS101 Evaluator tool with the red Xilinx logo on the desktop

3 Download the AMS Targeted Reference Design files from the Docs amp Designs tab Kintex-7 FPGA KC705 Evaluation Kit - (7 Series FPGA AMS Targeted Reference Design User Guide (Vivado Design Suite 20124 and ISE Design Suite 144)) section at wwwxilinxcomkc705 Unzip the xadc_eval_design_kc705_V1_0zip files Open the ready_to_test folder Save the xadc_eval_designbit bitstream

X-Ref Target - Figure 7

Figure 7 AMS101 Evaluation Card

UG883_07_121112

KC705 Getting Started Guide wwwxilinxcom 15UG883 (v30) April 16 2013

AMS Bring-up with the AMS101 Evaluation Card

Evaluating AMS1 Connect and power the hardware

a Plug the AMS101 evaluation card into the XADC header on your FPGA base board

Note Ensure the notch on the XADC header lines up correctly with the AMS101 evaluation card (see Figure 8)

b Power up the FPGA base board

2 Download the design to the FPGA Installation of ISE Programming tools or Lab Tools is required for downloading the bitstream to the FPGA

Refer to the Vivado Design Suite User Guide Programming and Debugging (UG908) at httpwwwxilinxcomsupportdocumentationdt_vivadohtm to obtain information on downloading the design to the FPGA

a Open the xadc_eval_designbit file from the AMS Targeted Reference Design files

3 Run the AMS101 Evaluator LabVIEW GUI executable from your desktop After loading the AMS Evaluator installer files a red X with the AMS Evaluator program should reside on your desktop

Note The AMS bitstream can also be loaded with ChipScope analyzer

The AMS101 Evaluator GUI is shown in Figure 9

X-Ref Target - Figure 8

Figure 8 KC705 Base Board with AMS101 Evaluation Card Plugged into XADC Header

UG883_08_121112

16 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

AMS Bring-up with the AMS101 Evaluation Card

The AMS Evaluator Tool allows designers to quickly evaluate the analog signals in the time domain frequency domain display linearity verify the XADC register settings and measure the internal temperature sensor and supply voltages

For a more extensive explanation of the AMS101 evaluation card and the applicable files refer to AMS101 Evaluation Platform User Guide (UG886)

X-Ref Target - Figure 9

Figure 9 AMS101 Evaluator GUI

UG883_09_121112

Select COM Port Here

KC705 Getting Started Guide wwwxilinxcom 17UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

Advanced Bring-up Using the Base Targeted Reference DesignThe primary components of the Kintex-7 FPGA Base TRD are

bull Integrated Endpoint block for PCI Express (PCIe) See 7 Series FPGAs Integrated Block for PCI Express User Guide (UG477)

bull Northwest Logic Packet DMA [Ref 5]

bull Multiport Virtual FIFO

The TRD system can sustain up to 10 Gbs throughput end to end

Figure 10 provides an overview of the TRD

Note In Figure 10 the arrows indicate AXI interface directions (from master to slave) They do not indicate data flow directions

ComponentsThe Kintex-7 FPGA Base TRD features these components

bull Kintex-7 FPGA integrated Endpoint block for PCI Express

bull Configured with 4 lanes at a 5 Gbs link rate (Gen2) or 8 lanes at a 25 Gbs link rate (Gen1) for PCI Express v20

bull Provides a user interface compliant with AXI4-Stream interface protocol

bull Performance monitor tracks the integrated blockrsquos AXI4-Stream interface for PCIe transactions

bull Bus Mastering Scatter-Gather Packet DMA from Northwest Logic a multichannel DMA

bull Supports full-duplex operation with independent transmit and receive paths

X-Ref Target - Figure 10

Figure 10 Kintex-7 FPGA Base TRD Block Diagram

UG883_10_121112

Multiport Virtual FIFO

DD

R3

IO

Software

Multi-ChannelDMA for PCIe DDR3

Cha

nnel

-0C

2SS

2CC

hann

el-1

S2C

C2S

64 x1600 Mbs

PC

Ie x

4Gen

2 Li

nk

PC

Ie x

8Gen

1 Li

nk

VFIFOController

SoftwareDriver

Interface Blocks in FPGA Third Party IPXilinx IP On BoardCustom Logic

AXI-ST AXI-MM

Hardware

VFIFOController

VFIFOController

VFIFOController

Raw Packet Data Block

Checker

Generator

Loop

back

Loop

back

Raw Packet Data Block

Generator

Checker

User SpaceRegisters

Target InterfaceAXI Master

256 x200 MHz

64 x

250

MH

z

64 x250 MHz

64 x250 MHz

64 x250 MHz

64 x250 MHz

PerformanceMonitor

GU

I

GT

X T

rans

ceiv

er

Inte

grat

ed E

ndpo

int B

lock

for

PC

I Exp

ress

AX

I-S

T B

asic

Wra

pper

AXIMIG

AXIInterconnect

SI SI

SI SIM

I

18 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

bull Provides an AXI4-Stream interface on the back end

bull Monitors the performance of data transfers in receive and transmit directions

bull Provides an AXI4 memory-mapped target interface to access user-defined registers

Note The Northwest Logic Packet DMA shipped with the Base TRD is an evaluation version and expires after 12 hours of run time To get the full version contact Northwest Logic [Ref 7]

bull Multiport Virtual FIFO

bull DDR3 SDRAM SODIMM (64-bit 1600 Mbs 800 MHz) is used for buffering packets The memory controller delivered through the memory interface generator (MIG) tool interfaces to the DDR3 memory

bull AXI Interconnect IP along with the memory controller supports multiple ports on the memory

bull The Packetized Virtual FIFO controller controls addressing of the DDR3 memory for each port allowing DDR3 to be used as Virtual Packet FIFO

bull Software driver for a 32-bit Linux platform

bull Configures the hardware design parameters

bull Generates and consumes traffic

bull Provides a GUI to report status and performance statistics

The Kintex-7 FPGA integrated Endpoint block for PCI Express and the Packet DMA are responsible for data transfers from host system to Endpoint card (S2C) and Endpoint card to host system (C2S) Data to and from the host is stored in a Virtual FIFO built around the DDR3 memory This Multiport Virtual FIFO abstraction layer around the DDR3 memory allows the user to move traffic efficiently without the need to manage addressing and arbitration on the memory interface It also provides more depth than storage implemented using Block RAMs

The integrated Endpoint block for PCI Express Packet DMA and Multiport Virtual FIFO form the base system The base system can bridge the host to any user application running on the other end The raw data packet module is a dummy application that generates and consumes packets It can be replaced by any user specific protocol like Aurora or XAUI

The software driver runs on the host system It generates raw data traffic for transmit operations in the S2C direction It also consumes the data looped back or generated at the application end in the C2S direction

Hardware Test Setup RequirementsThese are the prerequisites for testing the design in hardware

bull KC705 Evaluation board with the Kintex-7 FPGA XC7K325T-2FFG900C device

bull Design files provided as a zipped collection under the Docs amp Designs tab at wwwxilinxcomkc705 include

bull Design source files

bull Device driver files

bull Board design files

bull Documentation

bull Vivado Design Suite

bull ISE Programming tools or LabTools

KC705 Getting Started Guide wwwxilinxcom 19UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

bull Micro USB cable

bull 4-pin to 6-pin PCIe adapter cable

bull Fedora 16 Live DVD [Ref 6]

bull PC with PCIe v20 slot For a complete list of machines tested and all known issues refer to the Kintex-7 FPGA Base Targeted Reference Design Release Notes and Known Issues Master Answer Record (httpwwwxilinxcomsupportanswers45679htm) This PC could also have Fedora Core 16 Linux OS installed on it

TRD Demonstration SetupThis section describes hardware setup and use of the application GUI to help the user get started quickly with the design in hardware It provides a step-by-step explanation of hardware bring-up and describes using the application GUI

When following the demonstration setup steps for the Kintex-7 FPGA Base TRD if the behavior is not as described refer to the known issues at wwwxilinxcomsupportanswers45679htm

Board Setup

This section describes how to set up the KC705 Evaluation board required to demonstrate the TRD

1 Set the KC705 Jumpers and Switches Verify that the KC705 Evaluation board jumpers and switches are set as shown in Table 2 and Figure 11

Table 2 Switch and Jumper Settings

Jumper Function Setting

J32 PCIe configuration width mdash 4 lane design Jump 3-4

Switch Function or Type Setting

SW15 Board power slide-switch Off

SW11 User GPIO DIP switch

4 Off

3 Off

2 Off

1 Off

S13 DIP switch SW13 positions 1 and 2 control the setting of address bits of the flashDIP switch SW13 positions 3 4 and 5 control which configuration mode

5 (M0) M2 =0 M1=1 M0=0 ndash Master BPIM2 =0 M1=0 M0=1 ndash Master SPIM2 =1 M1=0 M0=1 ndash JTAG

Off

4 (M1) On

3 (M2) Off

2 Off

1 Off

20 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

Hardware Bring-Up

This section presents steps for hardware bring-up

1 With the host system switched off insert the KC705 board in the PCIe slot through the PCI Express x8 or x16 edge connector (Figure 12)

The TRD programmed on the KC705 board has a 4-lane PCIe v20 configuration running at a 5 Gbs link rate per lane The PCI Express specification allows for a smaller lane width Endpoint to be installed into a larger lane width PCIe connector

2 Figure 13 shows the 12V power connection Connect the 12V ATX power supplyrsquos available 4-pin connector to the board (J49) via a 4-pin to 6-pin PCIe adapter cable Toggle the Power switch SW15 to the ON position

X-Ref Target - Figure 11

Figure 11 Switch and Jumper Settings

UG883_11_040913

J27 J28

J29 J30SW13

J32

SW15SW11

X-Ref Target - Figure 12if

Figure 12 KC705 Board Plugged into a PCIe x16 Slot

UG883_12_040913

KC705 Getting Started Guide wwwxilinxcom 21UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

3 Make sure the connections are tight and then power on the PC system

Note If the user wishes to boot Linux from the Fedora 16 Live DVD place the DVD in the PCrsquos DVD-ROM drive as soon as the PC system is powered on

4 Verify the status of the design on the KC705 LEDs The design provides status on the GPIO LEDs on the upper right of the KC705 board (Figure 14) After the PC system is powered on and the TRD has successfully configured status LEDs from right to left should indicate

bull LED 0 mdash ON if the PCIe link is up

bull LED 1 mdash Flashes if the PCIe user clock is present

bull LED 2 mdash ON if lane width is what is expected else it flashes (for a 4 lane design the expected lane width is 4 for an 8 lane design the expected lane width is 8)

bull LED 3 mdash ON if memory calibration is done

bull LED 4 to LED 7 mdash Not connected

X-Ref Target - Figure 13

Figure 13 Power Supply Connection

UG883_13_121112

SW15

J49 6-pinConnector

12V ATX PowerSupply Pluggedinto the 4-pinConnector

22 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

Install the Linux Driver

1 If Fedora 16 is installed on the PC systemrsquos hard disk boot as a root-privileged user and skip to step 3 page 23

2 To boot from the Fedora 16 Live DVD provided in the kit place the DVD in the PCrsquos DVD-ROM drive The Fedora 16 Live Media is for Intel-compatible PCs The DVD contains a complete bootable 32-bit Fedora 16 environment with the proper packages installed for the TRD demonstration environment For more details see Fedora Information page 2 The PC boots from the DVD-ROM drive and logs into a liveuser account This account has kernel development root privileges required to install and remove device driver modules

Note Users might have to adjust BIOS boot order settings to make sure that the DVD-ROM drive is the first drive in the boot order To enter the BIOS menu to set the boot order press the DEL or F2 key when the system is powered on Set the boot order and save the changes (The DEL or F2 key is used by most PC systems to enter the BIOS setup Some PCs might have a different way to enter the BIOS setup)

The PC should boot from the DVD-ROM drive The images in Figure 15 are seen on the monitor during boot up

X-Ref Target - Figure 14

Figure 14 Location of GPIO Status LEDs (Indicates TRD Status)

UG883_14_121112

KC705 Getting Started Guide wwwxilinxcom 23UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

3 After Fedora 16 Core boots open a terminal window (click Activities click Application scroll down and click the Terminal icon) To find out if the PCIe Endpoint is detected at the terminal command line type

$ lspci

The lspci command displays the devices in the PCI and PCI Express buses of the PC On the bus of the KC705 card slot is the message

Communication controller Xilinx Corporation Device 7042

This message confirms that the design programmed into the KC705 board has been found by the BIOS and the Fedora 16 OS The bus number varies depending on which PC motherboard and slot are used Figure 16 shows a lspci output for an example system Xilinx device 7042 has been found by the BIOS on bus number 2 (02000 - busdevfunction)

X-Ref Target - Figure 15

Figure 15 Fedora 16 Live DVD BootingFirst Screen Last Boot Screen Booted

UG883 15 040913

X-Ref Target - Figure 16

Figure 16 PCI and PCI Express Bus Devices

UG883_16_040913

24 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

4 Download the reference design from the Docs amp Designs tab at wwwxilinxcomkc705 and copy the k7_pcie_dma_ddr3_base folder into any directory

5 To set up and run the TRD demonstration the software driver should be installed on the PC system Software driver installation involves

a Building the kernel objects and the GUI

b Inserting the driver modules into the kernel

After the driver modules are loaded the application GUI can be invoked The user can set parameters through the GUI and run the TRD

When the user is done running the TRD the application GUI can be closed and the drivers can be removed

A script is provided to execute all the above actions so that the user can quickly start the TRD

The k7_trd_lin_quickstart script is available in the k7_pcie_dma_ddr3_base folder Right click the script select properties go to the permissions tab check the box Allow executing file as programmdashthis makes the script executable Close the window

To run the script double-click k7_trd_lin_quickstart in the k7_pcie_dma_ddr3_base folder (Figure 17) The window prompt in Figure 18 appears

X-Ref Target - Figure 17

Figure 17 Load Driver and Launch Application GUI

UG883_17_121112

KC705 Getting Started Guide wwwxilinxcom 25UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

Click Run in Terminal to proceed The application GUI is invoked

Proceed to the next section Using the Application GUI to set design parameters and run the TRD

X-Ref Target - Figure 18

Figure 18 Run in Terminal

UG883_18_040913

26 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

Using the Application GUI

When the drivers are loaded and the Performance Monitor GUI is invoked the user can configure the sending and receiving of data The GUI allows the user to observe the collected statistics and other status information

1 Click the System Status tab to verify the status of the KC705 board and the PCIe link (see Figure 19 and Table 3)

X-Ref Target - Figure 19

Figure 19 Verify Board Status in the Performance Monitor

UG883_19_121112

KC705 Getting Started Guide wwwxilinxcom 27UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

2 To start data traffic on the two data paths

a Click Start Test on Raw Data Path0 as shown in Figure 20 This enables the driver to start generating the data for Raw Data Path0

b Click Start Test on Raw Data Path1 as shown in Figure 20 This enables the driver to start generating the data for Raw Data Path1

Table 3 KC705 Board Status Field Explanations

Field Status Explanation

Link Status Up This confirms that the PCIe link is up and a PCIe connection is established between the Kintex-7 FPGA Endpoint for PCI Express and the PC motherboard chipset

Link Speed 50 Gbs This confirms that the PCIe link is operating at line rate speed per PCI Express v20

Link Width x4 This confirms that the PCIe link is trained as a x4 link

28 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

3 Verify TRD operations through the status information provided by the GUI (see Figure 21)

a Verify the PCIe throughput

b Verify the DMA channel throughput for the Raw Data Path0

c Verify the DMA channel throughput for the Raw Data Path1

d Verify there are no buffer descriptor errors for error-free operation

X-Ref Target - Figure 20

Figure 20 Start Data Traffic from the Performance Monitor

UG883_20_121112

KC705 Getting Started Guide wwwxilinxcom 29UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

The Kintex-7 FPGA PCIe-DMA TRD is now set up and running Close the Application GUI to unload the software drivers and stop traffic flow

X-Ref Target - Figure 21

Figure 21 Verify Error-Free Operation in the Performance Monitor

UG883_21_121112

30 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

Evaluating the Kintex-7 FPGA Base TRDThe Kintex-7 FPGA Base TRD provides a Performance and Status monitor application and GUI The application enables customers to evaluate different system parameters This section demonstrates performance variances for the PCI Express and DMA interfaces based on the parameters set

To evaluate the Kintex-7 FPGA Base TRD

1 Launch the Performance Monitor for the Kintex-7 FPGA Base TRD

a Navigate to the k7_pcie_dma_ddr3_base folder

b Double-click k7_lin_trd_quickstart (Figure 22)mdashmake sure that the script has executable permissionmdashto launch the Performance Monitor and Status GUI

c A window prompt appears as shown in Figure 23 Click Run in Terminal to proceed

2 Set up the test parameters in the Performance Monitor

a Two data paths are available Raw Data Path0 and Raw Data Path1 On each path set the Packet Size to a value between 64 ndash 32768 bytes

X-Ref Target - Figure 22

Figure 22 Launch the Performance Monitor and Status GUI

UG883_22_121112

X-Ref Target - Figure 23

Figure 23 Run k7_lin_trd_quickstart

UG883_23_121112

KC705 Getting Started Guide wwwxilinxcom 31UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

3 Execute the test and view payload statistics in the Performance and Status Monitor (see Figure 24)

a Click Start Test to start the performance test

b Click the Payload Statistics tab to view data transfers on the DMA channels

c Click Stop Test to stop data traffic

d Vary the Packet Size parameters for the Raw Data Paths (see Figure 25) and click Start Test Then view the payload statistics to review data transfer rate on the DMA channels With a decrease in packet size the performance drops

Note Before changing packet size click Stop Test change the size and then click Start Test

X-Ref Target - Figure 24

Figure 24 Payload Statistics

UG883_24_121112

32 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

Note For packet sizes equal to 64 or 128 bytes the throughput is reduced and might not be visible on the Payload Statistics tab The exact values can be viewed on the System Status tab

4 Click the PCIe Statistics tab to view data transfer numbers with varying packet sizes on the PCIe interface (Figure 26)

X-Ref Target - Figure 25

Figure 25 Effect of Varying Packet Sizes on Performance

UG883_25_121112

KC705 Getting Started Guide wwwxilinxcom 33UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

The system performance of the Kintex-7 FPGA Base TRD has now been evaluated using the pre-built demonstration design bit file

Now that the Kintex-7 FPGA Base TRD demonstration has been set up and evaluated the design can be modified Before the design can be modified make sure to install the Vivado Design Suite on a PC It is not required that tools be installed on the PC system in which the KC705 evaluation board is plugged in by way of the PCIe edge connector

X-Ref Target - Figure 26

Figure 26 PCIe Statistics in the Performance Monitor

UG883_26_121112

34 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

KC705 Getting Started Guide wwwxilinxcom 35UG883 (v30) April 16 2013

Appendix A

Additional Resources

Xilinx ResourcesFor support resources such as Answers Documentation Downloads and Forums see the Xilinx Support website at

wwwxilinxcomsupport

For continual updates add the Answer Record to your myAlerts

wwwxilinxcomsupportmyalerts

For a glossary of technical terms used in Xilinx documentation see

wwwxilinxcomcompanytermshtm

Solution CentersSee the Xilinx Solution Centers for support on devices software tools and intellectual property at all stages of the design cycle Topics include design assistance advisories and troubleshooting tips

Further ResourcesThe most up to date information related to the KC705 board and its documentation is available on the following websites

The Kintex-7 FPGA KC705 Evaluation Kit Product Page

wwwxilinxcomkc705

The Kintex-7 FPGA KC705 Evaluation Kit Master Answer Record

wwwxilinxcomsupportanswers47787htm

Design advisories by software release for the Kintex-7 FPGA KC705 Evaluation Kit

wwwxilinxcomsupportnav=sd-nav-link-179661amptab=tab-bk

Kintex-7 FPGA Base Targeted Reference Design Release Notes and Known Issues Master Answer Record

wwwxilinxcomsupportanswers45679htm

These documents provide supplemental material useful with this user guide

UG810 KC705 Evaluation Board for the Kintex-7 FPGA User Guide

UG845 KC705 Reference Design User Guide

36 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Appendix A Additional Resources

UG798 Xilinx Design Tools Installation and Licensing Guide Vivado Design Suite and ISE Design Suite

UG908 Vivado Design Suite User Guide Programming and Debugging

UG882 Kintex-7 FPGA KC705 Base Targeted Reference Design User Guide (Vivado Design Suite 20124)

UG886 AMS101 Evaluation Card User Guide

UG477 7 Series FPGAs Integrated Block for PCI Express User Guide

ReferencesThe following websites provide supplemental material useful with this guide

1 LabVIEW 32-bit Run-Time Enginehttpjoulenicomniducdsviewpid2534langen

2 LabVIEW 64-bit Run-Time Engine httpjoulenicomniducdsviewpid2536langen

3 Tera Term Pro program httphpvectorcojpauthorsVA002416teratermhtml

4 Drivers on the Silicon Labs site httpwwwsilabscomSupport20DocumentsSoftwareCP210x_VCP_Win_XP_S2K3_Vista_7exe

5 Northwest Logic DMA back end core httpwwwnwlogiccompacketdma

6 Fedora project httpfedoraprojectorg

Fedora is a Linux-based operating system used in the development of this TRD

7 The GTK+ project API documentation httpwwwgtkorgdocumentationphp

GTK+ is a toolkit for creating graphical user interfaces (GUI)

KC705 Getting Started Guide wwwxilinxcom 37UG883 (v30) April 16 2013

Appendix B

Warranty

THIS LIMITED WARRANTY applies solely to standard hardware development boards and standard hardware programming cables manufactured by or on behalf of Xilinx (ldquoDevelopment Systemsrdquo) Subject to the limitations herein Xilinx warrants that Development Systems when delivered by Xilinx or its authorized distributor for ninety (90) days following the delivery date will be free from defects in material and workmanship and will substantially conform to Xilinx publicly available specifications for such products in effect at the time of delivery This limited warranty excludes (i) engineering samples or beta versions of Development Systems (which are provided ldquoAS ISrdquo without warranty) (ii) design defects or errors known as ldquoerratardquo (iii) Development Systems procured through unauthorized third parties and (iv) Development Systems that have been subject to misuse mishandling accident alteration neglect unauthorized repair or installation Furthermore this limited warranty shall not apply to the use of covered products in an application or environment that is not within Xilinx specifications or in the event of any act error neglect or default of Customer For any breach by Xilinx of this limited warranty the exclusive remedy of Customer and the sole liability of Xilinx shall be at the option of Xilinx to replace or repair the affected products or to refund to Customer the price of the affected products The availability of replacement products is subject to product discontinuation policies at Xilinx Customer may not return product without first obtaining a customer return material authorization (RMA) number from XilinxTHE WARRANTIES SET FORTH HEREIN ARE EXCLUSIVE XILINX DISCLAIMS ALL OTHER WARRANTIES WHETHER EXPRESS IMPLIED OR STATUTORY INCLUDING WITHOUT LIMITATION ANY WARRANTY OF MERCHANTABILITY FITNESS FOR A PARTICULAR PURPOSE OR NON-INFRINGEMENT AND ANY WARRANTY THAT MAY ARISE FROM COURSE OF DEALING COURSE OF PERFORMANCE OR USAGE OF TRADE (200810)

Do not throw Xilinx products marked with the ldquocrossed out wheeled binrdquo in the trash Directive 200296EC on waste electrical and electronic equipment (WEEE) requires the separate collection of WEEE Your cooperation is essential in ensuring the proper management of WEEE and the protection of the environment and human health from potential effects arising from the presence of hazardous substances in WEEE Return the marked products to Xilinx for proper disposal Further information and instructions for free-of-charge return available at httpwwwxilinxcomehsweeehtm

38 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Appendix B Warranty

  • Kintex-7 FPGA KC705 Evaluation Kit (Vivado Design Suite 20131)
    • Revision History
    • Table of Contents
    • Getting Started with the Kintex-7 FPGA KC705 Evaluation Kit (Vivado Design Suite 20131)
      • Introduction
      • Kit Contents
      • Basic Hardware Bring-up Using the BIST
        • Hardware Test Setup Requirements
          • Hardware Test Board Setup Requirements
            • Hardware Bring-Up
            • Run the BIST Application
              • AMS Bring-up with the AMS101 Evaluation Card
                • Requirements to Get Started
                • Evaluating AMS
                  • Advanced Bring-up Using the Base Targeted Reference Design
                    • Components
                    • Hardware Test Setup Requirements
                    • TRD Demonstration Setup
                    • Evaluating the Kintex-7 FPGA Base TRD
                        • Additional Resources
                          • Xilinx Resources
                          • Solution Centers
                          • Further Resources
                          • References
                            • Warranty
                                • ltlt ASCII85EncodePages false AllowTransparency false AutoPositionEPSFiles true AutoRotatePages All Binding Left CalGrayProfile (Dot Gain 20) CalRGBProfile (sRGB IEC61966-21) CalCMYKProfile (US Web Coated 050SWOP051 v2) sRGBProfile (sRGB IEC61966-21) CannotEmbedFontPolicy Warning CompatibilityLevel 16 CompressObjects Tags CompressPages true ConvertImagesToIndexed true PassThroughJPEGImages true CreateJobTicket false DefaultRenderingIntent Default DetectBlends true DetectCurves 00000 ColorConversionStrategy LeaveColorUnchanged DoThumbnails false EmbedAllFonts true EmbedOpenType false ParseICCProfilesInComments true EmbedJobOptions true DSCReportingLevel 0 EmitDSCWarnings false EndPage -1 ImageMemory 1048576 LockDistillerParams true MaxSubsetPct 100 Optimize true OPM 1 ParseDSCComments true ParseDSCCommentsForDocInfo true PreserveCopyPage true PreserveDICMYKValues true PreserveEPSInfo true PreserveFlatness true PreserveHalftoneInfo false PreserveOPIComments false PreserveOverprintSettings true StartPage 1 SubsetFonts true TransferFunctionInfo Apply UCRandBGInfo Preserve UsePrologue false ColorSettingsFile () AlwaysEmbed [ true ] NeverEmbed [ true ] AntiAliasColorImages false CropColorImages true ColorImageMinResolution 300 ColorImageMinResolutionPolicy OK DownsampleColorImages false ColorImageDownsampleType Bicubic ColorImageResolution 300 ColorImageDepth -1 ColorImageMinDownsampleDepth 1 ColorImageDownsampleThreshold 150000 EncodeColorImages true ColorImageFilter DCTEncode AutoFilterColorImages true ColorImageAutoFilterStrategy JPEG ColorACSImageDict ltlt QFactor 040 HSamples [1 1 1 1] VSamples [1 1 1 1] gtgt ColorImageDict ltlt QFactor 015 HSamples [1 1 1 1] VSamples [1 1 1 1] gtgt JPEG2000ColorACSImageDict ltlt TileWidth 256 TileHeight 256 Quality 30 gtgt JPEG2000ColorImageDict ltlt TileWidth 256 TileHeight 256 Quality 30 gtgt AntiAliasGrayImages false CropGrayImages true GrayImageMinResolution 300 GrayImageMinResolutionPolicy OK DownsampleGrayImages false GrayImageDownsampleType Bicubic GrayImageResolution 300 GrayImageDepth -1 GrayImageMinDownsampleDepth 2 GrayImageDownsampleThreshold 150000 EncodeGrayImages true GrayImageFilter DCTEncode AutoFilterGrayImages true GrayImageAutoFilterStrategy JPEG GrayACSImageDict ltlt QFactor 040 HSamples [1 1 1 1] VSamples [1 1 1 1] gtgt GrayImageDict ltlt QFactor 015 HSamples [1 1 1 1] VSamples [1 1 1 1] gtgt JPEG2000GrayACSImageDict ltlt TileWidth 256 TileHeight 256 Quality 30 gtgt JPEG2000GrayImageDict ltlt TileWidth 256 TileHeight 256 Quality 30 gtgt AntiAliasMonoImages false CropMonoImages true MonoImageMinResolution 1200 MonoImageMinResolutionPolicy OK DownsampleMonoImages false MonoImageDownsampleType Bicubic MonoImageResolution 1200 MonoImageDepth -1 MonoImageDownsampleThreshold 150000 EncodeMonoImages true MonoImageFilter CCITTFaxEncode MonoImageDict ltlt K -1 gtgt AllowPSXObjects false CheckCompliance [ None ] PDFX1aCheck false PDFX3Check false PDFXCompliantPDFOnly false PDFXNoTrimBoxError true PDFXTrimBoxToMediaBoxOffset [ 000000 000000 000000 000000 ] PDFXSetBleedBoxToMediaBox true PDFXBleedBoxToTrimBoxOffset [ 000000 000000 000000 000000 ] PDFXOutputIntentProfile (None) PDFXOutputConditionIdentifier () PDFXOutputCondition () PDFXRegistryName () PDFXTrapped False CreateJDFFile false Description ltlt ARA ltFEFF06270633062A062E062F0645002006470630064700200627064406250639062F0627062F0627062A002006440625064606340627062100200648062B062706260642002000410064006F00620065002000500044004600200645062A0648062706410642062900200644064406370628062706390629002006300627062A002006270644062C0648062F0629002006270644063906270644064A06290020064506460020062E06440627064400200627064406370627062806390627062A00200627064406450643062A0628064A062900200623064800200623062C06470632062900200625062C06310627062100200627064406280631064806410627062A061B0020064A06450643064600200641062A062D00200648062B0627062606420020005000440046002006270644064506460634062306290020062806270633062A062E062F062706450020004100630072006F0062006100740020064800410064006F006200650020005200650061006400650072002006250635062F0627063100200035002E0030002006480627064406250635062F062706310627062A0020062706440623062D062F062B002E0020064506390020005000440046002F0041060C0020062706440631062C062706210020064506310627062C063906290020062F0644064A0644002006450633062A062E062F06450020004100630072006F006200610074061B0020064A06450643064600200641062A062D00200648062B0627062606420020005000440046002006270644064506460634062306290020062806270633062A062E062F062706450020004100630072006F0062006100740020064800410064006F006200650020005200650061006400650072002006250635062F0627063100200035002E0030002006480627064406250635062F062706310627062A0020062706440623062D062F062B002Egt BGR ltFEFF04180437043f043e043b043704320430043904420435002004420435043704380020043d0430044104420440043e0439043a0438002c00200437043000200434043000200441044a0437043404300432043004420435002000410064006f00620065002000500044004600200434043e043a0443043c0435043d044204380020043704300020043a0430044704350441044204320435043d0020043f04350447043004420020043d04300020043d043004410442043e043b043d04380020043f04400438043d04420435044004380020043800200443044104420440043e043904410442043204300020043704300020043f04350447043004420020043d04300020043f0440043e0431043d04380020044004300437043f0435044704300442043a0438002e002000200421044a04370434043004340435043d043804420435002000500044004600200434043e043a0443043c0435043d044204380020043c043e0433043004420020043404300020044104350020043e0442043204300440044f0442002004410020004100630072006f00620061007400200438002000410064006f00620065002000520065006100640065007200200035002e00300020043800200441043b0435043404320430044904380020043204350440044104380438002egt CHS ltFEFF4f7f75288fd94e9b8bbe5b9a521b5efa7684002000500044004600206587686353ef901a8fc7684c976262535370673a548c002000700072006f006f00660065007200208fdb884c9ad88d2891cf62535370300260a853ef4ee54f7f75280020004100630072006f0062006100740020548c002000410064006f00620065002000520065006100640065007200200035002e003000204ee553ca66f49ad87248672c676562535f00521b5efa768400200050004400460020658768633002gt CHT ltFEFF4f7f752890194e9b8a2d7f6e5efa7acb7684002000410064006f006200650020005000440046002065874ef653ef5728684c9762537088686a5f548c002000700072006f006f00660065007200204e0a73725f979ad854c18cea7684521753706548679c300260a853ef4ee54f7f75280020004100630072006f0062006100740020548c002000410064006f00620065002000520065006100640065007200200035002e003000204ee553ca66f49ad87248672c4f86958b555f5df25efa7acb76840020005000440046002065874ef63002gt CZE ltFEFF005400610074006f0020006e006100730074006100760065006e00ed00200070006f0075017e0069006a007400650020006b0020007600790074007600e101590065006e00ed00200064006f006b0075006d0065006e0074016f002000410064006f006200650020005000440046002000700072006f0020006b00760061006c00690074006e00ed0020007400690073006b0020006e0061002000730074006f006c006e00ed006300680020007400690073006b00e10072006e00e100630068002000610020006e00e1007400690073006b006f007600fd006300680020007a0061015900ed007a0065006e00ed00630068002e002000200056007900740076006f01590065006e00e900200064006f006b0075006d0065006e007400790020005000440046002000620075006400650020006d006f017e006e00e90020006f007400650076015900ed007400200076002000700072006f006700720061006d0065006300680020004100630072006f00620061007400200061002000410064006f00620065002000520065006100640065007200200035002e0030002000610020006e006f0076011b006a016100ed00630068002egt DAN ltFEFF004200720075006700200069006e0064007300740069006c006c0069006e006700650072006e0065002000740069006c0020006100740020006f007000720065007400740065002000410064006f006200650020005000440046002d0064006f006b0075006d0065006e007400650072002000740069006c0020006b00760061006c00690074006500740073007500640073006b007200690076006e0069006e006700200065006c006c006500720020006b006f007200720065006b007400750072006c00e60073006e0069006e0067002e0020004400650020006f007000720065007400740065006400650020005000440046002d0064006f006b0075006d0065006e0074006500720020006b0061006e002000e50062006e00650073002000690020004100630072006f00620061007400200065006c006c006500720020004100630072006f006200610074002000520065006100640065007200200035002e00300020006f00670020006e0079006500720065002egt DEU ltFEFF00560065007200770065006e00640065006e0020005300690065002000640069006500730065002000450069006e007300740065006c006c0075006e00670065006e0020007a0075006d002000450072007300740065006c006c0065006e00200076006f006e002000410064006f006200650020005000440046002d0044006f006b0075006d0065006e00740065006e002c00200076006f006e002000640065006e0065006e002000530069006500200068006f00630068007700650072007400690067006500200044007200750063006b006500200061007500660020004400650073006b0074006f0070002d0044007200750063006b00650072006e00200075006e0064002000500072006f006f0066002d00470065007200e400740065006e002000650072007a0065007500670065006e0020006d00f60063006800740065006e002e002000450072007300740065006c006c007400650020005000440046002d0044006f006b0075006d0065006e007400650020006b00f6006e006e0065006e0020006d006900740020004100630072006f00620061007400200075006e0064002000410064006f00620065002000520065006100640065007200200035002e00300020006f0064006500720020006800f600680065007200200067006500f600660066006e00650074002000770065007200640065006e002egt ESP ltFEFF005500740069006c0069006300650020006500730074006100200063006f006e0066006900670075007200610063006900f3006e0020007000610072006100200063007200650061007200200064006f00630075006d0065006e0074006f0073002000640065002000410064006f0062006500200050004400460020007000610072006100200063006f006e00730065006700750069007200200069006d0070007200650073006900f3006e002000640065002000630061006c006900640061006400200065006e00200069006d0070007200650073006f0072006100730020006400650020006500730063007200690074006f00720069006f00200079002000680065007200720061006d00690065006e00740061007300200064006500200063006f00720072006500630063006900f3006e002e002000530065002000700075006500640065006e00200061006200720069007200200064006f00630075006d0065006e0074006f00730020005000440046002000630072006500610064006f007300200063006f006e0020004100630072006f006200610074002c002000410064006f00620065002000520065006100640065007200200035002e003000200079002000760065007200730069006f006e0065007300200070006f00730074006500720069006f007200650073002egt ETI ltFEFF004b00610073007500740061006700650020006e0065006900640020007300e4007400740065006900640020006c006100750061002d0020006a00610020006b006f006e00740072006f006c006c007400f5006d006d006900730065007000720069006e0074006500720069007400650020006a0061006f006b00730020006b00760061006c006900740065006500740073006500740065002000410064006f006200650020005000440046002d0064006f006b0075006d0065006e00740069006400650020006c006f006f006d006900730065006b0073002e002e00200020004c006f006f0064007500640020005000440046002d0064006f006b0075006d0065006e00740065002000730061006100740065002000610076006100640061002000700072006f006700720061006d006d006900640065006700610020004100630072006f0062006100740020006e0069006e0067002000410064006f00620065002000520065006100640065007200200035002e00300020006a00610020007500750065006d006100740065002000760065007200730069006f006f006e00690064006500670061002e000d000agt FRA ltFEFF005500740069006c006900730065007a00200063006500730020006f007000740069006f006e00730020006100660069006e00200064006500200063007200e900650072002000640065007300200064006f00630075006d0065006e00740073002000410064006f00620065002000500044004600200070006f007500720020006400650073002000e90070007200650075007600650073002000650074002000640065007300200069006d007000720065007300730069006f006e00730020006400650020006800610075007400650020007100750061006c0069007400e90020007300750072002000640065007300200069006d007000720069006d0061006e0074006500730020006400650020006200750072006500610075002e0020004c0065007300200064006f00630075006d0065006e00740073002000500044004600200063007200e900e90073002000700065007500760065006e0074002000ea0074007200650020006f007500760065007200740073002000640061006e00730020004100630072006f006200610074002c002000610069006e00730069002000710075002700410064006f00620065002000520065006100640065007200200035002e0030002000650074002000760065007200730069006f006e007300200075006c007400e90072006900650075007200650073002egt GRE ltFEFF03a703c103b703c303b903bc03bf03c003bf03b903ae03c303c403b5002003b103c503c403ad03c2002003c403b903c2002003c103c503b803bc03af03c303b503b903c2002003b303b903b1002003bd03b1002003b403b703bc03b903bf03c503c103b303ae03c303b503c403b5002003ad03b303b303c103b103c603b1002000410064006f006200650020005000440046002003b303b903b1002003b503ba03c403cd03c003c903c303b7002003c003bf03b903cc03c403b703c403b103c2002003c303b5002003b503ba03c403c503c003c903c403ad03c2002003b303c103b103c603b503af03bf03c5002003ba03b103b9002003b403bf03ba03b903bc03b103c303c403ad03c2002e0020002003a403b10020005000440046002003ad03b303b303c103b103c603b1002003c003bf03c5002003ad03c703b503c403b5002003b403b703bc03b903bf03c503c103b303ae03c303b503b9002003bc03c003bf03c103bf03cd03bd002003bd03b1002003b103bd03bf03b903c703c403bf03cd03bd002003bc03b5002003c403bf0020004100630072006f006200610074002c002003c403bf002000410064006f006200650020005200650061006400650072002000200035002e0030002003ba03b103b9002003bc03b503c403b103b303b503bd03ad03c303c403b503c103b503c2002003b503ba03b403cc03c303b503b903c2002egt HEB ltFEFF05D405E905EA05DE05E905D5002005D105D405D205D305E805D505EA002005D005DC05D4002005DB05D305D9002005DC05D905E605D505E8002005DE05E105DE05DB05D9002000410064006F006200650020005000440046002005E205D105D505E8002005D405D305E405E105D4002005D005D905DB05D505EA05D905EA002005D105DE05D305E405E105D505EA002005E905D505DC05D705E005D905D505EA002005D505DB05DC05D9002005D405D205D405D4002E002005DE05E105DE05DB05D9002005D4002D005000440046002005E905E005D505E605E805D905DD002005E005D905EA05E005D905DD002005DC05E405EA05D905D705D4002005D105D005DE05E605E205D505EA0020004100630072006F006200610074002005D5002D00410064006F00620065002000520065006100640065007200200035002E0030002005D505D205E805E105D005D505EA002005DE05EA05E705D305DE05D505EA002005D905D505EA05E8002Egt HRV ltFEFF005a00610020007300740076006100720061006e006a0065002000410064006f00620065002000500044004600200064006f006b0075006d0065006e0061007400610020007a00610020006b00760061006c00690074006500740061006e0020006900730070006900730020006e006100200070006900730061010d0069006d006100200069006c0069002000700072006f006f006600650072002000750072006501110061006a0069006d0061002e00200020005300740076006f00720065006e0069002000500044004600200064006f006b0075006d0065006e007400690020006d006f006700750020007300650020006f00740076006f00720069007400690020004100630072006f00620061007400200069002000410064006f00620065002000520065006100640065007200200035002e0030002000690020006b00610073006e0069006a0069006d0020007600650072007a0069006a0061006d0061002egt HUN ltFEFF004d0069006e0151007300e9006700690020006e0079006f006d00610074006f006b0020006b00e90073007a00ed007400e9007300e900680065007a002000610073007a00740061006c00690020006e0079006f006d00740061007400f3006b006f006e002000e9007300200070007200f300620061006e0079006f006d00f3006b006f006e00200065007a0065006b006b0065006c0020006100200062006500e1006c006c00ed007400e10073006f006b006b0061006c002c00200068006f007a007a006f006e0020006c00e9007400720065002000410064006f00620065002000500044004600200064006f006b0075006d0065006e00740075006d006f006b00610074002e0020002000410020006c00e90074007200650068006f007a006f00740074002000500044004600200064006f006b0075006d0065006e00740075006d006f006b00200061007a0020004100630072006f006200610074002c00200061007a002000410064006f00620065002000520065006100640065007200200035002e0030002000e9007300200061007a002000610074007400f3006c0020006b00e9007301510062006200690020007600650072007a006900f3006b006b0061006c00200020006e00790069007400680061007400f3006b0020006d00650067002egt ITA ltFEFF005500740069006c0069007a007a006100720065002000710075006500730074006500200069006d0070006f007300740061007a0069006f006e00690020007000650072002000630072006500610072006500200064006f00630075006d0065006e00740069002000410064006f006200650020005000440046002000700065007200200075006e00610020007300740061006d007000610020006400690020007100750061006c0069007400e00020007300750020007300740061006d00700061006e0074006900200065002000700072006f006f0066006500720020006400650073006b0074006f0070002e0020004900200064006f00630075006d0065006e007400690020005000440046002000630072006500610074006900200070006f00730073006f006e006f0020006500730073006500720065002000610070006500720074006900200063006f006e0020004100630072006f00620061007400200065002000410064006f00620065002000520065006100640065007200200035002e003000200065002000760065007200730069006f006e006900200073007500630063006500730073006900760065002egt JPN ltFEFF9ad854c18cea51fa529b7528002000410064006f0062006500200050004400460020658766f8306e4f5c6210306b4f7f75283057307e30593002537052376642306e753b8cea3092670059279650306b4fdd306430533068304c3067304d307e3059300230c730b930af30c830c330d730d730ea30f330bf3067306e53705237307e305f306f30d730eb30fc30d57528306b9069305730663044307e305930023053306e8a2d5b9a30674f5c62103055308c305f0020005000440046002030d530a130a430eb306f3001004100630072006f0062006100740020304a30883073002000410064006f00620065002000520065006100640065007200200035002e003000204ee5964d3067958b304f30533068304c3067304d307e30593002gt KOR ltFEFFc7740020c124c815c7440020c0acc6a9d558c5ec0020b370c2a4d06cd0d10020d504b9b0d1300020bc0f0020ad50c815ae30c5d0c11c0020ace0d488c9c8b85c0020c778c1c4d560002000410064006f0062006500200050004400460020bb38c11cb97c0020c791c131d569b2c8b2e4002e0020c774b807ac8c0020c791c131b41c00200050004400460020bb38c11cb2940020004100630072006f0062006100740020bc0f002000410064006f00620065002000520065006100640065007200200035002e00300020c774c0c1c5d0c11c0020c5f40020c2180020c788c2b5b2c8b2e4002egt LTH ltFEFF004e006100750064006f006b0069007400650020016100690075006f007300200070006100720061006d006500740072007500730020006e006f0072011700640061006d00690020006b0075007200740069002000410064006f00620065002000500044004600200064006f006b0075006d0065006e007400750073002c0020006b007500720069006500200073006b00690072007400690020006b006f006b0079006200690161006b0061006900200073007000610075007300640069006e007400690020007300740061006c0069006e0069006100690073002000690072002000620061006e00640079006d006f00200073007000610075007300640069006e007400750076006100690073002e0020002000530075006b0075007200740069002000500044004600200064006f006b0075006d0065006e007400610069002000670061006c006900200062016b007400690020006100740069006400610072006f006d00690020004100630072006f006200610074002000690072002000410064006f00620065002000520065006100640065007200200035002e0030002000610072002000760117006c00650073006e0117006d00690073002000760065007200730069006a006f006d00690073002egt LVI ltFEFF0049007a006d0061006e0074006f006a00690065007400200161006f00730020006900650073007400610074012b006a0075006d00750073002c0020006c0061006900200069007a0076006500690064006f00740075002000410064006f00620065002000500044004600200064006f006b0075006d0065006e0074007500730020006b00760061006c0069007400610074012b0076006100690020006400720075006b010101610061006e00610069002000610072002000670061006c006400610020007000720069006e00740065007200690065006d00200075006e0020007000610072006100750067006e006f00760069006c006b0075006d0075002000690065007300700069006500640113006a00690065006d002e00200049007a0076006500690064006f006a006900650074002000500044004600200064006f006b0075006d0065006e007400750073002c0020006b006f002000760061007200200061007400760113007200740020006100720020004100630072006f00620061007400200075006e002000410064006f00620065002000520065006100640065007200200035002e0030002c0020006b0101002000610072012b00200074006f0020006a00610075006e0101006b0101006d002000760065007200730069006a0101006d002egt NLD (Gebruik deze instellingen om Adobe PDF-documenten te maken voor kwaliteitsafdrukken op desktopprinters en proofers De gemaakte PDF-documenten kunnen worden geopend met Acrobat en Adobe Reader 50 en hoger) NOR ltFEFF004200720075006b00200064006900730073006500200069006e006e007300740069006c006c0069006e00670065006e0065002000740069006c002000e50020006f0070007000720065007400740065002000410064006f006200650020005000440046002d0064006f006b0075006d0065006e00740065007200200066006f00720020007500740073006b00720069006600740020006100760020006800f800790020006b00760061006c00690074006500740020007000e500200062006f007200640073006b0072006900760065007200200065006c006c00650072002000700072006f006f006600650072002e0020005000440046002d0064006f006b0075006d0065006e00740065006e00650020006b0061006e002000e50070006e00650073002000690020004100630072006f00620061007400200065006c006c00650072002000410064006f00620065002000520065006100640065007200200035002e003000200065006c006c00650072002000730065006e006500720065002egt POL ltFEFF0055007300740061007700690065006e0069006100200064006f002000740077006f0072007a0065006e0069006100200064006f006b0075006d0065006e007400f3007700200050004400460020007a002000770079017c0073007a010500200072006f007a0064007a00690065006c0063007a006f015b0063006901050020006f006200720061007a006b00f30077002c0020007a0061007000650077006e00690061006a0105006301050020006c006500700073007a01050020006a0061006b006f015b0107002000770079006400720075006b00f30077002e00200044006f006b0075006d0065006e0074007900200050004400460020006d006f017c006e00610020006f007400770069006500720061010700200077002000700072006f006700720061006d006900650020004100630072006f00620061007400200069002000410064006f00620065002000520065006100640065007200200035002e0030002000690020006e006f00770073007a0079006d002egt PTB ltFEFF005500740069006c0069007a006500200065007300730061007300200063006f006e00660069006700750072006100e700f50065007300200064006500200066006f0072006d00610020006100200063007200690061007200200064006f00630075006d0065006e0074006f0073002000410064006f0062006500200050004400460020007000610072006100200069006d0070007200650073007300f5006500730020006400650020007100750061006c0069006400610064006500200065006d00200069006d00700072006500730073006f0072006100730020006400650073006b0074006f00700020006500200064006900730070006f00730069007400690076006f0073002000640065002000700072006f00760061002e0020004f007300200064006f00630075006d0065006e0074006f00730020005000440046002000630072006900610064006f007300200070006f00640065006d0020007300650072002000610062006500720074006f007300200063006f006d0020006f0020004100630072006f006200610074002000650020006f002000410064006f00620065002000520065006100640065007200200035002e0030002000650020007600650072007300f50065007300200070006f00730074006500720069006f007200650073002egt RUM ltFEFF005500740069006c0069007a00610163006900200061006300650073007400650020007300650074010300720069002000700065006e007400720075002000610020006300720065006100200064006f00630075006d0065006e00740065002000410064006f006200650020005000440046002000700065006e007400720075002000740069007001030072006900720065002000640065002000630061006c006900740061007400650020006c006100200069006d007000720069006d0061006e007400650020006400650073006b0074006f00700020015f0069002000700065006e0074007200750020007600650072006900660069006300610074006f00720069002e002000200044006f00630075006d0065006e00740065006c00650020005000440046002000630072006500610074006500200070006f00740020006600690020006400650073006300680069007300650020006300750020004100630072006f006200610074002c002000410064006f00620065002000520065006100640065007200200035002e00300020015f00690020007600650072007300690075006e0069006c006500200075006c0074006500720069006f006100720065002egt RUS ltFEFF04180441043f043e043b044c04370443043904420435002004340430043d043d044b04350020043d0430044104420440043e0439043a043800200434043b044f00200441043e043704340430043d0438044f00200434043e043a0443043c0435043d0442043e0432002000410064006f006200650020005000440046002c0020043f044004350434043d04300437043d043004470435043d043d044b044500200434043b044f0020043a0430044704350441044204320435043d043d043e04390020043f043504470430044204380020043d04300020043d043004410442043e043b044c043d044b04450020043f04400438043d044204350440043004450020043800200443044104420440043e04390441044204320430044500200434043b044f0020043f043e043b044304470435043d0438044f0020043f0440043e0431043d044b04450020043e0442044204380441043a043e0432002e002000200421043e043704340430043d043d044b04350020005000440046002d0434043e043a0443043c0435043d0442044b0020043c043e0436043d043e00200020043e0442043a0440044b043204300442044c002004410020043f043e043c043e0449044c044e0020004100630072006f00620061007400200438002000410064006f00620065002000520065006100640065007200200035002e00300020043800200431043e043b043504350020043f043e04370434043d043804450020043204350440044104380439002egt SKY ltFEFF0054006900650074006f0020006e006100730074006100760065006e0069006100200070006f0075017e0069007400650020006e00610020007600790074007600e100720061006e0069006500200064006f006b0075006d0065006e0074006f0076002000410064006f00620065002000500044004600200070007200650020006b00760061006c00690074006e00fa00200074006c0061010d0020006e0061002000730074006f006c006e00fd0063006800200074006c0061010d00690061007201480061006300680020006100200074006c0061010d006f007600fd006300680020007a006100720069006100640065006e0069006100630068002e00200056007900740076006f00720065006e00e900200064006f006b0075006d0065006e007400790020005000440046002000620075006400650020006d006f017e006e00e90020006f00740076006f00720069016500200076002000700072006f006700720061006d006f006300680020004100630072006f00620061007400200061002000410064006f00620065002000520065006100640065007200200035002e0030002000610020006e006f0076016100ed00630068002e000d000agt SLV ltFEFF005400650020006e006100730074006100760069007400760065002000750070006f0072006100620069007400650020007a00610020007500730074007600610072006a0061006e006a006500200064006f006b0075006d0065006e0074006f0076002000410064006f0062006500200050004400460020007a00610020006b0061006b006f0076006f00730074006e006f0020007400690073006b0061006e006a00650020006e00610020006e0061006d0069007a006e006900680020007400690073006b0061006c006e0069006b0069006800200069006e0020007000720065007600650072006a0061006c006e0069006b00690068002e00200020005500730074007600610072006a0065006e006500200064006f006b0075006d0065006e0074006500200050004400460020006a00650020006d006f0067006f010d00650020006f0064007000720065007400690020007a0020004100630072006f00620061007400200069006e002000410064006f00620065002000520065006100640065007200200035002e003000200069006e0020006e006f00760065006a01610069006d002egt SUO ltFEFF004b00e40079007400e40020006e00e40069007400e4002000610073006500740075006b007300690061002c0020006b0075006e0020006c0075006f0074002000410064006f0062006500200050004400460020002d0064006f006b0075006d0065006e007400740065006a00610020006c0061006100640075006b006100730074006100200074007900f6007000f60079007400e400740075006c006f0073007400750073007400610020006a00610020007600650064006f007300740075007300740061002000760061007200740065006e002e00200020004c0075006f0064007500740020005000440046002d0064006f006b0075006d0065006e00740069007400200076006f0069006400610061006e0020006100760061007400610020004100630072006f0062006100740069006c006c00610020006a0061002000410064006f00620065002000520065006100640065007200200035002e0030003a006c006c00610020006a006100200075007500640065006d006d0069006c006c0061002egt SVE ltFEFF0041006e007600e4006e00640020006400650020006800e4007200200069006e0073007400e4006c006c006e0069006e006700610072006e00610020006f006d002000640075002000760069006c006c00200073006b006100700061002000410064006f006200650020005000440046002d0064006f006b0075006d0065006e00740020006600f600720020006b00760061006c00690074006500740073007500740073006b0072006900660074006500720020007000e5002000760061006e006c00690067006100200073006b0072006900760061007200650020006f006300680020006600f600720020006b006f007200720065006b007400750072002e002000200053006b006100700061006400650020005000440046002d0064006f006b0075006d0065006e00740020006b0061006e002000f600700070006e00610073002000690020004100630072006f0062006100740020006f00630068002000410064006f00620065002000520065006100640065007200200035002e00300020006f00630068002000730065006e006100720065002egt TUR ltFEFF004d00610073006100fc0073007400fc002000790061007a013100630131006c006100720020007600650020006200610073006b01310020006d0061006b0069006e0065006c006500720069006e006400650020006b0061006c006900740065006c00690020006200610073006b013100200061006d0061006301310079006c0061002000410064006f006200650020005000440046002000620065006c00670065006c0065007200690020006f006c0075015f007400750072006d0061006b0020006900e70069006e00200062007500200061007900610072006c0061007201310020006b0075006c006c0061006e0131006e002e00200020004f006c0075015f0074007500720075006c0061006e0020005000440046002000620065006c00670065006c0065007200690020004100630072006f006200610074002000760065002000410064006f00620065002000520065006100640065007200200035002e003000200076006500200073006f006e0072006100730131006e00640061006b00690020007300fc007200fc006d006c00650072006c00650020006100e70131006c006100620069006c00690072002egt UKR ltFEFF04120438043a043e0440043804410442043e043204430439044204350020044604560020043f043004400430043c043504420440043800200434043b044f0020044104420432043e04400435043d043d044f00200434043e043a0443043c0435043d044204560432002000410064006f006200650020005000440046002c0020044f043a04560020043d04300439043a04400430044904350020043f045604340445043e0434044f0442044c00200434043b044f0020043204380441043e043a043e044f043a04560441043d043e0433043e0020043404400443043a04430020043d04300020043d0430044104420456043b044c043d043804450020043f04400438043d044204350440043004450020044204300020043f04400438044104420440043e044f044500200434043b044f0020043e044204400438043c0430043d043d044f0020043f0440043e0431043d0438044500200437043e04310440043004360435043d044c002e00200020042104420432043e04400435043d045600200434043e043a0443043c0435043d0442043800200050004400460020043c043e0436043d04300020043204560434043a0440043804420438002004430020004100630072006f006200610074002004420430002000410064006f00620065002000520065006100640065007200200035002e0030002004300431043e0020043f04560437043d04560448043e04570020043204350440044104560457002egt ENU ltFEFF005500730065002000740068006500730065002000730065007400740069006e0067007300200074006f0020006300720065006100740065002000410064006f0062006500200050004400460020006200610073006500640020006f006e0020007400680065002000640065006600610075006c0074002000580069006c0069006e007800200070006100720061006d0065007400650072002000730065007400740069006e0067007300200064006500660069006e00650064002000620079002000500053003000300034002e002000460069006c0065006e0061006d0065003a002000780069006c0069006e0078002e006a006f0062006f007000740069006f006e0073002e000d0043006f006d007000610074006100620069006c006900740079003a0020004100630072006f00620061007400200037002e0030002000280050004400460031002e00360029002e0020005500730065003a00200046006f00720020006300720065006100740069006e0067002000460069006e0061006c002c0020005000750062006c0069007300680065006400200050004400460073002e000d0043007200650061007400650064002000310030002f00310032002f003100310020006200790020006a0066006f007200730074002egt gtgt Namespace [ (Adobe) (Common) (10) ] OtherNamespaces [ ltlt AsReaderSpreads false CropImagesToFrames true ErrorControl WarnAndContinue FlattenerIgnoreSpreadOverrides false IncludeGuidesGrids false IncludeNonPrinting false IncludeSlug false Namespace [ (Adobe) (InDesign) (40) ] OmitPlacedBitmaps false OmitPlacedEPS false OmitPlacedPDF false SimulateOverprint Legacy gtgt ltlt AddBleedMarks false AddColorBars false AddCropMarks false AddPageInfo false AddRegMarks false ConvertColors NoConversion DestinationProfileName () DestinationProfileSelector NA Downsample16BitImages true FlattenerPreset ltlt PresetSelector MediumResolution gtgt FormElements false GenerateStructure true IncludeBookmarks false IncludeHyperlinks false IncludeInteractive false IncludeLayers false IncludeProfiles true MultimediaHandling UseObjectSettings Namespace [ (Adobe) (CreativeSuite) (20) ] PDFXOutputIntentProfileSelector NA PreserveEditing true UntaggedCMYKHandling LeaveUntagged UntaggedRGBHandling LeaveUntagged UseDocumentBleed false gtgt ]gtgt setdistillerparamsltlt HWResolution [1200 1200] PageSize [612000 792000]gtgt setpagedevice

Page 2: Xilinx UG883 Kintex-7 FPGA KC705 Evaluation Kit Getting ...€¦ · Updated step 2 and step 3 in Requirements to Get Started, page 14. ... KC705 Getting Started Guide 9 UG883 (v3.0)

KC705 Getting Started Guide wwwxilinxcom UG883 (v30) April 16 2013

Notice of DisclaimerThe information disclosed to you hereunder (the ldquoMaterialsrdquo) is provided solely for the selection and use of Xilinx products To the maximum extent permitted by applicable law (1) Materials are made available ldquoAS ISrdquo and with all faults Xilinx hereby DISCLAIMS ALL WARRANTIES AND CONDITIONS EXPRESS IMPLIED OR STATUTORY INCLUDING BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY NON-INFRINGEMENT OR FITNESS FOR ANY PARTICULAR PURPOSE and (2) Xilinx shall not be liable (whether in contract or tort including negligence or under any other theory of liability) for any loss or damage of any kind or nature related to arising under or in connection with the Materials (including your use of the Materials) including for any direct indirect special incidental or consequential loss or damage (including loss of data profits goodwill or any type of loss or damage suffered as a result of any action brought by a third party) even if such damage or loss was reasonably foreseeable or Xilinx had been advised of the possibility of the same Xilinx assumes no obligation to correct any errors contained in the Materials or to notify you of updates to the Materials or to product specifications You may not reproduce modify distribute or publicly display the Materials without prior written consent Certain products are subject to the terms and conditions of the Limited Warranties which can be viewed at httpwwwxilinxcomwarrantyhtm IP cores may be subject to warranty and support terms contained in a license issued to you by Xilinx Xilinx products are not designed or intended to be fail-safe or for use in any application requiring fail-safe performance you assume sole risk and liability for use of Xilinx products in Critical Applications httpwwwxilinxcomwarrantyhtmcritapps

Automotive Applications DisclaimerXILINX PRODUCTS ARE NOT DESIGNED OR INTENDED TO BE FAIL-SAFE OR FOR USE IN ANY APPLICATION REQUIRING FAIL-SAFE PERFORMANCE SUCH AS APPLICATIONS RELATED TO (I) THE DEPLOYMENT OF AIRBAGS (II) CONTROL OF A VEHICLE UNLESS THERE IS A FAIL-SAFE OR REDUNDANCY FEATURE (WHICH DOES NOT INCLUDE USE OF SOFTWARE IN THE XILINX DEVICE TO IMPLEMENT THE REDUNDANCY) AND A WARNING SIGNAL UPON FAILURE TO THE OPERATOR OR (III) USES THAT COULD LEAD TO DEATH OR PERSONAL INJURY CUSTOMER ASSUMES THE SOLE RISK AND LIABILITY OF ANY USE OF XILINX PRODUCTS IN SUCH APPLICATIONS

Fedora Information

Xilinx obtained the Fedora Linux software from Fedora (httpfedoraprojectorg) and you may too Xilinx made no changes to the software obtained from Fedora If you desire to use Fedora Linux software in your product Xilinx encourages you to obtain Fedora Linux software directly from Fedora (httpfedoraprojectorg) even though we are providing to you a copy of the corresponding source code as provided to us by Fedora Portions of the Fedora software may be covered by the GNU General Public license as well as many other applicable open source licenses Please review the source code in detail for further information To the maximum extent permitted by applicable law and if not prohibited by any such third-party licenses (1) XILINX DISCLAIMS ANY AND ALL EXPRESS OR IMPLIED WARRANTIES INCLUDING BUT NOT LIMITED TO THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE AND (2) IN NO EVENT SHALL XILINX BE LIABLE FOR ANY DIRECT INDIRECT INCIDENTAL SPECIAL EXEMPLARY OR CONSEQUENTIAL DAMAGES (INCLUDING BUT NOT LIMITED TO PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES LOSS OF USEDATA OR PROFITS OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY WHETHER IN CONTRACT STRICT LIABILITY OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE

Fedora software and technical information is subject to the US Export Administration Regulations and other US and foreign law and may not be exported or re-exported to certain countries (currently Cuba Iran Iraq North Korea Sudan and Syria) or to persons or entities prohibited from receiving US exports (including those (a) on the Bureau of Industry and Security Denied Parties List or Entity List (b) on the Office of Foreign Assets Control list of Specially Designated Nationals and Blocked Persons and (c) involved with missile technology or nuclear chemical or biological weapons) You may not download Fedora software or technical information if you are located in one of these countries or otherwise affected by these restrictions You may not provide Fedora software or technical information to individuals or entities located in one of these countries or otherwise affected by these restrictions You are also responsible for compliance with foreign law requirements applicable to the import and use of Fedora software and technical information

copy Copyright 2012ndash2013 Xilinx Inc Xilinx the Xilinx logo Artix ISE Kintex Spartan Vivado Virtex Zynq and other designated brands included herein are trademarks of Xilinx in the United States and other countries PCI PCIe and PCI Express are trademarks of PCI-SIG and used under license All other trademarks are the property of their respective owners

UG883 (v30) April 16 2013 wwwxilinxcom KC705 Getting Started Guide

Revision HistoryThe following table shows the revision history for this document

Date Version Revision

01132012 10 Initial Xilinx release

01252012 11 Changed document title Added BIST section Changed Platform Flash to BPI Linear Flash Added a note after Figure 30 Updated photos in Figure 2 Figure 3 and Figure 31

02272012 111 Added a note to Hardware Test Setup Requirements

07102012 12 Added XPN number to title page Updated Introduction and Hardware Test Setup Requirements Added Kit Contents AMS Bring-up with the AMS101 Evaluation Card and AMS Bring-up with the AMS101 Evaluation Card Added Appendix B Warranty Removed ldquoModifying the Kintex-7 FPGA Base TRDrdquo section

122012 20 The document was updated for Vivadotrade Design Suite 20124 Agile Mixed Signal is now Analog Mixed Signal The USB stick is removed from the kit and instead design files are accessible from the Docs amp Designs tab at wwwxilinxcomkc705 Removing the USB stick affected many sections including thesebull Kit Contents page 7bull Hardware Test Setup Requirements page 9bull AMS Bring-up with the AMS101 Evaluation Card page 13bull Step 4 in Install the Linux Driver page 22The first bullet under Introduction page 7 removed ldquotransceivers by using the LogiCOREtrade IP Integrated Bit Error Ratio (IBERT) corerdquo Under KC705 Evaluation Board Setup page 10 ldquocord and brickrdquo changed to ldquo12V power adapterrdquo Removed the Transceiver Bring-up Using Integrated Bit Error Ratio Test section on page 14 Requirements to Get Started page 14 installation method a and step 3 changed The use of the ChipScopetrade tool is eliminated Step 2 of Evaluating AMS page 15 changed Below Figure 9 this sentence was deleted AMS Evaluator source code is not provided CD-ROM was replaced with DVD-ROM Appendix A Additional Resources was reorganized

04162013 30 Added third fourth and fifth bullets in AMS Bring-up with the AMS101 Evaluation Card page 13 Updated step 2 and step 3 in Requirements to Get Started page 14 Updated step 2 in Evaluating AMS page 15 Added eighth bullet in Hardware Test Setup Requirements page 18

KC705 Getting Started Guide wwwxilinxcom UG883 (v30) April 16 2013

KC705 Getting Started Guide wwwxilinxcom 5UG883 (v30) April 16 2013

Revision History 3

Getting Started with the Kintex-7 FPGA KC705 Evaluation Kit(Vivado Design Suite 20131)

Introduction 7Kit Contents 7Basic Hardware Bring-up Using the BIST 9Hardware Test Board Setup Requirements 9AMS Bring-up with the AMS101 Evaluation Card 13Advanced Bring-up Using the Base Targeted Reference Design 17

Appendix A Additional ResourcesXilinx Resources 35Solution Centers 35Further Resources 35References 36

Appendix B Warranty

Table of Contents

6 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

KC705 Getting Started Guide wwwxilinxcom 7UG883 (v30) April 16 2013

Getting Started with the Kintex-7 FPGA KC705 Evaluation Kit(Vivado Design Suite 20131)

IntroductionThe Kintextrade-7 FPGA KC705 evaluation kit provides a comprehensive high-performance development and demonstration platform using the Kintex-7 FPGA family for high-bandwidth and high-performance applications in multiple market segments The kit enables designing with DDR3 IO expansion through FMC and common serial standards such as PCI Expressreg XAUI and proprietary serial standards through the SMA interface See the KC705 Evaluation Board for the Kintex-7 FPGA User Guide (UG810) and the KC705 support website at wwwxilinxcomkc705

The built-in self-test (BIST) and Kintex-7 FPGA Base Targeted Reference Design (TRD) are developed on this kit

This Getting Started Guide is divided into two sections

bull Basic Hardware Bring-up Enables hands-on operation of all the features in the BIST as well as evaluation of Analog Mixed Signal (AMS) using the AMS101 evaluation card

bull Advanced Operation Enables hands-on operation with the base TRD which features PCIe DDR3 memory and AXImdashall supported through a custom evaluation graphical user interface (GUI)

Kit ContentsThe Kintex-7 FPGA KC705 evaluation kit includes

bull KC705 base board including the XC7K325T-2FFG900CES FPGA

bull AMS101 evaluation card enabling evaluation of the AMS technology built into all 7 series FPGAs

bull Software and licenses

bull Vivadotrade Design Suite Installation DVD

bull Printed entitlement voucher Provides entitlement of the Vivado Design Suite Logic Edition device-locked to the XC7K325T-2FFG900 FPGA Follow the printed instructions on the voucher to redeem your software entitlement

bull Fedora 16 Live DVD to support the Base Targeted Reference Design

8 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Kit Contents

bull Designs

bull Targeted Reference Design Robust sub-system including PCIe Gen2 x4 Northwest Logic DMA multi-port virtual FIFO AXI and DDR3 memory controller

bull Additional Reference Designs Numerous additional reference designs available online and linked from the KC705 product page wwwxilinxcomkc705

bull Documentation

bull Kintex-7 FPGA KC705 Evaluation Kit Getting Started Guide (UG883mdashthis guide included in the box)

bull KC705 Evaluation Board for the Kintex-7 FPGA User Guide (UG810) (online on product page)

bull KC705 Reference Design User Guide (UG845) (online on product page)

bull Kintex-7 FPGA KC705 Base Targeted Reference Design User Guide (Vivado Design Suite 20124) (UG882) (online on product page)

bull Board design files including schematics Gerber files and BOM (online on product page)

bull Cables and power supply

bull Universal 12V power adapter and cords

bull Two USB cables (1x USB Type-AMini-B and 1x USB Type-AMicro-B) for download and debug

bull Ethernet crossover cable

bull HDMI cable

KC705 Getting Started Guide wwwxilinxcom 9UG883 (v30) April 16 2013

Basic Hardware Bring-up Using the BIST

Basic Hardware Bring-up Using the BISTThe built-in self-test (BIST) tests many of the features offered by the Kintex-7 FPGA KC705 evaluation kit The test is stored in the nonvolatile BPI Linear Flash memory and configures the FPGA when the mode and upper flash address pins on the board are set for Master BPI

Figure 1 provides an overview of the board features used by the BIST

Note For a diagram of all the features on the KC705 see KC705 Evaluation Board for the Kintex-7 FPGA User Guide (UG810)

Hardware Test Setup RequirementsThe prerequisites for testing the design in hardware are

bull KC705 Evaluation board with the Kintex-7 FPGA XC7K325T-2FFG900CES device

bull USB-to-Mini-B cable (for UART)

bull AC power adapter (12 VDC)

bull Terminal program [Ref 3]

Note The Tera Term Pro program is used for illustrative purposes Other programs can be used

bull USB-UART drivers from SiLabs [Ref 4]

Hardware Test Board Setup RequirementsThis section details the hardware setup and use of the terminal program for running the BIST application It contains step-by-step instructions for board bring-up

X-Ref Target - Figure 1

Figure 1 KC705 Board Features Used by the BIST

BPI Flash (U58)

USB-UART(J6)

UG883_01_040913

Power Switch(SW15)

Power (J49)

USB-JTAG(U59)

Ethernet(U37)

User Push Buttons

DDR3

Mode Switches(SW13)

Rotary Switch (SW8)Switch is underneath LCD screen

Prog

CPU Reset

User LEDsUser DIP (SW11)

10 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Hardware Test Board Setup Requirements

KC705 Evaluation Board Setup

1 Set the jumpers and switches on the KC705 board as follows

bull The mode switches (SW13) are set for Master BPI mode 010

bull The upper flash address switches (SW13) are set to 11

2 Verify the switch and jumper settings are set as shown in Table 1 and Figure 2

Note For this application the board should be set up as a stand-alone system with power coming from the 12V power adapter included with the KC705 evaluation kit

Table 1 Switch amp Jumper Settings

Switch Setting

SW15Board Power slide-switch

Off

SW11

User GPIO DIP switch

4 Off

3 Off

2 Off

1 Off

SW13

Configuration Mode switch

5 Off

4 On

3 Off

2 On

1 On

X-Ref Target - Figure 2

Figure 2 BIST Switch and Jumper Settings

UG883_02_040913

KC705 Getting Started Guide wwwxilinxcom 11UG883 (v30) April 16 2013

Hardware Test Board Setup Requirements

Hardware Bring-UpThis section details the steps for hardware bring-up

1 With the board switched off plug a USB-to-Mini-B cable into the UART port of the KC705 board and your PC (see Figure 3)

2 Install the power cable

3 Switch the KC705 board power to ON

Install the UART Driver

1 Run the downloaded executable UART-USB driver file listed in Hardware Test Setup Requirements page 9 This enables UART-USB communications with a host PC (see Figure 4)

X-Ref Target - Figure 3

Figure 3 KC705 with the UART and Power Cable Attached

UG883_03_011912

X-Ref Target - Figure 4

Figure 4 UART Cable Driver Installation

UG883_04_011512

12 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Hardware Test Board Setup Requirements

2 Set the USB-UART connection to a known port in the Device Manager as follows

bull Right-click My Computer and select Properties

bull Select the Hardware tab then click the Device Manager button

bull Find and right-click the Silicon Labs device in the list Then select Properties

bull Click the Port Settings tab and the Advancedhellip button

bull Select an open COM port between COM1 and COM4

Figure 5 shows the steps needed to set the USB-UART port

Note Steps and diagrams refer to use with a Windows host PC with the Windows XP or Windows 7 operating systemX-Ref Target - Figure 5

Figure 5 Port Selection on the Device Manager Screen

UG883_05_040913

KC705 Getting Started Guide wwwxilinxcom 13UG883 (v30) April 16 2013

AMS Bring-up with the AMS101 Evaluation Card

Run the BIST Application1 Start the installed terminal program

2 Press PROG (SW14) on the KC705 board and view the BIST output on the terminal window (see Figure 6)

3 Select the relevant tests to run and observe the results

For more information on the BIST software and additional tutorials including how to restore the default content of the onboard nonvolatile storage see the KC705 support website at httpwwwxilinxcomkc705 under the Docs amp Designs tab

AMS Bring-up with the AMS101 Evaluation CardThe Xilinxreg 7 series FPGAs each feature a 1 MSPS 12-bit analog-to-digital converter built into the FPGA for everything from simple analog monitoring to more signal processing intensive tasks like linearization calibration oversampling and filtering The Kintex-7 FPGA KC705 evaluation kit includes the hardware and software to evaluate this feature and determine its usefulness in the userrsquos end system

For evaluation of Xilinx Analog Mixed Signal (AMS) capability the following items from your kit are needed

bull Access to XADC header in your FPGA base board

bull AMS101 evaluation card (Figure 7)

bull FPGA design and software files downloaded from the Docs amp Designs tab at wwwxilinxcomkc705

bull USBUART drivers from Silicon Labs

bull AMS Evaluator tool

X-Ref Target - Figure 6

Figure 6 BIST Main Menu

UG883_06_040913

14 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

AMS Bring-up with the AMS101 Evaluation Card

Requirements to Get Started1 Open the USBUART as described in Install the UART Driver page 11

2 The AMS101 evaluation card requires a Windows host PC to install the AMS Evaluator tool which uses National Instruments LabView run-time engine Install the AMS Evaluator tool by unzipping the AMS Evaluator installer fileshttpssecurexilinxcomwebregclickthroughdocid=199651amplicense=RefDesLicenseampfilename=ams101_gui_installer_v1_1zip located in the Targeted Reference Designs section in the Docs amp Designs tab at wwwxilinxcomkc705 After opening the zip file folder click the setupexe file to begin the installation When loading the National Instruments LabView runtime engine Click OK to accept the license agreement Running the setup program loads the AMS101 Evaluator tool with the red Xilinx logo on the desktop

3 Download the AMS Targeted Reference Design files from the Docs amp Designs tab Kintex-7 FPGA KC705 Evaluation Kit - (7 Series FPGA AMS Targeted Reference Design User Guide (Vivado Design Suite 20124 and ISE Design Suite 144)) section at wwwxilinxcomkc705 Unzip the xadc_eval_design_kc705_V1_0zip files Open the ready_to_test folder Save the xadc_eval_designbit bitstream

X-Ref Target - Figure 7

Figure 7 AMS101 Evaluation Card

UG883_07_121112

KC705 Getting Started Guide wwwxilinxcom 15UG883 (v30) April 16 2013

AMS Bring-up with the AMS101 Evaluation Card

Evaluating AMS1 Connect and power the hardware

a Plug the AMS101 evaluation card into the XADC header on your FPGA base board

Note Ensure the notch on the XADC header lines up correctly with the AMS101 evaluation card (see Figure 8)

b Power up the FPGA base board

2 Download the design to the FPGA Installation of ISE Programming tools or Lab Tools is required for downloading the bitstream to the FPGA

Refer to the Vivado Design Suite User Guide Programming and Debugging (UG908) at httpwwwxilinxcomsupportdocumentationdt_vivadohtm to obtain information on downloading the design to the FPGA

a Open the xadc_eval_designbit file from the AMS Targeted Reference Design files

3 Run the AMS101 Evaluator LabVIEW GUI executable from your desktop After loading the AMS Evaluator installer files a red X with the AMS Evaluator program should reside on your desktop

Note The AMS bitstream can also be loaded with ChipScope analyzer

The AMS101 Evaluator GUI is shown in Figure 9

X-Ref Target - Figure 8

Figure 8 KC705 Base Board with AMS101 Evaluation Card Plugged into XADC Header

UG883_08_121112

16 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

AMS Bring-up with the AMS101 Evaluation Card

The AMS Evaluator Tool allows designers to quickly evaluate the analog signals in the time domain frequency domain display linearity verify the XADC register settings and measure the internal temperature sensor and supply voltages

For a more extensive explanation of the AMS101 evaluation card and the applicable files refer to AMS101 Evaluation Platform User Guide (UG886)

X-Ref Target - Figure 9

Figure 9 AMS101 Evaluator GUI

UG883_09_121112

Select COM Port Here

KC705 Getting Started Guide wwwxilinxcom 17UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

Advanced Bring-up Using the Base Targeted Reference DesignThe primary components of the Kintex-7 FPGA Base TRD are

bull Integrated Endpoint block for PCI Express (PCIe) See 7 Series FPGAs Integrated Block for PCI Express User Guide (UG477)

bull Northwest Logic Packet DMA [Ref 5]

bull Multiport Virtual FIFO

The TRD system can sustain up to 10 Gbs throughput end to end

Figure 10 provides an overview of the TRD

Note In Figure 10 the arrows indicate AXI interface directions (from master to slave) They do not indicate data flow directions

ComponentsThe Kintex-7 FPGA Base TRD features these components

bull Kintex-7 FPGA integrated Endpoint block for PCI Express

bull Configured with 4 lanes at a 5 Gbs link rate (Gen2) or 8 lanes at a 25 Gbs link rate (Gen1) for PCI Express v20

bull Provides a user interface compliant with AXI4-Stream interface protocol

bull Performance monitor tracks the integrated blockrsquos AXI4-Stream interface for PCIe transactions

bull Bus Mastering Scatter-Gather Packet DMA from Northwest Logic a multichannel DMA

bull Supports full-duplex operation with independent transmit and receive paths

X-Ref Target - Figure 10

Figure 10 Kintex-7 FPGA Base TRD Block Diagram

UG883_10_121112

Multiport Virtual FIFO

DD

R3

IO

Software

Multi-ChannelDMA for PCIe DDR3

Cha

nnel

-0C

2SS

2CC

hann

el-1

S2C

C2S

64 x1600 Mbs

PC

Ie x

4Gen

2 Li

nk

PC

Ie x

8Gen

1 Li

nk

VFIFOController

SoftwareDriver

Interface Blocks in FPGA Third Party IPXilinx IP On BoardCustom Logic

AXI-ST AXI-MM

Hardware

VFIFOController

VFIFOController

VFIFOController

Raw Packet Data Block

Checker

Generator

Loop

back

Loop

back

Raw Packet Data Block

Generator

Checker

User SpaceRegisters

Target InterfaceAXI Master

256 x200 MHz

64 x

250

MH

z

64 x250 MHz

64 x250 MHz

64 x250 MHz

64 x250 MHz

PerformanceMonitor

GU

I

GT

X T

rans

ceiv

er

Inte

grat

ed E

ndpo

int B

lock

for

PC

I Exp

ress

AX

I-S

T B

asic

Wra

pper

AXIMIG

AXIInterconnect

SI SI

SI SIM

I

18 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

bull Provides an AXI4-Stream interface on the back end

bull Monitors the performance of data transfers in receive and transmit directions

bull Provides an AXI4 memory-mapped target interface to access user-defined registers

Note The Northwest Logic Packet DMA shipped with the Base TRD is an evaluation version and expires after 12 hours of run time To get the full version contact Northwest Logic [Ref 7]

bull Multiport Virtual FIFO

bull DDR3 SDRAM SODIMM (64-bit 1600 Mbs 800 MHz) is used for buffering packets The memory controller delivered through the memory interface generator (MIG) tool interfaces to the DDR3 memory

bull AXI Interconnect IP along with the memory controller supports multiple ports on the memory

bull The Packetized Virtual FIFO controller controls addressing of the DDR3 memory for each port allowing DDR3 to be used as Virtual Packet FIFO

bull Software driver for a 32-bit Linux platform

bull Configures the hardware design parameters

bull Generates and consumes traffic

bull Provides a GUI to report status and performance statistics

The Kintex-7 FPGA integrated Endpoint block for PCI Express and the Packet DMA are responsible for data transfers from host system to Endpoint card (S2C) and Endpoint card to host system (C2S) Data to and from the host is stored in a Virtual FIFO built around the DDR3 memory This Multiport Virtual FIFO abstraction layer around the DDR3 memory allows the user to move traffic efficiently without the need to manage addressing and arbitration on the memory interface It also provides more depth than storage implemented using Block RAMs

The integrated Endpoint block for PCI Express Packet DMA and Multiport Virtual FIFO form the base system The base system can bridge the host to any user application running on the other end The raw data packet module is a dummy application that generates and consumes packets It can be replaced by any user specific protocol like Aurora or XAUI

The software driver runs on the host system It generates raw data traffic for transmit operations in the S2C direction It also consumes the data looped back or generated at the application end in the C2S direction

Hardware Test Setup RequirementsThese are the prerequisites for testing the design in hardware

bull KC705 Evaluation board with the Kintex-7 FPGA XC7K325T-2FFG900C device

bull Design files provided as a zipped collection under the Docs amp Designs tab at wwwxilinxcomkc705 include

bull Design source files

bull Device driver files

bull Board design files

bull Documentation

bull Vivado Design Suite

bull ISE Programming tools or LabTools

KC705 Getting Started Guide wwwxilinxcom 19UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

bull Micro USB cable

bull 4-pin to 6-pin PCIe adapter cable

bull Fedora 16 Live DVD [Ref 6]

bull PC with PCIe v20 slot For a complete list of machines tested and all known issues refer to the Kintex-7 FPGA Base Targeted Reference Design Release Notes and Known Issues Master Answer Record (httpwwwxilinxcomsupportanswers45679htm) This PC could also have Fedora Core 16 Linux OS installed on it

TRD Demonstration SetupThis section describes hardware setup and use of the application GUI to help the user get started quickly with the design in hardware It provides a step-by-step explanation of hardware bring-up and describes using the application GUI

When following the demonstration setup steps for the Kintex-7 FPGA Base TRD if the behavior is not as described refer to the known issues at wwwxilinxcomsupportanswers45679htm

Board Setup

This section describes how to set up the KC705 Evaluation board required to demonstrate the TRD

1 Set the KC705 Jumpers and Switches Verify that the KC705 Evaluation board jumpers and switches are set as shown in Table 2 and Figure 11

Table 2 Switch and Jumper Settings

Jumper Function Setting

J32 PCIe configuration width mdash 4 lane design Jump 3-4

Switch Function or Type Setting

SW15 Board power slide-switch Off

SW11 User GPIO DIP switch

4 Off

3 Off

2 Off

1 Off

S13 DIP switch SW13 positions 1 and 2 control the setting of address bits of the flashDIP switch SW13 positions 3 4 and 5 control which configuration mode

5 (M0) M2 =0 M1=1 M0=0 ndash Master BPIM2 =0 M1=0 M0=1 ndash Master SPIM2 =1 M1=0 M0=1 ndash JTAG

Off

4 (M1) On

3 (M2) Off

2 Off

1 Off

20 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

Hardware Bring-Up

This section presents steps for hardware bring-up

1 With the host system switched off insert the KC705 board in the PCIe slot through the PCI Express x8 or x16 edge connector (Figure 12)

The TRD programmed on the KC705 board has a 4-lane PCIe v20 configuration running at a 5 Gbs link rate per lane The PCI Express specification allows for a smaller lane width Endpoint to be installed into a larger lane width PCIe connector

2 Figure 13 shows the 12V power connection Connect the 12V ATX power supplyrsquos available 4-pin connector to the board (J49) via a 4-pin to 6-pin PCIe adapter cable Toggle the Power switch SW15 to the ON position

X-Ref Target - Figure 11

Figure 11 Switch and Jumper Settings

UG883_11_040913

J27 J28

J29 J30SW13

J32

SW15SW11

X-Ref Target - Figure 12if

Figure 12 KC705 Board Plugged into a PCIe x16 Slot

UG883_12_040913

KC705 Getting Started Guide wwwxilinxcom 21UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

3 Make sure the connections are tight and then power on the PC system

Note If the user wishes to boot Linux from the Fedora 16 Live DVD place the DVD in the PCrsquos DVD-ROM drive as soon as the PC system is powered on

4 Verify the status of the design on the KC705 LEDs The design provides status on the GPIO LEDs on the upper right of the KC705 board (Figure 14) After the PC system is powered on and the TRD has successfully configured status LEDs from right to left should indicate

bull LED 0 mdash ON if the PCIe link is up

bull LED 1 mdash Flashes if the PCIe user clock is present

bull LED 2 mdash ON if lane width is what is expected else it flashes (for a 4 lane design the expected lane width is 4 for an 8 lane design the expected lane width is 8)

bull LED 3 mdash ON if memory calibration is done

bull LED 4 to LED 7 mdash Not connected

X-Ref Target - Figure 13

Figure 13 Power Supply Connection

UG883_13_121112

SW15

J49 6-pinConnector

12V ATX PowerSupply Pluggedinto the 4-pinConnector

22 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

Install the Linux Driver

1 If Fedora 16 is installed on the PC systemrsquos hard disk boot as a root-privileged user and skip to step 3 page 23

2 To boot from the Fedora 16 Live DVD provided in the kit place the DVD in the PCrsquos DVD-ROM drive The Fedora 16 Live Media is for Intel-compatible PCs The DVD contains a complete bootable 32-bit Fedora 16 environment with the proper packages installed for the TRD demonstration environment For more details see Fedora Information page 2 The PC boots from the DVD-ROM drive and logs into a liveuser account This account has kernel development root privileges required to install and remove device driver modules

Note Users might have to adjust BIOS boot order settings to make sure that the DVD-ROM drive is the first drive in the boot order To enter the BIOS menu to set the boot order press the DEL or F2 key when the system is powered on Set the boot order and save the changes (The DEL or F2 key is used by most PC systems to enter the BIOS setup Some PCs might have a different way to enter the BIOS setup)

The PC should boot from the DVD-ROM drive The images in Figure 15 are seen on the monitor during boot up

X-Ref Target - Figure 14

Figure 14 Location of GPIO Status LEDs (Indicates TRD Status)

UG883_14_121112

KC705 Getting Started Guide wwwxilinxcom 23UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

3 After Fedora 16 Core boots open a terminal window (click Activities click Application scroll down and click the Terminal icon) To find out if the PCIe Endpoint is detected at the terminal command line type

$ lspci

The lspci command displays the devices in the PCI and PCI Express buses of the PC On the bus of the KC705 card slot is the message

Communication controller Xilinx Corporation Device 7042

This message confirms that the design programmed into the KC705 board has been found by the BIOS and the Fedora 16 OS The bus number varies depending on which PC motherboard and slot are used Figure 16 shows a lspci output for an example system Xilinx device 7042 has been found by the BIOS on bus number 2 (02000 - busdevfunction)

X-Ref Target - Figure 15

Figure 15 Fedora 16 Live DVD BootingFirst Screen Last Boot Screen Booted

UG883 15 040913

X-Ref Target - Figure 16

Figure 16 PCI and PCI Express Bus Devices

UG883_16_040913

24 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

4 Download the reference design from the Docs amp Designs tab at wwwxilinxcomkc705 and copy the k7_pcie_dma_ddr3_base folder into any directory

5 To set up and run the TRD demonstration the software driver should be installed on the PC system Software driver installation involves

a Building the kernel objects and the GUI

b Inserting the driver modules into the kernel

After the driver modules are loaded the application GUI can be invoked The user can set parameters through the GUI and run the TRD

When the user is done running the TRD the application GUI can be closed and the drivers can be removed

A script is provided to execute all the above actions so that the user can quickly start the TRD

The k7_trd_lin_quickstart script is available in the k7_pcie_dma_ddr3_base folder Right click the script select properties go to the permissions tab check the box Allow executing file as programmdashthis makes the script executable Close the window

To run the script double-click k7_trd_lin_quickstart in the k7_pcie_dma_ddr3_base folder (Figure 17) The window prompt in Figure 18 appears

X-Ref Target - Figure 17

Figure 17 Load Driver and Launch Application GUI

UG883_17_121112

KC705 Getting Started Guide wwwxilinxcom 25UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

Click Run in Terminal to proceed The application GUI is invoked

Proceed to the next section Using the Application GUI to set design parameters and run the TRD

X-Ref Target - Figure 18

Figure 18 Run in Terminal

UG883_18_040913

26 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

Using the Application GUI

When the drivers are loaded and the Performance Monitor GUI is invoked the user can configure the sending and receiving of data The GUI allows the user to observe the collected statistics and other status information

1 Click the System Status tab to verify the status of the KC705 board and the PCIe link (see Figure 19 and Table 3)

X-Ref Target - Figure 19

Figure 19 Verify Board Status in the Performance Monitor

UG883_19_121112

KC705 Getting Started Guide wwwxilinxcom 27UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

2 To start data traffic on the two data paths

a Click Start Test on Raw Data Path0 as shown in Figure 20 This enables the driver to start generating the data for Raw Data Path0

b Click Start Test on Raw Data Path1 as shown in Figure 20 This enables the driver to start generating the data for Raw Data Path1

Table 3 KC705 Board Status Field Explanations

Field Status Explanation

Link Status Up This confirms that the PCIe link is up and a PCIe connection is established between the Kintex-7 FPGA Endpoint for PCI Express and the PC motherboard chipset

Link Speed 50 Gbs This confirms that the PCIe link is operating at line rate speed per PCI Express v20

Link Width x4 This confirms that the PCIe link is trained as a x4 link

28 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

3 Verify TRD operations through the status information provided by the GUI (see Figure 21)

a Verify the PCIe throughput

b Verify the DMA channel throughput for the Raw Data Path0

c Verify the DMA channel throughput for the Raw Data Path1

d Verify there are no buffer descriptor errors for error-free operation

X-Ref Target - Figure 20

Figure 20 Start Data Traffic from the Performance Monitor

UG883_20_121112

KC705 Getting Started Guide wwwxilinxcom 29UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

The Kintex-7 FPGA PCIe-DMA TRD is now set up and running Close the Application GUI to unload the software drivers and stop traffic flow

X-Ref Target - Figure 21

Figure 21 Verify Error-Free Operation in the Performance Monitor

UG883_21_121112

30 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

Evaluating the Kintex-7 FPGA Base TRDThe Kintex-7 FPGA Base TRD provides a Performance and Status monitor application and GUI The application enables customers to evaluate different system parameters This section demonstrates performance variances for the PCI Express and DMA interfaces based on the parameters set

To evaluate the Kintex-7 FPGA Base TRD

1 Launch the Performance Monitor for the Kintex-7 FPGA Base TRD

a Navigate to the k7_pcie_dma_ddr3_base folder

b Double-click k7_lin_trd_quickstart (Figure 22)mdashmake sure that the script has executable permissionmdashto launch the Performance Monitor and Status GUI

c A window prompt appears as shown in Figure 23 Click Run in Terminal to proceed

2 Set up the test parameters in the Performance Monitor

a Two data paths are available Raw Data Path0 and Raw Data Path1 On each path set the Packet Size to a value between 64 ndash 32768 bytes

X-Ref Target - Figure 22

Figure 22 Launch the Performance Monitor and Status GUI

UG883_22_121112

X-Ref Target - Figure 23

Figure 23 Run k7_lin_trd_quickstart

UG883_23_121112

KC705 Getting Started Guide wwwxilinxcom 31UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

3 Execute the test and view payload statistics in the Performance and Status Monitor (see Figure 24)

a Click Start Test to start the performance test

b Click the Payload Statistics tab to view data transfers on the DMA channels

c Click Stop Test to stop data traffic

d Vary the Packet Size parameters for the Raw Data Paths (see Figure 25) and click Start Test Then view the payload statistics to review data transfer rate on the DMA channels With a decrease in packet size the performance drops

Note Before changing packet size click Stop Test change the size and then click Start Test

X-Ref Target - Figure 24

Figure 24 Payload Statistics

UG883_24_121112

32 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

Note For packet sizes equal to 64 or 128 bytes the throughput is reduced and might not be visible on the Payload Statistics tab The exact values can be viewed on the System Status tab

4 Click the PCIe Statistics tab to view data transfer numbers with varying packet sizes on the PCIe interface (Figure 26)

X-Ref Target - Figure 25

Figure 25 Effect of Varying Packet Sizes on Performance

UG883_25_121112

KC705 Getting Started Guide wwwxilinxcom 33UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

The system performance of the Kintex-7 FPGA Base TRD has now been evaluated using the pre-built demonstration design bit file

Now that the Kintex-7 FPGA Base TRD demonstration has been set up and evaluated the design can be modified Before the design can be modified make sure to install the Vivado Design Suite on a PC It is not required that tools be installed on the PC system in which the KC705 evaluation board is plugged in by way of the PCIe edge connector

X-Ref Target - Figure 26

Figure 26 PCIe Statistics in the Performance Monitor

UG883_26_121112

34 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

KC705 Getting Started Guide wwwxilinxcom 35UG883 (v30) April 16 2013

Appendix A

Additional Resources

Xilinx ResourcesFor support resources such as Answers Documentation Downloads and Forums see the Xilinx Support website at

wwwxilinxcomsupport

For continual updates add the Answer Record to your myAlerts

wwwxilinxcomsupportmyalerts

For a glossary of technical terms used in Xilinx documentation see

wwwxilinxcomcompanytermshtm

Solution CentersSee the Xilinx Solution Centers for support on devices software tools and intellectual property at all stages of the design cycle Topics include design assistance advisories and troubleshooting tips

Further ResourcesThe most up to date information related to the KC705 board and its documentation is available on the following websites

The Kintex-7 FPGA KC705 Evaluation Kit Product Page

wwwxilinxcomkc705

The Kintex-7 FPGA KC705 Evaluation Kit Master Answer Record

wwwxilinxcomsupportanswers47787htm

Design advisories by software release for the Kintex-7 FPGA KC705 Evaluation Kit

wwwxilinxcomsupportnav=sd-nav-link-179661amptab=tab-bk

Kintex-7 FPGA Base Targeted Reference Design Release Notes and Known Issues Master Answer Record

wwwxilinxcomsupportanswers45679htm

These documents provide supplemental material useful with this user guide

UG810 KC705 Evaluation Board for the Kintex-7 FPGA User Guide

UG845 KC705 Reference Design User Guide

36 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Appendix A Additional Resources

UG798 Xilinx Design Tools Installation and Licensing Guide Vivado Design Suite and ISE Design Suite

UG908 Vivado Design Suite User Guide Programming and Debugging

UG882 Kintex-7 FPGA KC705 Base Targeted Reference Design User Guide (Vivado Design Suite 20124)

UG886 AMS101 Evaluation Card User Guide

UG477 7 Series FPGAs Integrated Block for PCI Express User Guide

ReferencesThe following websites provide supplemental material useful with this guide

1 LabVIEW 32-bit Run-Time Enginehttpjoulenicomniducdsviewpid2534langen

2 LabVIEW 64-bit Run-Time Engine httpjoulenicomniducdsviewpid2536langen

3 Tera Term Pro program httphpvectorcojpauthorsVA002416teratermhtml

4 Drivers on the Silicon Labs site httpwwwsilabscomSupport20DocumentsSoftwareCP210x_VCP_Win_XP_S2K3_Vista_7exe

5 Northwest Logic DMA back end core httpwwwnwlogiccompacketdma

6 Fedora project httpfedoraprojectorg

Fedora is a Linux-based operating system used in the development of this TRD

7 The GTK+ project API documentation httpwwwgtkorgdocumentationphp

GTK+ is a toolkit for creating graphical user interfaces (GUI)

KC705 Getting Started Guide wwwxilinxcom 37UG883 (v30) April 16 2013

Appendix B

Warranty

THIS LIMITED WARRANTY applies solely to standard hardware development boards and standard hardware programming cables manufactured by or on behalf of Xilinx (ldquoDevelopment Systemsrdquo) Subject to the limitations herein Xilinx warrants that Development Systems when delivered by Xilinx or its authorized distributor for ninety (90) days following the delivery date will be free from defects in material and workmanship and will substantially conform to Xilinx publicly available specifications for such products in effect at the time of delivery This limited warranty excludes (i) engineering samples or beta versions of Development Systems (which are provided ldquoAS ISrdquo without warranty) (ii) design defects or errors known as ldquoerratardquo (iii) Development Systems procured through unauthorized third parties and (iv) Development Systems that have been subject to misuse mishandling accident alteration neglect unauthorized repair or installation Furthermore this limited warranty shall not apply to the use of covered products in an application or environment that is not within Xilinx specifications or in the event of any act error neglect or default of Customer For any breach by Xilinx of this limited warranty the exclusive remedy of Customer and the sole liability of Xilinx shall be at the option of Xilinx to replace or repair the affected products or to refund to Customer the price of the affected products The availability of replacement products is subject to product discontinuation policies at Xilinx Customer may not return product without first obtaining a customer return material authorization (RMA) number from XilinxTHE WARRANTIES SET FORTH HEREIN ARE EXCLUSIVE XILINX DISCLAIMS ALL OTHER WARRANTIES WHETHER EXPRESS IMPLIED OR STATUTORY INCLUDING WITHOUT LIMITATION ANY WARRANTY OF MERCHANTABILITY FITNESS FOR A PARTICULAR PURPOSE OR NON-INFRINGEMENT AND ANY WARRANTY THAT MAY ARISE FROM COURSE OF DEALING COURSE OF PERFORMANCE OR USAGE OF TRADE (200810)

Do not throw Xilinx products marked with the ldquocrossed out wheeled binrdquo in the trash Directive 200296EC on waste electrical and electronic equipment (WEEE) requires the separate collection of WEEE Your cooperation is essential in ensuring the proper management of WEEE and the protection of the environment and human health from potential effects arising from the presence of hazardous substances in WEEE Return the marked products to Xilinx for proper disposal Further information and instructions for free-of-charge return available at httpwwwxilinxcomehsweeehtm

38 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Appendix B Warranty

  • Kintex-7 FPGA KC705 Evaluation Kit (Vivado Design Suite 20131)
    • Revision History
    • Table of Contents
    • Getting Started with the Kintex-7 FPGA KC705 Evaluation Kit (Vivado Design Suite 20131)
      • Introduction
      • Kit Contents
      • Basic Hardware Bring-up Using the BIST
        • Hardware Test Setup Requirements
          • Hardware Test Board Setup Requirements
            • Hardware Bring-Up
            • Run the BIST Application
              • AMS Bring-up with the AMS101 Evaluation Card
                • Requirements to Get Started
                • Evaluating AMS
                  • Advanced Bring-up Using the Base Targeted Reference Design
                    • Components
                    • Hardware Test Setup Requirements
                    • TRD Demonstration Setup
                    • Evaluating the Kintex-7 FPGA Base TRD
                        • Additional Resources
                          • Xilinx Resources
                          • Solution Centers
                          • Further Resources
                          • References
                            • Warranty
                                • ltlt ASCII85EncodePages false AllowTransparency false AutoPositionEPSFiles true AutoRotatePages All Binding Left CalGrayProfile (Dot Gain 20) CalRGBProfile (sRGB IEC61966-21) CalCMYKProfile (US Web Coated 050SWOP051 v2) sRGBProfile (sRGB IEC61966-21) CannotEmbedFontPolicy Warning CompatibilityLevel 16 CompressObjects Tags CompressPages true ConvertImagesToIndexed true PassThroughJPEGImages true CreateJobTicket false DefaultRenderingIntent Default DetectBlends true DetectCurves 00000 ColorConversionStrategy LeaveColorUnchanged DoThumbnails false EmbedAllFonts true EmbedOpenType false ParseICCProfilesInComments true EmbedJobOptions true DSCReportingLevel 0 EmitDSCWarnings false EndPage -1 ImageMemory 1048576 LockDistillerParams true MaxSubsetPct 100 Optimize true OPM 1 ParseDSCComments true ParseDSCCommentsForDocInfo true PreserveCopyPage true PreserveDICMYKValues true PreserveEPSInfo true PreserveFlatness true PreserveHalftoneInfo false PreserveOPIComments false PreserveOverprintSettings true StartPage 1 SubsetFonts true TransferFunctionInfo Apply UCRandBGInfo Preserve UsePrologue false ColorSettingsFile () AlwaysEmbed [ true ] NeverEmbed [ true ] AntiAliasColorImages false CropColorImages true ColorImageMinResolution 300 ColorImageMinResolutionPolicy OK DownsampleColorImages false ColorImageDownsampleType Bicubic ColorImageResolution 300 ColorImageDepth -1 ColorImageMinDownsampleDepth 1 ColorImageDownsampleThreshold 150000 EncodeColorImages true ColorImageFilter DCTEncode AutoFilterColorImages true ColorImageAutoFilterStrategy JPEG ColorACSImageDict ltlt QFactor 040 HSamples [1 1 1 1] VSamples [1 1 1 1] gtgt ColorImageDict ltlt QFactor 015 HSamples [1 1 1 1] VSamples [1 1 1 1] gtgt JPEG2000ColorACSImageDict ltlt TileWidth 256 TileHeight 256 Quality 30 gtgt JPEG2000ColorImageDict ltlt TileWidth 256 TileHeight 256 Quality 30 gtgt AntiAliasGrayImages false CropGrayImages true GrayImageMinResolution 300 GrayImageMinResolutionPolicy OK DownsampleGrayImages false GrayImageDownsampleType Bicubic GrayImageResolution 300 GrayImageDepth -1 GrayImageMinDownsampleDepth 2 GrayImageDownsampleThreshold 150000 EncodeGrayImages true GrayImageFilter DCTEncode AutoFilterGrayImages true GrayImageAutoFilterStrategy JPEG GrayACSImageDict ltlt QFactor 040 HSamples [1 1 1 1] VSamples [1 1 1 1] gtgt GrayImageDict ltlt QFactor 015 HSamples [1 1 1 1] VSamples [1 1 1 1] gtgt JPEG2000GrayACSImageDict ltlt TileWidth 256 TileHeight 256 Quality 30 gtgt JPEG2000GrayImageDict ltlt TileWidth 256 TileHeight 256 Quality 30 gtgt AntiAliasMonoImages false CropMonoImages true MonoImageMinResolution 1200 MonoImageMinResolutionPolicy OK DownsampleMonoImages false MonoImageDownsampleType Bicubic MonoImageResolution 1200 MonoImageDepth -1 MonoImageDownsampleThreshold 150000 EncodeMonoImages true MonoImageFilter CCITTFaxEncode MonoImageDict ltlt K -1 gtgt AllowPSXObjects false CheckCompliance [ None ] PDFX1aCheck false PDFX3Check false PDFXCompliantPDFOnly false PDFXNoTrimBoxError true PDFXTrimBoxToMediaBoxOffset [ 000000 000000 000000 000000 ] PDFXSetBleedBoxToMediaBox true PDFXBleedBoxToTrimBoxOffset [ 000000 000000 000000 000000 ] PDFXOutputIntentProfile (None) PDFXOutputConditionIdentifier () PDFXOutputCondition () PDFXRegistryName () PDFXTrapped False CreateJDFFile false Description ltlt ARA ltFEFF06270633062A062E062F0645002006470630064700200627064406250639062F0627062F0627062A002006440625064606340627062100200648062B062706260642002000410064006F00620065002000500044004600200645062A0648062706410642062900200644064406370628062706390629002006300627062A002006270644062C0648062F0629002006270644063906270644064A06290020064506460020062E06440627064400200627064406370627062806390627062A00200627064406450643062A0628064A062900200623064800200623062C06470632062900200625062C06310627062100200627064406280631064806410627062A061B0020064A06450643064600200641062A062D00200648062B0627062606420020005000440046002006270644064506460634062306290020062806270633062A062E062F062706450020004100630072006F0062006100740020064800410064006F006200650020005200650061006400650072002006250635062F0627063100200035002E0030002006480627064406250635062F062706310627062A0020062706440623062D062F062B002E0020064506390020005000440046002F0041060C0020062706440631062C062706210020064506310627062C063906290020062F0644064A0644002006450633062A062E062F06450020004100630072006F006200610074061B0020064A06450643064600200641062A062D00200648062B0627062606420020005000440046002006270644064506460634062306290020062806270633062A062E062F062706450020004100630072006F0062006100740020064800410064006F006200650020005200650061006400650072002006250635062F0627063100200035002E0030002006480627064406250635062F062706310627062A0020062706440623062D062F062B002Egt BGR ltFEFF04180437043f043e043b043704320430043904420435002004420435043704380020043d0430044104420440043e0439043a0438002c00200437043000200434043000200441044a0437043404300432043004420435002000410064006f00620065002000500044004600200434043e043a0443043c0435043d044204380020043704300020043a0430044704350441044204320435043d0020043f04350447043004420020043d04300020043d043004410442043e043b043d04380020043f04400438043d04420435044004380020043800200443044104420440043e043904410442043204300020043704300020043f04350447043004420020043d04300020043f0440043e0431043d04380020044004300437043f0435044704300442043a0438002e002000200421044a04370434043004340435043d043804420435002000500044004600200434043e043a0443043c0435043d044204380020043c043e0433043004420020043404300020044104350020043e0442043204300440044f0442002004410020004100630072006f00620061007400200438002000410064006f00620065002000520065006100640065007200200035002e00300020043800200441043b0435043404320430044904380020043204350440044104380438002egt CHS ltFEFF4f7f75288fd94e9b8bbe5b9a521b5efa7684002000500044004600206587686353ef901a8fc7684c976262535370673a548c002000700072006f006f00660065007200208fdb884c9ad88d2891cf62535370300260a853ef4ee54f7f75280020004100630072006f0062006100740020548c002000410064006f00620065002000520065006100640065007200200035002e003000204ee553ca66f49ad87248672c676562535f00521b5efa768400200050004400460020658768633002gt CHT ltFEFF4f7f752890194e9b8a2d7f6e5efa7acb7684002000410064006f006200650020005000440046002065874ef653ef5728684c9762537088686a5f548c002000700072006f006f00660065007200204e0a73725f979ad854c18cea7684521753706548679c300260a853ef4ee54f7f75280020004100630072006f0062006100740020548c002000410064006f00620065002000520065006100640065007200200035002e003000204ee553ca66f49ad87248672c4f86958b555f5df25efa7acb76840020005000440046002065874ef63002gt CZE ltFEFF005400610074006f0020006e006100730074006100760065006e00ed00200070006f0075017e0069006a007400650020006b0020007600790074007600e101590065006e00ed00200064006f006b0075006d0065006e0074016f002000410064006f006200650020005000440046002000700072006f0020006b00760061006c00690074006e00ed0020007400690073006b0020006e0061002000730074006f006c006e00ed006300680020007400690073006b00e10072006e00e100630068002000610020006e00e1007400690073006b006f007600fd006300680020007a0061015900ed007a0065006e00ed00630068002e002000200056007900740076006f01590065006e00e900200064006f006b0075006d0065006e007400790020005000440046002000620075006400650020006d006f017e006e00e90020006f007400650076015900ed007400200076002000700072006f006700720061006d0065006300680020004100630072006f00620061007400200061002000410064006f00620065002000520065006100640065007200200035002e0030002000610020006e006f0076011b006a016100ed00630068002egt DAN ltFEFF004200720075006700200069006e0064007300740069006c006c0069006e006700650072006e0065002000740069006c0020006100740020006f007000720065007400740065002000410064006f006200650020005000440046002d0064006f006b0075006d0065006e007400650072002000740069006c0020006b00760061006c00690074006500740073007500640073006b007200690076006e0069006e006700200065006c006c006500720020006b006f007200720065006b007400750072006c00e60073006e0069006e0067002e0020004400650020006f007000720065007400740065006400650020005000440046002d0064006f006b0075006d0065006e0074006500720020006b0061006e002000e50062006e00650073002000690020004100630072006f00620061007400200065006c006c006500720020004100630072006f006200610074002000520065006100640065007200200035002e00300020006f00670020006e0079006500720065002egt DEU ltFEFF00560065007200770065006e00640065006e0020005300690065002000640069006500730065002000450069006e007300740065006c006c0075006e00670065006e0020007a0075006d002000450072007300740065006c006c0065006e00200076006f006e002000410064006f006200650020005000440046002d0044006f006b0075006d0065006e00740065006e002c00200076006f006e002000640065006e0065006e002000530069006500200068006f00630068007700650072007400690067006500200044007200750063006b006500200061007500660020004400650073006b0074006f0070002d0044007200750063006b00650072006e00200075006e0064002000500072006f006f0066002d00470065007200e400740065006e002000650072007a0065007500670065006e0020006d00f60063006800740065006e002e002000450072007300740065006c006c007400650020005000440046002d0044006f006b0075006d0065006e007400650020006b00f6006e006e0065006e0020006d006900740020004100630072006f00620061007400200075006e0064002000410064006f00620065002000520065006100640065007200200035002e00300020006f0064006500720020006800f600680065007200200067006500f600660066006e00650074002000770065007200640065006e002egt ESP ltFEFF005500740069006c0069006300650020006500730074006100200063006f006e0066006900670075007200610063006900f3006e0020007000610072006100200063007200650061007200200064006f00630075006d0065006e0074006f0073002000640065002000410064006f0062006500200050004400460020007000610072006100200063006f006e00730065006700750069007200200069006d0070007200650073006900f3006e002000640065002000630061006c006900640061006400200065006e00200069006d0070007200650073006f0072006100730020006400650020006500730063007200690074006f00720069006f00200079002000680065007200720061006d00690065006e00740061007300200064006500200063006f00720072006500630063006900f3006e002e002000530065002000700075006500640065006e00200061006200720069007200200064006f00630075006d0065006e0074006f00730020005000440046002000630072006500610064006f007300200063006f006e0020004100630072006f006200610074002c002000410064006f00620065002000520065006100640065007200200035002e003000200079002000760065007200730069006f006e0065007300200070006f00730074006500720069006f007200650073002egt ETI ltFEFF004b00610073007500740061006700650020006e0065006900640020007300e4007400740065006900640020006c006100750061002d0020006a00610020006b006f006e00740072006f006c006c007400f5006d006d006900730065007000720069006e0074006500720069007400650020006a0061006f006b00730020006b00760061006c006900740065006500740073006500740065002000410064006f006200650020005000440046002d0064006f006b0075006d0065006e00740069006400650020006c006f006f006d006900730065006b0073002e002e00200020004c006f006f0064007500640020005000440046002d0064006f006b0075006d0065006e00740065002000730061006100740065002000610076006100640061002000700072006f006700720061006d006d006900640065006700610020004100630072006f0062006100740020006e0069006e0067002000410064006f00620065002000520065006100640065007200200035002e00300020006a00610020007500750065006d006100740065002000760065007200730069006f006f006e00690064006500670061002e000d000agt FRA ltFEFF005500740069006c006900730065007a00200063006500730020006f007000740069006f006e00730020006100660069006e00200064006500200063007200e900650072002000640065007300200064006f00630075006d0065006e00740073002000410064006f00620065002000500044004600200070006f007500720020006400650073002000e90070007200650075007600650073002000650074002000640065007300200069006d007000720065007300730069006f006e00730020006400650020006800610075007400650020007100750061006c0069007400e90020007300750072002000640065007300200069006d007000720069006d0061006e0074006500730020006400650020006200750072006500610075002e0020004c0065007300200064006f00630075006d0065006e00740073002000500044004600200063007200e900e90073002000700065007500760065006e0074002000ea0074007200650020006f007500760065007200740073002000640061006e00730020004100630072006f006200610074002c002000610069006e00730069002000710075002700410064006f00620065002000520065006100640065007200200035002e0030002000650074002000760065007200730069006f006e007300200075006c007400e90072006900650075007200650073002egt GRE ltFEFF03a703c103b703c303b903bc03bf03c003bf03b903ae03c303c403b5002003b103c503c403ad03c2002003c403b903c2002003c103c503b803bc03af03c303b503b903c2002003b303b903b1002003bd03b1002003b403b703bc03b903bf03c503c103b303ae03c303b503c403b5002003ad03b303b303c103b103c603b1002000410064006f006200650020005000440046002003b303b903b1002003b503ba03c403cd03c003c903c303b7002003c003bf03b903cc03c403b703c403b103c2002003c303b5002003b503ba03c403c503c003c903c403ad03c2002003b303c103b103c603b503af03bf03c5002003ba03b103b9002003b403bf03ba03b903bc03b103c303c403ad03c2002e0020002003a403b10020005000440046002003ad03b303b303c103b103c603b1002003c003bf03c5002003ad03c703b503c403b5002003b403b703bc03b903bf03c503c103b303ae03c303b503b9002003bc03c003bf03c103bf03cd03bd002003bd03b1002003b103bd03bf03b903c703c403bf03cd03bd002003bc03b5002003c403bf0020004100630072006f006200610074002c002003c403bf002000410064006f006200650020005200650061006400650072002000200035002e0030002003ba03b103b9002003bc03b503c403b103b303b503bd03ad03c303c403b503c103b503c2002003b503ba03b403cc03c303b503b903c2002egt HEB ltFEFF05D405E905EA05DE05E905D5002005D105D405D205D305E805D505EA002005D005DC05D4002005DB05D305D9002005DC05D905E605D505E8002005DE05E105DE05DB05D9002000410064006F006200650020005000440046002005E205D105D505E8002005D405D305E405E105D4002005D005D905DB05D505EA05D905EA002005D105DE05D305E405E105D505EA002005E905D505DC05D705E005D905D505EA002005D505DB05DC05D9002005D405D205D405D4002E002005DE05E105DE05DB05D9002005D4002D005000440046002005E905E005D505E605E805D905DD002005E005D905EA05E005D905DD002005DC05E405EA05D905D705D4002005D105D005DE05E605E205D505EA0020004100630072006F006200610074002005D5002D00410064006F00620065002000520065006100640065007200200035002E0030002005D505D205E805E105D005D505EA002005DE05EA05E705D305DE05D505EA002005D905D505EA05E8002Egt HRV ltFEFF005a00610020007300740076006100720061006e006a0065002000410064006f00620065002000500044004600200064006f006b0075006d0065006e0061007400610020007a00610020006b00760061006c00690074006500740061006e0020006900730070006900730020006e006100200070006900730061010d0069006d006100200069006c0069002000700072006f006f006600650072002000750072006501110061006a0069006d0061002e00200020005300740076006f00720065006e0069002000500044004600200064006f006b0075006d0065006e007400690020006d006f006700750020007300650020006f00740076006f00720069007400690020004100630072006f00620061007400200069002000410064006f00620065002000520065006100640065007200200035002e0030002000690020006b00610073006e0069006a0069006d0020007600650072007a0069006a0061006d0061002egt HUN ltFEFF004d0069006e0151007300e9006700690020006e0079006f006d00610074006f006b0020006b00e90073007a00ed007400e9007300e900680065007a002000610073007a00740061006c00690020006e0079006f006d00740061007400f3006b006f006e002000e9007300200070007200f300620061006e0079006f006d00f3006b006f006e00200065007a0065006b006b0065006c0020006100200062006500e1006c006c00ed007400e10073006f006b006b0061006c002c00200068006f007a007a006f006e0020006c00e9007400720065002000410064006f00620065002000500044004600200064006f006b0075006d0065006e00740075006d006f006b00610074002e0020002000410020006c00e90074007200650068006f007a006f00740074002000500044004600200064006f006b0075006d0065006e00740075006d006f006b00200061007a0020004100630072006f006200610074002c00200061007a002000410064006f00620065002000520065006100640065007200200035002e0030002000e9007300200061007a002000610074007400f3006c0020006b00e9007301510062006200690020007600650072007a006900f3006b006b0061006c00200020006e00790069007400680061007400f3006b0020006d00650067002egt ITA ltFEFF005500740069006c0069007a007a006100720065002000710075006500730074006500200069006d0070006f007300740061007a0069006f006e00690020007000650072002000630072006500610072006500200064006f00630075006d0065006e00740069002000410064006f006200650020005000440046002000700065007200200075006e00610020007300740061006d007000610020006400690020007100750061006c0069007400e00020007300750020007300740061006d00700061006e0074006900200065002000700072006f006f0066006500720020006400650073006b0074006f0070002e0020004900200064006f00630075006d0065006e007400690020005000440046002000630072006500610074006900200070006f00730073006f006e006f0020006500730073006500720065002000610070006500720074006900200063006f006e0020004100630072006f00620061007400200065002000410064006f00620065002000520065006100640065007200200035002e003000200065002000760065007200730069006f006e006900200073007500630063006500730073006900760065002egt JPN ltFEFF9ad854c18cea51fa529b7528002000410064006f0062006500200050004400460020658766f8306e4f5c6210306b4f7f75283057307e30593002537052376642306e753b8cea3092670059279650306b4fdd306430533068304c3067304d307e3059300230c730b930af30c830c330d730d730ea30f330bf3067306e53705237307e305f306f30d730eb30fc30d57528306b9069305730663044307e305930023053306e8a2d5b9a30674f5c62103055308c305f0020005000440046002030d530a130a430eb306f3001004100630072006f0062006100740020304a30883073002000410064006f00620065002000520065006100640065007200200035002e003000204ee5964d3067958b304f30533068304c3067304d307e30593002gt KOR ltFEFFc7740020c124c815c7440020c0acc6a9d558c5ec0020b370c2a4d06cd0d10020d504b9b0d1300020bc0f0020ad50c815ae30c5d0c11c0020ace0d488c9c8b85c0020c778c1c4d560002000410064006f0062006500200050004400460020bb38c11cb97c0020c791c131d569b2c8b2e4002e0020c774b807ac8c0020c791c131b41c00200050004400460020bb38c11cb2940020004100630072006f0062006100740020bc0f002000410064006f00620065002000520065006100640065007200200035002e00300020c774c0c1c5d0c11c0020c5f40020c2180020c788c2b5b2c8b2e4002egt LTH ltFEFF004e006100750064006f006b0069007400650020016100690075006f007300200070006100720061006d006500740072007500730020006e006f0072011700640061006d00690020006b0075007200740069002000410064006f00620065002000500044004600200064006f006b0075006d0065006e007400750073002c0020006b007500720069006500200073006b00690072007400690020006b006f006b0079006200690161006b0061006900200073007000610075007300640069006e007400690020007300740061006c0069006e0069006100690073002000690072002000620061006e00640079006d006f00200073007000610075007300640069006e007400750076006100690073002e0020002000530075006b0075007200740069002000500044004600200064006f006b0075006d0065006e007400610069002000670061006c006900200062016b007400690020006100740069006400610072006f006d00690020004100630072006f006200610074002000690072002000410064006f00620065002000520065006100640065007200200035002e0030002000610072002000760117006c00650073006e0117006d00690073002000760065007200730069006a006f006d00690073002egt LVI ltFEFF0049007a006d0061006e0074006f006a00690065007400200161006f00730020006900650073007400610074012b006a0075006d00750073002c0020006c0061006900200069007a0076006500690064006f00740075002000410064006f00620065002000500044004600200064006f006b0075006d0065006e0074007500730020006b00760061006c0069007400610074012b0076006100690020006400720075006b010101610061006e00610069002000610072002000670061006c006400610020007000720069006e00740065007200690065006d00200075006e0020007000610072006100750067006e006f00760069006c006b0075006d0075002000690065007300700069006500640113006a00690065006d002e00200049007a0076006500690064006f006a006900650074002000500044004600200064006f006b0075006d0065006e007400750073002c0020006b006f002000760061007200200061007400760113007200740020006100720020004100630072006f00620061007400200075006e002000410064006f00620065002000520065006100640065007200200035002e0030002c0020006b0101002000610072012b00200074006f0020006a00610075006e0101006b0101006d002000760065007200730069006a0101006d002egt NLD (Gebruik deze instellingen om Adobe PDF-documenten te maken voor kwaliteitsafdrukken op desktopprinters en proofers De gemaakte PDF-documenten kunnen worden geopend met Acrobat en Adobe Reader 50 en hoger) NOR ltFEFF004200720075006b00200064006900730073006500200069006e006e007300740069006c006c0069006e00670065006e0065002000740069006c002000e50020006f0070007000720065007400740065002000410064006f006200650020005000440046002d0064006f006b0075006d0065006e00740065007200200066006f00720020007500740073006b00720069006600740020006100760020006800f800790020006b00760061006c00690074006500740020007000e500200062006f007200640073006b0072006900760065007200200065006c006c00650072002000700072006f006f006600650072002e0020005000440046002d0064006f006b0075006d0065006e00740065006e00650020006b0061006e002000e50070006e00650073002000690020004100630072006f00620061007400200065006c006c00650072002000410064006f00620065002000520065006100640065007200200035002e003000200065006c006c00650072002000730065006e006500720065002egt POL ltFEFF0055007300740061007700690065006e0069006100200064006f002000740077006f0072007a0065006e0069006100200064006f006b0075006d0065006e007400f3007700200050004400460020007a002000770079017c0073007a010500200072006f007a0064007a00690065006c0063007a006f015b0063006901050020006f006200720061007a006b00f30077002c0020007a0061007000650077006e00690061006a0105006301050020006c006500700073007a01050020006a0061006b006f015b0107002000770079006400720075006b00f30077002e00200044006f006b0075006d0065006e0074007900200050004400460020006d006f017c006e00610020006f007400770069006500720061010700200077002000700072006f006700720061006d006900650020004100630072006f00620061007400200069002000410064006f00620065002000520065006100640065007200200035002e0030002000690020006e006f00770073007a0079006d002egt PTB ltFEFF005500740069006c0069007a006500200065007300730061007300200063006f006e00660069006700750072006100e700f50065007300200064006500200066006f0072006d00610020006100200063007200690061007200200064006f00630075006d0065006e0074006f0073002000410064006f0062006500200050004400460020007000610072006100200069006d0070007200650073007300f5006500730020006400650020007100750061006c0069006400610064006500200065006d00200069006d00700072006500730073006f0072006100730020006400650073006b0074006f00700020006500200064006900730070006f00730069007400690076006f0073002000640065002000700072006f00760061002e0020004f007300200064006f00630075006d0065006e0074006f00730020005000440046002000630072006900610064006f007300200070006f00640065006d0020007300650072002000610062006500720074006f007300200063006f006d0020006f0020004100630072006f006200610074002000650020006f002000410064006f00620065002000520065006100640065007200200035002e0030002000650020007600650072007300f50065007300200070006f00730074006500720069006f007200650073002egt RUM ltFEFF005500740069006c0069007a00610163006900200061006300650073007400650020007300650074010300720069002000700065006e007400720075002000610020006300720065006100200064006f00630075006d0065006e00740065002000410064006f006200650020005000440046002000700065006e007400720075002000740069007001030072006900720065002000640065002000630061006c006900740061007400650020006c006100200069006d007000720069006d0061006e007400650020006400650073006b0074006f00700020015f0069002000700065006e0074007200750020007600650072006900660069006300610074006f00720069002e002000200044006f00630075006d0065006e00740065006c00650020005000440046002000630072006500610074006500200070006f00740020006600690020006400650073006300680069007300650020006300750020004100630072006f006200610074002c002000410064006f00620065002000520065006100640065007200200035002e00300020015f00690020007600650072007300690075006e0069006c006500200075006c0074006500720069006f006100720065002egt RUS ltFEFF04180441043f043e043b044c04370443043904420435002004340430043d043d044b04350020043d0430044104420440043e0439043a043800200434043b044f00200441043e043704340430043d0438044f00200434043e043a0443043c0435043d0442043e0432002000410064006f006200650020005000440046002c0020043f044004350434043d04300437043d043004470435043d043d044b044500200434043b044f0020043a0430044704350441044204320435043d043d043e04390020043f043504470430044204380020043d04300020043d043004410442043e043b044c043d044b04450020043f04400438043d044204350440043004450020043800200443044104420440043e04390441044204320430044500200434043b044f0020043f043e043b044304470435043d0438044f0020043f0440043e0431043d044b04450020043e0442044204380441043a043e0432002e002000200421043e043704340430043d043d044b04350020005000440046002d0434043e043a0443043c0435043d0442044b0020043c043e0436043d043e00200020043e0442043a0440044b043204300442044c002004410020043f043e043c043e0449044c044e0020004100630072006f00620061007400200438002000410064006f00620065002000520065006100640065007200200035002e00300020043800200431043e043b043504350020043f043e04370434043d043804450020043204350440044104380439002egt SKY ltFEFF0054006900650074006f0020006e006100730074006100760065006e0069006100200070006f0075017e0069007400650020006e00610020007600790074007600e100720061006e0069006500200064006f006b0075006d0065006e0074006f0076002000410064006f00620065002000500044004600200070007200650020006b00760061006c00690074006e00fa00200074006c0061010d0020006e0061002000730074006f006c006e00fd0063006800200074006c0061010d00690061007201480061006300680020006100200074006c0061010d006f007600fd006300680020007a006100720069006100640065006e0069006100630068002e00200056007900740076006f00720065006e00e900200064006f006b0075006d0065006e007400790020005000440046002000620075006400650020006d006f017e006e00e90020006f00740076006f00720069016500200076002000700072006f006700720061006d006f006300680020004100630072006f00620061007400200061002000410064006f00620065002000520065006100640065007200200035002e0030002000610020006e006f0076016100ed00630068002e000d000agt SLV ltFEFF005400650020006e006100730074006100760069007400760065002000750070006f0072006100620069007400650020007a00610020007500730074007600610072006a0061006e006a006500200064006f006b0075006d0065006e0074006f0076002000410064006f0062006500200050004400460020007a00610020006b0061006b006f0076006f00730074006e006f0020007400690073006b0061006e006a00650020006e00610020006e0061006d0069007a006e006900680020007400690073006b0061006c006e0069006b0069006800200069006e0020007000720065007600650072006a0061006c006e0069006b00690068002e00200020005500730074007600610072006a0065006e006500200064006f006b0075006d0065006e0074006500200050004400460020006a00650020006d006f0067006f010d00650020006f0064007000720065007400690020007a0020004100630072006f00620061007400200069006e002000410064006f00620065002000520065006100640065007200200035002e003000200069006e0020006e006f00760065006a01610069006d002egt SUO ltFEFF004b00e40079007400e40020006e00e40069007400e4002000610073006500740075006b007300690061002c0020006b0075006e0020006c0075006f0074002000410064006f0062006500200050004400460020002d0064006f006b0075006d0065006e007400740065006a00610020006c0061006100640075006b006100730074006100200074007900f6007000f60079007400e400740075006c006f0073007400750073007400610020006a00610020007600650064006f007300740075007300740061002000760061007200740065006e002e00200020004c0075006f0064007500740020005000440046002d0064006f006b0075006d0065006e00740069007400200076006f0069006400610061006e0020006100760061007400610020004100630072006f0062006100740069006c006c00610020006a0061002000410064006f00620065002000520065006100640065007200200035002e0030003a006c006c00610020006a006100200075007500640065006d006d0069006c006c0061002egt SVE ltFEFF0041006e007600e4006e00640020006400650020006800e4007200200069006e0073007400e4006c006c006e0069006e006700610072006e00610020006f006d002000640075002000760069006c006c00200073006b006100700061002000410064006f006200650020005000440046002d0064006f006b0075006d0065006e00740020006600f600720020006b00760061006c00690074006500740073007500740073006b0072006900660074006500720020007000e5002000760061006e006c00690067006100200073006b0072006900760061007200650020006f006300680020006600f600720020006b006f007200720065006b007400750072002e002000200053006b006100700061006400650020005000440046002d0064006f006b0075006d0065006e00740020006b0061006e002000f600700070006e00610073002000690020004100630072006f0062006100740020006f00630068002000410064006f00620065002000520065006100640065007200200035002e00300020006f00630068002000730065006e006100720065002egt TUR ltFEFF004d00610073006100fc0073007400fc002000790061007a013100630131006c006100720020007600650020006200610073006b01310020006d0061006b0069006e0065006c006500720069006e006400650020006b0061006c006900740065006c00690020006200610073006b013100200061006d0061006301310079006c0061002000410064006f006200650020005000440046002000620065006c00670065006c0065007200690020006f006c0075015f007400750072006d0061006b0020006900e70069006e00200062007500200061007900610072006c0061007201310020006b0075006c006c0061006e0131006e002e00200020004f006c0075015f0074007500720075006c0061006e0020005000440046002000620065006c00670065006c0065007200690020004100630072006f006200610074002000760065002000410064006f00620065002000520065006100640065007200200035002e003000200076006500200073006f006e0072006100730131006e00640061006b00690020007300fc007200fc006d006c00650072006c00650020006100e70131006c006100620069006c00690072002egt UKR ltFEFF04120438043a043e0440043804410442043e043204430439044204350020044604560020043f043004400430043c043504420440043800200434043b044f0020044104420432043e04400435043d043d044f00200434043e043a0443043c0435043d044204560432002000410064006f006200650020005000440046002c0020044f043a04560020043d04300439043a04400430044904350020043f045604340445043e0434044f0442044c00200434043b044f0020043204380441043e043a043e044f043a04560441043d043e0433043e0020043404400443043a04430020043d04300020043d0430044104420456043b044c043d043804450020043f04400438043d044204350440043004450020044204300020043f04400438044104420440043e044f044500200434043b044f0020043e044204400438043c0430043d043d044f0020043f0440043e0431043d0438044500200437043e04310440043004360435043d044c002e00200020042104420432043e04400435043d045600200434043e043a0443043c0435043d0442043800200050004400460020043c043e0436043d04300020043204560434043a0440043804420438002004430020004100630072006f006200610074002004420430002000410064006f00620065002000520065006100640065007200200035002e0030002004300431043e0020043f04560437043d04560448043e04570020043204350440044104560457002egt ENU ltFEFF005500730065002000740068006500730065002000730065007400740069006e0067007300200074006f0020006300720065006100740065002000410064006f0062006500200050004400460020006200610073006500640020006f006e0020007400680065002000640065006600610075006c0074002000580069006c0069006e007800200070006100720061006d0065007400650072002000730065007400740069006e0067007300200064006500660069006e00650064002000620079002000500053003000300034002e002000460069006c0065006e0061006d0065003a002000780069006c0069006e0078002e006a006f0062006f007000740069006f006e0073002e000d0043006f006d007000610074006100620069006c006900740079003a0020004100630072006f00620061007400200037002e0030002000280050004400460031002e00360029002e0020005500730065003a00200046006f00720020006300720065006100740069006e0067002000460069006e0061006c002c0020005000750062006c0069007300680065006400200050004400460073002e000d0043007200650061007400650064002000310030002f00310032002f003100310020006200790020006a0066006f007200730074002egt gtgt Namespace [ (Adobe) (Common) (10) ] OtherNamespaces [ ltlt AsReaderSpreads false CropImagesToFrames true ErrorControl WarnAndContinue FlattenerIgnoreSpreadOverrides false IncludeGuidesGrids false IncludeNonPrinting false IncludeSlug false Namespace [ (Adobe) (InDesign) (40) ] OmitPlacedBitmaps false OmitPlacedEPS false OmitPlacedPDF false SimulateOverprint Legacy gtgt ltlt AddBleedMarks false AddColorBars false AddCropMarks false AddPageInfo false AddRegMarks false ConvertColors NoConversion DestinationProfileName () DestinationProfileSelector NA Downsample16BitImages true FlattenerPreset ltlt PresetSelector MediumResolution gtgt FormElements false GenerateStructure true IncludeBookmarks false IncludeHyperlinks false IncludeInteractive false IncludeLayers false IncludeProfiles true MultimediaHandling UseObjectSettings Namespace [ (Adobe) (CreativeSuite) (20) ] PDFXOutputIntentProfileSelector NA PreserveEditing true UntaggedCMYKHandling LeaveUntagged UntaggedRGBHandling LeaveUntagged UseDocumentBleed false gtgt ]gtgt setdistillerparamsltlt HWResolution [1200 1200] PageSize [612000 792000]gtgt setpagedevice

Page 3: Xilinx UG883 Kintex-7 FPGA KC705 Evaluation Kit Getting ...€¦ · Updated step 2 and step 3 in Requirements to Get Started, page 14. ... KC705 Getting Started Guide 9 UG883 (v3.0)

UG883 (v30) April 16 2013 wwwxilinxcom KC705 Getting Started Guide

Revision HistoryThe following table shows the revision history for this document

Date Version Revision

01132012 10 Initial Xilinx release

01252012 11 Changed document title Added BIST section Changed Platform Flash to BPI Linear Flash Added a note after Figure 30 Updated photos in Figure 2 Figure 3 and Figure 31

02272012 111 Added a note to Hardware Test Setup Requirements

07102012 12 Added XPN number to title page Updated Introduction and Hardware Test Setup Requirements Added Kit Contents AMS Bring-up with the AMS101 Evaluation Card and AMS Bring-up with the AMS101 Evaluation Card Added Appendix B Warranty Removed ldquoModifying the Kintex-7 FPGA Base TRDrdquo section

122012 20 The document was updated for Vivadotrade Design Suite 20124 Agile Mixed Signal is now Analog Mixed Signal The USB stick is removed from the kit and instead design files are accessible from the Docs amp Designs tab at wwwxilinxcomkc705 Removing the USB stick affected many sections including thesebull Kit Contents page 7bull Hardware Test Setup Requirements page 9bull AMS Bring-up with the AMS101 Evaluation Card page 13bull Step 4 in Install the Linux Driver page 22The first bullet under Introduction page 7 removed ldquotransceivers by using the LogiCOREtrade IP Integrated Bit Error Ratio (IBERT) corerdquo Under KC705 Evaluation Board Setup page 10 ldquocord and brickrdquo changed to ldquo12V power adapterrdquo Removed the Transceiver Bring-up Using Integrated Bit Error Ratio Test section on page 14 Requirements to Get Started page 14 installation method a and step 3 changed The use of the ChipScopetrade tool is eliminated Step 2 of Evaluating AMS page 15 changed Below Figure 9 this sentence was deleted AMS Evaluator source code is not provided CD-ROM was replaced with DVD-ROM Appendix A Additional Resources was reorganized

04162013 30 Added third fourth and fifth bullets in AMS Bring-up with the AMS101 Evaluation Card page 13 Updated step 2 and step 3 in Requirements to Get Started page 14 Updated step 2 in Evaluating AMS page 15 Added eighth bullet in Hardware Test Setup Requirements page 18

KC705 Getting Started Guide wwwxilinxcom UG883 (v30) April 16 2013

KC705 Getting Started Guide wwwxilinxcom 5UG883 (v30) April 16 2013

Revision History 3

Getting Started with the Kintex-7 FPGA KC705 Evaluation Kit(Vivado Design Suite 20131)

Introduction 7Kit Contents 7Basic Hardware Bring-up Using the BIST 9Hardware Test Board Setup Requirements 9AMS Bring-up with the AMS101 Evaluation Card 13Advanced Bring-up Using the Base Targeted Reference Design 17

Appendix A Additional ResourcesXilinx Resources 35Solution Centers 35Further Resources 35References 36

Appendix B Warranty

Table of Contents

6 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

KC705 Getting Started Guide wwwxilinxcom 7UG883 (v30) April 16 2013

Getting Started with the Kintex-7 FPGA KC705 Evaluation Kit(Vivado Design Suite 20131)

IntroductionThe Kintextrade-7 FPGA KC705 evaluation kit provides a comprehensive high-performance development and demonstration platform using the Kintex-7 FPGA family for high-bandwidth and high-performance applications in multiple market segments The kit enables designing with DDR3 IO expansion through FMC and common serial standards such as PCI Expressreg XAUI and proprietary serial standards through the SMA interface See the KC705 Evaluation Board for the Kintex-7 FPGA User Guide (UG810) and the KC705 support website at wwwxilinxcomkc705

The built-in self-test (BIST) and Kintex-7 FPGA Base Targeted Reference Design (TRD) are developed on this kit

This Getting Started Guide is divided into two sections

bull Basic Hardware Bring-up Enables hands-on operation of all the features in the BIST as well as evaluation of Analog Mixed Signal (AMS) using the AMS101 evaluation card

bull Advanced Operation Enables hands-on operation with the base TRD which features PCIe DDR3 memory and AXImdashall supported through a custom evaluation graphical user interface (GUI)

Kit ContentsThe Kintex-7 FPGA KC705 evaluation kit includes

bull KC705 base board including the XC7K325T-2FFG900CES FPGA

bull AMS101 evaluation card enabling evaluation of the AMS technology built into all 7 series FPGAs

bull Software and licenses

bull Vivadotrade Design Suite Installation DVD

bull Printed entitlement voucher Provides entitlement of the Vivado Design Suite Logic Edition device-locked to the XC7K325T-2FFG900 FPGA Follow the printed instructions on the voucher to redeem your software entitlement

bull Fedora 16 Live DVD to support the Base Targeted Reference Design

8 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Kit Contents

bull Designs

bull Targeted Reference Design Robust sub-system including PCIe Gen2 x4 Northwest Logic DMA multi-port virtual FIFO AXI and DDR3 memory controller

bull Additional Reference Designs Numerous additional reference designs available online and linked from the KC705 product page wwwxilinxcomkc705

bull Documentation

bull Kintex-7 FPGA KC705 Evaluation Kit Getting Started Guide (UG883mdashthis guide included in the box)

bull KC705 Evaluation Board for the Kintex-7 FPGA User Guide (UG810) (online on product page)

bull KC705 Reference Design User Guide (UG845) (online on product page)

bull Kintex-7 FPGA KC705 Base Targeted Reference Design User Guide (Vivado Design Suite 20124) (UG882) (online on product page)

bull Board design files including schematics Gerber files and BOM (online on product page)

bull Cables and power supply

bull Universal 12V power adapter and cords

bull Two USB cables (1x USB Type-AMini-B and 1x USB Type-AMicro-B) for download and debug

bull Ethernet crossover cable

bull HDMI cable

KC705 Getting Started Guide wwwxilinxcom 9UG883 (v30) April 16 2013

Basic Hardware Bring-up Using the BIST

Basic Hardware Bring-up Using the BISTThe built-in self-test (BIST) tests many of the features offered by the Kintex-7 FPGA KC705 evaluation kit The test is stored in the nonvolatile BPI Linear Flash memory and configures the FPGA when the mode and upper flash address pins on the board are set for Master BPI

Figure 1 provides an overview of the board features used by the BIST

Note For a diagram of all the features on the KC705 see KC705 Evaluation Board for the Kintex-7 FPGA User Guide (UG810)

Hardware Test Setup RequirementsThe prerequisites for testing the design in hardware are

bull KC705 Evaluation board with the Kintex-7 FPGA XC7K325T-2FFG900CES device

bull USB-to-Mini-B cable (for UART)

bull AC power adapter (12 VDC)

bull Terminal program [Ref 3]

Note The Tera Term Pro program is used for illustrative purposes Other programs can be used

bull USB-UART drivers from SiLabs [Ref 4]

Hardware Test Board Setup RequirementsThis section details the hardware setup and use of the terminal program for running the BIST application It contains step-by-step instructions for board bring-up

X-Ref Target - Figure 1

Figure 1 KC705 Board Features Used by the BIST

BPI Flash (U58)

USB-UART(J6)

UG883_01_040913

Power Switch(SW15)

Power (J49)

USB-JTAG(U59)

Ethernet(U37)

User Push Buttons

DDR3

Mode Switches(SW13)

Rotary Switch (SW8)Switch is underneath LCD screen

Prog

CPU Reset

User LEDsUser DIP (SW11)

10 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Hardware Test Board Setup Requirements

KC705 Evaluation Board Setup

1 Set the jumpers and switches on the KC705 board as follows

bull The mode switches (SW13) are set for Master BPI mode 010

bull The upper flash address switches (SW13) are set to 11

2 Verify the switch and jumper settings are set as shown in Table 1 and Figure 2

Note For this application the board should be set up as a stand-alone system with power coming from the 12V power adapter included with the KC705 evaluation kit

Table 1 Switch amp Jumper Settings

Switch Setting

SW15Board Power slide-switch

Off

SW11

User GPIO DIP switch

4 Off

3 Off

2 Off

1 Off

SW13

Configuration Mode switch

5 Off

4 On

3 Off

2 On

1 On

X-Ref Target - Figure 2

Figure 2 BIST Switch and Jumper Settings

UG883_02_040913

KC705 Getting Started Guide wwwxilinxcom 11UG883 (v30) April 16 2013

Hardware Test Board Setup Requirements

Hardware Bring-UpThis section details the steps for hardware bring-up

1 With the board switched off plug a USB-to-Mini-B cable into the UART port of the KC705 board and your PC (see Figure 3)

2 Install the power cable

3 Switch the KC705 board power to ON

Install the UART Driver

1 Run the downloaded executable UART-USB driver file listed in Hardware Test Setup Requirements page 9 This enables UART-USB communications with a host PC (see Figure 4)

X-Ref Target - Figure 3

Figure 3 KC705 with the UART and Power Cable Attached

UG883_03_011912

X-Ref Target - Figure 4

Figure 4 UART Cable Driver Installation

UG883_04_011512

12 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Hardware Test Board Setup Requirements

2 Set the USB-UART connection to a known port in the Device Manager as follows

bull Right-click My Computer and select Properties

bull Select the Hardware tab then click the Device Manager button

bull Find and right-click the Silicon Labs device in the list Then select Properties

bull Click the Port Settings tab and the Advancedhellip button

bull Select an open COM port between COM1 and COM4

Figure 5 shows the steps needed to set the USB-UART port

Note Steps and diagrams refer to use with a Windows host PC with the Windows XP or Windows 7 operating systemX-Ref Target - Figure 5

Figure 5 Port Selection on the Device Manager Screen

UG883_05_040913

KC705 Getting Started Guide wwwxilinxcom 13UG883 (v30) April 16 2013

AMS Bring-up with the AMS101 Evaluation Card

Run the BIST Application1 Start the installed terminal program

2 Press PROG (SW14) on the KC705 board and view the BIST output on the terminal window (see Figure 6)

3 Select the relevant tests to run and observe the results

For more information on the BIST software and additional tutorials including how to restore the default content of the onboard nonvolatile storage see the KC705 support website at httpwwwxilinxcomkc705 under the Docs amp Designs tab

AMS Bring-up with the AMS101 Evaluation CardThe Xilinxreg 7 series FPGAs each feature a 1 MSPS 12-bit analog-to-digital converter built into the FPGA for everything from simple analog monitoring to more signal processing intensive tasks like linearization calibration oversampling and filtering The Kintex-7 FPGA KC705 evaluation kit includes the hardware and software to evaluate this feature and determine its usefulness in the userrsquos end system

For evaluation of Xilinx Analog Mixed Signal (AMS) capability the following items from your kit are needed

bull Access to XADC header in your FPGA base board

bull AMS101 evaluation card (Figure 7)

bull FPGA design and software files downloaded from the Docs amp Designs tab at wwwxilinxcomkc705

bull USBUART drivers from Silicon Labs

bull AMS Evaluator tool

X-Ref Target - Figure 6

Figure 6 BIST Main Menu

UG883_06_040913

14 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

AMS Bring-up with the AMS101 Evaluation Card

Requirements to Get Started1 Open the USBUART as described in Install the UART Driver page 11

2 The AMS101 evaluation card requires a Windows host PC to install the AMS Evaluator tool which uses National Instruments LabView run-time engine Install the AMS Evaluator tool by unzipping the AMS Evaluator installer fileshttpssecurexilinxcomwebregclickthroughdocid=199651amplicense=RefDesLicenseampfilename=ams101_gui_installer_v1_1zip located in the Targeted Reference Designs section in the Docs amp Designs tab at wwwxilinxcomkc705 After opening the zip file folder click the setupexe file to begin the installation When loading the National Instruments LabView runtime engine Click OK to accept the license agreement Running the setup program loads the AMS101 Evaluator tool with the red Xilinx logo on the desktop

3 Download the AMS Targeted Reference Design files from the Docs amp Designs tab Kintex-7 FPGA KC705 Evaluation Kit - (7 Series FPGA AMS Targeted Reference Design User Guide (Vivado Design Suite 20124 and ISE Design Suite 144)) section at wwwxilinxcomkc705 Unzip the xadc_eval_design_kc705_V1_0zip files Open the ready_to_test folder Save the xadc_eval_designbit bitstream

X-Ref Target - Figure 7

Figure 7 AMS101 Evaluation Card

UG883_07_121112

KC705 Getting Started Guide wwwxilinxcom 15UG883 (v30) April 16 2013

AMS Bring-up with the AMS101 Evaluation Card

Evaluating AMS1 Connect and power the hardware

a Plug the AMS101 evaluation card into the XADC header on your FPGA base board

Note Ensure the notch on the XADC header lines up correctly with the AMS101 evaluation card (see Figure 8)

b Power up the FPGA base board

2 Download the design to the FPGA Installation of ISE Programming tools or Lab Tools is required for downloading the bitstream to the FPGA

Refer to the Vivado Design Suite User Guide Programming and Debugging (UG908) at httpwwwxilinxcomsupportdocumentationdt_vivadohtm to obtain information on downloading the design to the FPGA

a Open the xadc_eval_designbit file from the AMS Targeted Reference Design files

3 Run the AMS101 Evaluator LabVIEW GUI executable from your desktop After loading the AMS Evaluator installer files a red X with the AMS Evaluator program should reside on your desktop

Note The AMS bitstream can also be loaded with ChipScope analyzer

The AMS101 Evaluator GUI is shown in Figure 9

X-Ref Target - Figure 8

Figure 8 KC705 Base Board with AMS101 Evaluation Card Plugged into XADC Header

UG883_08_121112

16 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

AMS Bring-up with the AMS101 Evaluation Card

The AMS Evaluator Tool allows designers to quickly evaluate the analog signals in the time domain frequency domain display linearity verify the XADC register settings and measure the internal temperature sensor and supply voltages

For a more extensive explanation of the AMS101 evaluation card and the applicable files refer to AMS101 Evaluation Platform User Guide (UG886)

X-Ref Target - Figure 9

Figure 9 AMS101 Evaluator GUI

UG883_09_121112

Select COM Port Here

KC705 Getting Started Guide wwwxilinxcom 17UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

Advanced Bring-up Using the Base Targeted Reference DesignThe primary components of the Kintex-7 FPGA Base TRD are

bull Integrated Endpoint block for PCI Express (PCIe) See 7 Series FPGAs Integrated Block for PCI Express User Guide (UG477)

bull Northwest Logic Packet DMA [Ref 5]

bull Multiport Virtual FIFO

The TRD system can sustain up to 10 Gbs throughput end to end

Figure 10 provides an overview of the TRD

Note In Figure 10 the arrows indicate AXI interface directions (from master to slave) They do not indicate data flow directions

ComponentsThe Kintex-7 FPGA Base TRD features these components

bull Kintex-7 FPGA integrated Endpoint block for PCI Express

bull Configured with 4 lanes at a 5 Gbs link rate (Gen2) or 8 lanes at a 25 Gbs link rate (Gen1) for PCI Express v20

bull Provides a user interface compliant with AXI4-Stream interface protocol

bull Performance monitor tracks the integrated blockrsquos AXI4-Stream interface for PCIe transactions

bull Bus Mastering Scatter-Gather Packet DMA from Northwest Logic a multichannel DMA

bull Supports full-duplex operation with independent transmit and receive paths

X-Ref Target - Figure 10

Figure 10 Kintex-7 FPGA Base TRD Block Diagram

UG883_10_121112

Multiport Virtual FIFO

DD

R3

IO

Software

Multi-ChannelDMA for PCIe DDR3

Cha

nnel

-0C

2SS

2CC

hann

el-1

S2C

C2S

64 x1600 Mbs

PC

Ie x

4Gen

2 Li

nk

PC

Ie x

8Gen

1 Li

nk

VFIFOController

SoftwareDriver

Interface Blocks in FPGA Third Party IPXilinx IP On BoardCustom Logic

AXI-ST AXI-MM

Hardware

VFIFOController

VFIFOController

VFIFOController

Raw Packet Data Block

Checker

Generator

Loop

back

Loop

back

Raw Packet Data Block

Generator

Checker

User SpaceRegisters

Target InterfaceAXI Master

256 x200 MHz

64 x

250

MH

z

64 x250 MHz

64 x250 MHz

64 x250 MHz

64 x250 MHz

PerformanceMonitor

GU

I

GT

X T

rans

ceiv

er

Inte

grat

ed E

ndpo

int B

lock

for

PC

I Exp

ress

AX

I-S

T B

asic

Wra

pper

AXIMIG

AXIInterconnect

SI SI

SI SIM

I

18 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

bull Provides an AXI4-Stream interface on the back end

bull Monitors the performance of data transfers in receive and transmit directions

bull Provides an AXI4 memory-mapped target interface to access user-defined registers

Note The Northwest Logic Packet DMA shipped with the Base TRD is an evaluation version and expires after 12 hours of run time To get the full version contact Northwest Logic [Ref 7]

bull Multiport Virtual FIFO

bull DDR3 SDRAM SODIMM (64-bit 1600 Mbs 800 MHz) is used for buffering packets The memory controller delivered through the memory interface generator (MIG) tool interfaces to the DDR3 memory

bull AXI Interconnect IP along with the memory controller supports multiple ports on the memory

bull The Packetized Virtual FIFO controller controls addressing of the DDR3 memory for each port allowing DDR3 to be used as Virtual Packet FIFO

bull Software driver for a 32-bit Linux platform

bull Configures the hardware design parameters

bull Generates and consumes traffic

bull Provides a GUI to report status and performance statistics

The Kintex-7 FPGA integrated Endpoint block for PCI Express and the Packet DMA are responsible for data transfers from host system to Endpoint card (S2C) and Endpoint card to host system (C2S) Data to and from the host is stored in a Virtual FIFO built around the DDR3 memory This Multiport Virtual FIFO abstraction layer around the DDR3 memory allows the user to move traffic efficiently without the need to manage addressing and arbitration on the memory interface It also provides more depth than storage implemented using Block RAMs

The integrated Endpoint block for PCI Express Packet DMA and Multiport Virtual FIFO form the base system The base system can bridge the host to any user application running on the other end The raw data packet module is a dummy application that generates and consumes packets It can be replaced by any user specific protocol like Aurora or XAUI

The software driver runs on the host system It generates raw data traffic for transmit operations in the S2C direction It also consumes the data looped back or generated at the application end in the C2S direction

Hardware Test Setup RequirementsThese are the prerequisites for testing the design in hardware

bull KC705 Evaluation board with the Kintex-7 FPGA XC7K325T-2FFG900C device

bull Design files provided as a zipped collection under the Docs amp Designs tab at wwwxilinxcomkc705 include

bull Design source files

bull Device driver files

bull Board design files

bull Documentation

bull Vivado Design Suite

bull ISE Programming tools or LabTools

KC705 Getting Started Guide wwwxilinxcom 19UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

bull Micro USB cable

bull 4-pin to 6-pin PCIe adapter cable

bull Fedora 16 Live DVD [Ref 6]

bull PC with PCIe v20 slot For a complete list of machines tested and all known issues refer to the Kintex-7 FPGA Base Targeted Reference Design Release Notes and Known Issues Master Answer Record (httpwwwxilinxcomsupportanswers45679htm) This PC could also have Fedora Core 16 Linux OS installed on it

TRD Demonstration SetupThis section describes hardware setup and use of the application GUI to help the user get started quickly with the design in hardware It provides a step-by-step explanation of hardware bring-up and describes using the application GUI

When following the demonstration setup steps for the Kintex-7 FPGA Base TRD if the behavior is not as described refer to the known issues at wwwxilinxcomsupportanswers45679htm

Board Setup

This section describes how to set up the KC705 Evaluation board required to demonstrate the TRD

1 Set the KC705 Jumpers and Switches Verify that the KC705 Evaluation board jumpers and switches are set as shown in Table 2 and Figure 11

Table 2 Switch and Jumper Settings

Jumper Function Setting

J32 PCIe configuration width mdash 4 lane design Jump 3-4

Switch Function or Type Setting

SW15 Board power slide-switch Off

SW11 User GPIO DIP switch

4 Off

3 Off

2 Off

1 Off

S13 DIP switch SW13 positions 1 and 2 control the setting of address bits of the flashDIP switch SW13 positions 3 4 and 5 control which configuration mode

5 (M0) M2 =0 M1=1 M0=0 ndash Master BPIM2 =0 M1=0 M0=1 ndash Master SPIM2 =1 M1=0 M0=1 ndash JTAG

Off

4 (M1) On

3 (M2) Off

2 Off

1 Off

20 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

Hardware Bring-Up

This section presents steps for hardware bring-up

1 With the host system switched off insert the KC705 board in the PCIe slot through the PCI Express x8 or x16 edge connector (Figure 12)

The TRD programmed on the KC705 board has a 4-lane PCIe v20 configuration running at a 5 Gbs link rate per lane The PCI Express specification allows for a smaller lane width Endpoint to be installed into a larger lane width PCIe connector

2 Figure 13 shows the 12V power connection Connect the 12V ATX power supplyrsquos available 4-pin connector to the board (J49) via a 4-pin to 6-pin PCIe adapter cable Toggle the Power switch SW15 to the ON position

X-Ref Target - Figure 11

Figure 11 Switch and Jumper Settings

UG883_11_040913

J27 J28

J29 J30SW13

J32

SW15SW11

X-Ref Target - Figure 12if

Figure 12 KC705 Board Plugged into a PCIe x16 Slot

UG883_12_040913

KC705 Getting Started Guide wwwxilinxcom 21UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

3 Make sure the connections are tight and then power on the PC system

Note If the user wishes to boot Linux from the Fedora 16 Live DVD place the DVD in the PCrsquos DVD-ROM drive as soon as the PC system is powered on

4 Verify the status of the design on the KC705 LEDs The design provides status on the GPIO LEDs on the upper right of the KC705 board (Figure 14) After the PC system is powered on and the TRD has successfully configured status LEDs from right to left should indicate

bull LED 0 mdash ON if the PCIe link is up

bull LED 1 mdash Flashes if the PCIe user clock is present

bull LED 2 mdash ON if lane width is what is expected else it flashes (for a 4 lane design the expected lane width is 4 for an 8 lane design the expected lane width is 8)

bull LED 3 mdash ON if memory calibration is done

bull LED 4 to LED 7 mdash Not connected

X-Ref Target - Figure 13

Figure 13 Power Supply Connection

UG883_13_121112

SW15

J49 6-pinConnector

12V ATX PowerSupply Pluggedinto the 4-pinConnector

22 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

Install the Linux Driver

1 If Fedora 16 is installed on the PC systemrsquos hard disk boot as a root-privileged user and skip to step 3 page 23

2 To boot from the Fedora 16 Live DVD provided in the kit place the DVD in the PCrsquos DVD-ROM drive The Fedora 16 Live Media is for Intel-compatible PCs The DVD contains a complete bootable 32-bit Fedora 16 environment with the proper packages installed for the TRD demonstration environment For more details see Fedora Information page 2 The PC boots from the DVD-ROM drive and logs into a liveuser account This account has kernel development root privileges required to install and remove device driver modules

Note Users might have to adjust BIOS boot order settings to make sure that the DVD-ROM drive is the first drive in the boot order To enter the BIOS menu to set the boot order press the DEL or F2 key when the system is powered on Set the boot order and save the changes (The DEL or F2 key is used by most PC systems to enter the BIOS setup Some PCs might have a different way to enter the BIOS setup)

The PC should boot from the DVD-ROM drive The images in Figure 15 are seen on the monitor during boot up

X-Ref Target - Figure 14

Figure 14 Location of GPIO Status LEDs (Indicates TRD Status)

UG883_14_121112

KC705 Getting Started Guide wwwxilinxcom 23UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

3 After Fedora 16 Core boots open a terminal window (click Activities click Application scroll down and click the Terminal icon) To find out if the PCIe Endpoint is detected at the terminal command line type

$ lspci

The lspci command displays the devices in the PCI and PCI Express buses of the PC On the bus of the KC705 card slot is the message

Communication controller Xilinx Corporation Device 7042

This message confirms that the design programmed into the KC705 board has been found by the BIOS and the Fedora 16 OS The bus number varies depending on which PC motherboard and slot are used Figure 16 shows a lspci output for an example system Xilinx device 7042 has been found by the BIOS on bus number 2 (02000 - busdevfunction)

X-Ref Target - Figure 15

Figure 15 Fedora 16 Live DVD BootingFirst Screen Last Boot Screen Booted

UG883 15 040913

X-Ref Target - Figure 16

Figure 16 PCI and PCI Express Bus Devices

UG883_16_040913

24 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

4 Download the reference design from the Docs amp Designs tab at wwwxilinxcomkc705 and copy the k7_pcie_dma_ddr3_base folder into any directory

5 To set up and run the TRD demonstration the software driver should be installed on the PC system Software driver installation involves

a Building the kernel objects and the GUI

b Inserting the driver modules into the kernel

After the driver modules are loaded the application GUI can be invoked The user can set parameters through the GUI and run the TRD

When the user is done running the TRD the application GUI can be closed and the drivers can be removed

A script is provided to execute all the above actions so that the user can quickly start the TRD

The k7_trd_lin_quickstart script is available in the k7_pcie_dma_ddr3_base folder Right click the script select properties go to the permissions tab check the box Allow executing file as programmdashthis makes the script executable Close the window

To run the script double-click k7_trd_lin_quickstart in the k7_pcie_dma_ddr3_base folder (Figure 17) The window prompt in Figure 18 appears

X-Ref Target - Figure 17

Figure 17 Load Driver and Launch Application GUI

UG883_17_121112

KC705 Getting Started Guide wwwxilinxcom 25UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

Click Run in Terminal to proceed The application GUI is invoked

Proceed to the next section Using the Application GUI to set design parameters and run the TRD

X-Ref Target - Figure 18

Figure 18 Run in Terminal

UG883_18_040913

26 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

Using the Application GUI

When the drivers are loaded and the Performance Monitor GUI is invoked the user can configure the sending and receiving of data The GUI allows the user to observe the collected statistics and other status information

1 Click the System Status tab to verify the status of the KC705 board and the PCIe link (see Figure 19 and Table 3)

X-Ref Target - Figure 19

Figure 19 Verify Board Status in the Performance Monitor

UG883_19_121112

KC705 Getting Started Guide wwwxilinxcom 27UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

2 To start data traffic on the two data paths

a Click Start Test on Raw Data Path0 as shown in Figure 20 This enables the driver to start generating the data for Raw Data Path0

b Click Start Test on Raw Data Path1 as shown in Figure 20 This enables the driver to start generating the data for Raw Data Path1

Table 3 KC705 Board Status Field Explanations

Field Status Explanation

Link Status Up This confirms that the PCIe link is up and a PCIe connection is established between the Kintex-7 FPGA Endpoint for PCI Express and the PC motherboard chipset

Link Speed 50 Gbs This confirms that the PCIe link is operating at line rate speed per PCI Express v20

Link Width x4 This confirms that the PCIe link is trained as a x4 link

28 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

3 Verify TRD operations through the status information provided by the GUI (see Figure 21)

a Verify the PCIe throughput

b Verify the DMA channel throughput for the Raw Data Path0

c Verify the DMA channel throughput for the Raw Data Path1

d Verify there are no buffer descriptor errors for error-free operation

X-Ref Target - Figure 20

Figure 20 Start Data Traffic from the Performance Monitor

UG883_20_121112

KC705 Getting Started Guide wwwxilinxcom 29UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

The Kintex-7 FPGA PCIe-DMA TRD is now set up and running Close the Application GUI to unload the software drivers and stop traffic flow

X-Ref Target - Figure 21

Figure 21 Verify Error-Free Operation in the Performance Monitor

UG883_21_121112

30 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

Evaluating the Kintex-7 FPGA Base TRDThe Kintex-7 FPGA Base TRD provides a Performance and Status monitor application and GUI The application enables customers to evaluate different system parameters This section demonstrates performance variances for the PCI Express and DMA interfaces based on the parameters set

To evaluate the Kintex-7 FPGA Base TRD

1 Launch the Performance Monitor for the Kintex-7 FPGA Base TRD

a Navigate to the k7_pcie_dma_ddr3_base folder

b Double-click k7_lin_trd_quickstart (Figure 22)mdashmake sure that the script has executable permissionmdashto launch the Performance Monitor and Status GUI

c A window prompt appears as shown in Figure 23 Click Run in Terminal to proceed

2 Set up the test parameters in the Performance Monitor

a Two data paths are available Raw Data Path0 and Raw Data Path1 On each path set the Packet Size to a value between 64 ndash 32768 bytes

X-Ref Target - Figure 22

Figure 22 Launch the Performance Monitor and Status GUI

UG883_22_121112

X-Ref Target - Figure 23

Figure 23 Run k7_lin_trd_quickstart

UG883_23_121112

KC705 Getting Started Guide wwwxilinxcom 31UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

3 Execute the test and view payload statistics in the Performance and Status Monitor (see Figure 24)

a Click Start Test to start the performance test

b Click the Payload Statistics tab to view data transfers on the DMA channels

c Click Stop Test to stop data traffic

d Vary the Packet Size parameters for the Raw Data Paths (see Figure 25) and click Start Test Then view the payload statistics to review data transfer rate on the DMA channels With a decrease in packet size the performance drops

Note Before changing packet size click Stop Test change the size and then click Start Test

X-Ref Target - Figure 24

Figure 24 Payload Statistics

UG883_24_121112

32 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

Note For packet sizes equal to 64 or 128 bytes the throughput is reduced and might not be visible on the Payload Statistics tab The exact values can be viewed on the System Status tab

4 Click the PCIe Statistics tab to view data transfer numbers with varying packet sizes on the PCIe interface (Figure 26)

X-Ref Target - Figure 25

Figure 25 Effect of Varying Packet Sizes on Performance

UG883_25_121112

KC705 Getting Started Guide wwwxilinxcom 33UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

The system performance of the Kintex-7 FPGA Base TRD has now been evaluated using the pre-built demonstration design bit file

Now that the Kintex-7 FPGA Base TRD demonstration has been set up and evaluated the design can be modified Before the design can be modified make sure to install the Vivado Design Suite on a PC It is not required that tools be installed on the PC system in which the KC705 evaluation board is plugged in by way of the PCIe edge connector

X-Ref Target - Figure 26

Figure 26 PCIe Statistics in the Performance Monitor

UG883_26_121112

34 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

KC705 Getting Started Guide wwwxilinxcom 35UG883 (v30) April 16 2013

Appendix A

Additional Resources

Xilinx ResourcesFor support resources such as Answers Documentation Downloads and Forums see the Xilinx Support website at

wwwxilinxcomsupport

For continual updates add the Answer Record to your myAlerts

wwwxilinxcomsupportmyalerts

For a glossary of technical terms used in Xilinx documentation see

wwwxilinxcomcompanytermshtm

Solution CentersSee the Xilinx Solution Centers for support on devices software tools and intellectual property at all stages of the design cycle Topics include design assistance advisories and troubleshooting tips

Further ResourcesThe most up to date information related to the KC705 board and its documentation is available on the following websites

The Kintex-7 FPGA KC705 Evaluation Kit Product Page

wwwxilinxcomkc705

The Kintex-7 FPGA KC705 Evaluation Kit Master Answer Record

wwwxilinxcomsupportanswers47787htm

Design advisories by software release for the Kintex-7 FPGA KC705 Evaluation Kit

wwwxilinxcomsupportnav=sd-nav-link-179661amptab=tab-bk

Kintex-7 FPGA Base Targeted Reference Design Release Notes and Known Issues Master Answer Record

wwwxilinxcomsupportanswers45679htm

These documents provide supplemental material useful with this user guide

UG810 KC705 Evaluation Board for the Kintex-7 FPGA User Guide

UG845 KC705 Reference Design User Guide

36 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Appendix A Additional Resources

UG798 Xilinx Design Tools Installation and Licensing Guide Vivado Design Suite and ISE Design Suite

UG908 Vivado Design Suite User Guide Programming and Debugging

UG882 Kintex-7 FPGA KC705 Base Targeted Reference Design User Guide (Vivado Design Suite 20124)

UG886 AMS101 Evaluation Card User Guide

UG477 7 Series FPGAs Integrated Block for PCI Express User Guide

ReferencesThe following websites provide supplemental material useful with this guide

1 LabVIEW 32-bit Run-Time Enginehttpjoulenicomniducdsviewpid2534langen

2 LabVIEW 64-bit Run-Time Engine httpjoulenicomniducdsviewpid2536langen

3 Tera Term Pro program httphpvectorcojpauthorsVA002416teratermhtml

4 Drivers on the Silicon Labs site httpwwwsilabscomSupport20DocumentsSoftwareCP210x_VCP_Win_XP_S2K3_Vista_7exe

5 Northwest Logic DMA back end core httpwwwnwlogiccompacketdma

6 Fedora project httpfedoraprojectorg

Fedora is a Linux-based operating system used in the development of this TRD

7 The GTK+ project API documentation httpwwwgtkorgdocumentationphp

GTK+ is a toolkit for creating graphical user interfaces (GUI)

KC705 Getting Started Guide wwwxilinxcom 37UG883 (v30) April 16 2013

Appendix B

Warranty

THIS LIMITED WARRANTY applies solely to standard hardware development boards and standard hardware programming cables manufactured by or on behalf of Xilinx (ldquoDevelopment Systemsrdquo) Subject to the limitations herein Xilinx warrants that Development Systems when delivered by Xilinx or its authorized distributor for ninety (90) days following the delivery date will be free from defects in material and workmanship and will substantially conform to Xilinx publicly available specifications for such products in effect at the time of delivery This limited warranty excludes (i) engineering samples or beta versions of Development Systems (which are provided ldquoAS ISrdquo without warranty) (ii) design defects or errors known as ldquoerratardquo (iii) Development Systems procured through unauthorized third parties and (iv) Development Systems that have been subject to misuse mishandling accident alteration neglect unauthorized repair or installation Furthermore this limited warranty shall not apply to the use of covered products in an application or environment that is not within Xilinx specifications or in the event of any act error neglect or default of Customer For any breach by Xilinx of this limited warranty the exclusive remedy of Customer and the sole liability of Xilinx shall be at the option of Xilinx to replace or repair the affected products or to refund to Customer the price of the affected products The availability of replacement products is subject to product discontinuation policies at Xilinx Customer may not return product without first obtaining a customer return material authorization (RMA) number from XilinxTHE WARRANTIES SET FORTH HEREIN ARE EXCLUSIVE XILINX DISCLAIMS ALL OTHER WARRANTIES WHETHER EXPRESS IMPLIED OR STATUTORY INCLUDING WITHOUT LIMITATION ANY WARRANTY OF MERCHANTABILITY FITNESS FOR A PARTICULAR PURPOSE OR NON-INFRINGEMENT AND ANY WARRANTY THAT MAY ARISE FROM COURSE OF DEALING COURSE OF PERFORMANCE OR USAGE OF TRADE (200810)

Do not throw Xilinx products marked with the ldquocrossed out wheeled binrdquo in the trash Directive 200296EC on waste electrical and electronic equipment (WEEE) requires the separate collection of WEEE Your cooperation is essential in ensuring the proper management of WEEE and the protection of the environment and human health from potential effects arising from the presence of hazardous substances in WEEE Return the marked products to Xilinx for proper disposal Further information and instructions for free-of-charge return available at httpwwwxilinxcomehsweeehtm

38 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Appendix B Warranty

  • Kintex-7 FPGA KC705 Evaluation Kit (Vivado Design Suite 20131)
    • Revision History
    • Table of Contents
    • Getting Started with the Kintex-7 FPGA KC705 Evaluation Kit (Vivado Design Suite 20131)
      • Introduction
      • Kit Contents
      • Basic Hardware Bring-up Using the BIST
        • Hardware Test Setup Requirements
          • Hardware Test Board Setup Requirements
            • Hardware Bring-Up
            • Run the BIST Application
              • AMS Bring-up with the AMS101 Evaluation Card
                • Requirements to Get Started
                • Evaluating AMS
                  • Advanced Bring-up Using the Base Targeted Reference Design
                    • Components
                    • Hardware Test Setup Requirements
                    • TRD Demonstration Setup
                    • Evaluating the Kintex-7 FPGA Base TRD
                        • Additional Resources
                          • Xilinx Resources
                          • Solution Centers
                          • Further Resources
                          • References
                            • Warranty
                                • ltlt ASCII85EncodePages false AllowTransparency false AutoPositionEPSFiles true AutoRotatePages All Binding Left CalGrayProfile (Dot Gain 20) CalRGBProfile (sRGB IEC61966-21) CalCMYKProfile (US Web Coated 050SWOP051 v2) sRGBProfile (sRGB IEC61966-21) CannotEmbedFontPolicy Warning CompatibilityLevel 16 CompressObjects Tags CompressPages true ConvertImagesToIndexed true PassThroughJPEGImages true CreateJobTicket false DefaultRenderingIntent Default DetectBlends true DetectCurves 00000 ColorConversionStrategy LeaveColorUnchanged DoThumbnails false EmbedAllFonts true EmbedOpenType false ParseICCProfilesInComments true EmbedJobOptions true DSCReportingLevel 0 EmitDSCWarnings false EndPage -1 ImageMemory 1048576 LockDistillerParams true MaxSubsetPct 100 Optimize true OPM 1 ParseDSCComments true ParseDSCCommentsForDocInfo true PreserveCopyPage true PreserveDICMYKValues true PreserveEPSInfo true PreserveFlatness true PreserveHalftoneInfo false PreserveOPIComments false PreserveOverprintSettings true StartPage 1 SubsetFonts true TransferFunctionInfo Apply UCRandBGInfo Preserve UsePrologue false ColorSettingsFile () AlwaysEmbed [ true ] NeverEmbed [ true ] AntiAliasColorImages false CropColorImages true ColorImageMinResolution 300 ColorImageMinResolutionPolicy OK DownsampleColorImages false ColorImageDownsampleType Bicubic ColorImageResolution 300 ColorImageDepth -1 ColorImageMinDownsampleDepth 1 ColorImageDownsampleThreshold 150000 EncodeColorImages true ColorImageFilter DCTEncode AutoFilterColorImages true ColorImageAutoFilterStrategy JPEG ColorACSImageDict ltlt QFactor 040 HSamples [1 1 1 1] VSamples [1 1 1 1] gtgt ColorImageDict ltlt QFactor 015 HSamples [1 1 1 1] VSamples [1 1 1 1] gtgt JPEG2000ColorACSImageDict ltlt TileWidth 256 TileHeight 256 Quality 30 gtgt JPEG2000ColorImageDict ltlt TileWidth 256 TileHeight 256 Quality 30 gtgt AntiAliasGrayImages false CropGrayImages true GrayImageMinResolution 300 GrayImageMinResolutionPolicy OK DownsampleGrayImages false GrayImageDownsampleType Bicubic GrayImageResolution 300 GrayImageDepth -1 GrayImageMinDownsampleDepth 2 GrayImageDownsampleThreshold 150000 EncodeGrayImages true GrayImageFilter DCTEncode AutoFilterGrayImages true GrayImageAutoFilterStrategy JPEG GrayACSImageDict ltlt QFactor 040 HSamples [1 1 1 1] VSamples [1 1 1 1] gtgt GrayImageDict ltlt QFactor 015 HSamples [1 1 1 1] VSamples [1 1 1 1] gtgt JPEG2000GrayACSImageDict ltlt TileWidth 256 TileHeight 256 Quality 30 gtgt JPEG2000GrayImageDict ltlt TileWidth 256 TileHeight 256 Quality 30 gtgt AntiAliasMonoImages false CropMonoImages true MonoImageMinResolution 1200 MonoImageMinResolutionPolicy OK DownsampleMonoImages false MonoImageDownsampleType Bicubic MonoImageResolution 1200 MonoImageDepth -1 MonoImageDownsampleThreshold 150000 EncodeMonoImages true MonoImageFilter CCITTFaxEncode MonoImageDict ltlt K -1 gtgt AllowPSXObjects false CheckCompliance [ None ] PDFX1aCheck false PDFX3Check false PDFXCompliantPDFOnly false PDFXNoTrimBoxError true PDFXTrimBoxToMediaBoxOffset [ 000000 000000 000000 000000 ] PDFXSetBleedBoxToMediaBox true PDFXBleedBoxToTrimBoxOffset [ 000000 000000 000000 000000 ] PDFXOutputIntentProfile (None) PDFXOutputConditionIdentifier () PDFXOutputCondition () PDFXRegistryName () PDFXTrapped False CreateJDFFile false Description ltlt ARA ltFEFF06270633062A062E062F0645002006470630064700200627064406250639062F0627062F0627062A002006440625064606340627062100200648062B062706260642002000410064006F00620065002000500044004600200645062A0648062706410642062900200644064406370628062706390629002006300627062A002006270644062C0648062F0629002006270644063906270644064A06290020064506460020062E06440627064400200627064406370627062806390627062A00200627064406450643062A0628064A062900200623064800200623062C06470632062900200625062C06310627062100200627064406280631064806410627062A061B0020064A06450643064600200641062A062D00200648062B0627062606420020005000440046002006270644064506460634062306290020062806270633062A062E062F062706450020004100630072006F0062006100740020064800410064006F006200650020005200650061006400650072002006250635062F0627063100200035002E0030002006480627064406250635062F062706310627062A0020062706440623062D062F062B002E0020064506390020005000440046002F0041060C0020062706440631062C062706210020064506310627062C063906290020062F0644064A0644002006450633062A062E062F06450020004100630072006F006200610074061B0020064A06450643064600200641062A062D00200648062B0627062606420020005000440046002006270644064506460634062306290020062806270633062A062E062F062706450020004100630072006F0062006100740020064800410064006F006200650020005200650061006400650072002006250635062F0627063100200035002E0030002006480627064406250635062F062706310627062A0020062706440623062D062F062B002Egt BGR ltFEFF04180437043f043e043b043704320430043904420435002004420435043704380020043d0430044104420440043e0439043a0438002c00200437043000200434043000200441044a0437043404300432043004420435002000410064006f00620065002000500044004600200434043e043a0443043c0435043d044204380020043704300020043a0430044704350441044204320435043d0020043f04350447043004420020043d04300020043d043004410442043e043b043d04380020043f04400438043d04420435044004380020043800200443044104420440043e043904410442043204300020043704300020043f04350447043004420020043d04300020043f0440043e0431043d04380020044004300437043f0435044704300442043a0438002e002000200421044a04370434043004340435043d043804420435002000500044004600200434043e043a0443043c0435043d044204380020043c043e0433043004420020043404300020044104350020043e0442043204300440044f0442002004410020004100630072006f00620061007400200438002000410064006f00620065002000520065006100640065007200200035002e00300020043800200441043b0435043404320430044904380020043204350440044104380438002egt CHS ltFEFF4f7f75288fd94e9b8bbe5b9a521b5efa7684002000500044004600206587686353ef901a8fc7684c976262535370673a548c002000700072006f006f00660065007200208fdb884c9ad88d2891cf62535370300260a853ef4ee54f7f75280020004100630072006f0062006100740020548c002000410064006f00620065002000520065006100640065007200200035002e003000204ee553ca66f49ad87248672c676562535f00521b5efa768400200050004400460020658768633002gt CHT ltFEFF4f7f752890194e9b8a2d7f6e5efa7acb7684002000410064006f006200650020005000440046002065874ef653ef5728684c9762537088686a5f548c002000700072006f006f00660065007200204e0a73725f979ad854c18cea7684521753706548679c300260a853ef4ee54f7f75280020004100630072006f0062006100740020548c002000410064006f00620065002000520065006100640065007200200035002e003000204ee553ca66f49ad87248672c4f86958b555f5df25efa7acb76840020005000440046002065874ef63002gt CZE ltFEFF005400610074006f0020006e006100730074006100760065006e00ed00200070006f0075017e0069006a007400650020006b0020007600790074007600e101590065006e00ed00200064006f006b0075006d0065006e0074016f002000410064006f006200650020005000440046002000700072006f0020006b00760061006c00690074006e00ed0020007400690073006b0020006e0061002000730074006f006c006e00ed006300680020007400690073006b00e10072006e00e100630068002000610020006e00e1007400690073006b006f007600fd006300680020007a0061015900ed007a0065006e00ed00630068002e002000200056007900740076006f01590065006e00e900200064006f006b0075006d0065006e007400790020005000440046002000620075006400650020006d006f017e006e00e90020006f007400650076015900ed007400200076002000700072006f006700720061006d0065006300680020004100630072006f00620061007400200061002000410064006f00620065002000520065006100640065007200200035002e0030002000610020006e006f0076011b006a016100ed00630068002egt DAN ltFEFF004200720075006700200069006e0064007300740069006c006c0069006e006700650072006e0065002000740069006c0020006100740020006f007000720065007400740065002000410064006f006200650020005000440046002d0064006f006b0075006d0065006e007400650072002000740069006c0020006b00760061006c00690074006500740073007500640073006b007200690076006e0069006e006700200065006c006c006500720020006b006f007200720065006b007400750072006c00e60073006e0069006e0067002e0020004400650020006f007000720065007400740065006400650020005000440046002d0064006f006b0075006d0065006e0074006500720020006b0061006e002000e50062006e00650073002000690020004100630072006f00620061007400200065006c006c006500720020004100630072006f006200610074002000520065006100640065007200200035002e00300020006f00670020006e0079006500720065002egt DEU ltFEFF00560065007200770065006e00640065006e0020005300690065002000640069006500730065002000450069006e007300740065006c006c0075006e00670065006e0020007a0075006d002000450072007300740065006c006c0065006e00200076006f006e002000410064006f006200650020005000440046002d0044006f006b0075006d0065006e00740065006e002c00200076006f006e002000640065006e0065006e002000530069006500200068006f00630068007700650072007400690067006500200044007200750063006b006500200061007500660020004400650073006b0074006f0070002d0044007200750063006b00650072006e00200075006e0064002000500072006f006f0066002d00470065007200e400740065006e002000650072007a0065007500670065006e0020006d00f60063006800740065006e002e002000450072007300740065006c006c007400650020005000440046002d0044006f006b0075006d0065006e007400650020006b00f6006e006e0065006e0020006d006900740020004100630072006f00620061007400200075006e0064002000410064006f00620065002000520065006100640065007200200035002e00300020006f0064006500720020006800f600680065007200200067006500f600660066006e00650074002000770065007200640065006e002egt ESP ltFEFF005500740069006c0069006300650020006500730074006100200063006f006e0066006900670075007200610063006900f3006e0020007000610072006100200063007200650061007200200064006f00630075006d0065006e0074006f0073002000640065002000410064006f0062006500200050004400460020007000610072006100200063006f006e00730065006700750069007200200069006d0070007200650073006900f3006e002000640065002000630061006c006900640061006400200065006e00200069006d0070007200650073006f0072006100730020006400650020006500730063007200690074006f00720069006f00200079002000680065007200720061006d00690065006e00740061007300200064006500200063006f00720072006500630063006900f3006e002e002000530065002000700075006500640065006e00200061006200720069007200200064006f00630075006d0065006e0074006f00730020005000440046002000630072006500610064006f007300200063006f006e0020004100630072006f006200610074002c002000410064006f00620065002000520065006100640065007200200035002e003000200079002000760065007200730069006f006e0065007300200070006f00730074006500720069006f007200650073002egt ETI ltFEFF004b00610073007500740061006700650020006e0065006900640020007300e4007400740065006900640020006c006100750061002d0020006a00610020006b006f006e00740072006f006c006c007400f5006d006d006900730065007000720069006e0074006500720069007400650020006a0061006f006b00730020006b00760061006c006900740065006500740073006500740065002000410064006f006200650020005000440046002d0064006f006b0075006d0065006e00740069006400650020006c006f006f006d006900730065006b0073002e002e00200020004c006f006f0064007500640020005000440046002d0064006f006b0075006d0065006e00740065002000730061006100740065002000610076006100640061002000700072006f006700720061006d006d006900640065006700610020004100630072006f0062006100740020006e0069006e0067002000410064006f00620065002000520065006100640065007200200035002e00300020006a00610020007500750065006d006100740065002000760065007200730069006f006f006e00690064006500670061002e000d000agt FRA ltFEFF005500740069006c006900730065007a00200063006500730020006f007000740069006f006e00730020006100660069006e00200064006500200063007200e900650072002000640065007300200064006f00630075006d0065006e00740073002000410064006f00620065002000500044004600200070006f007500720020006400650073002000e90070007200650075007600650073002000650074002000640065007300200069006d007000720065007300730069006f006e00730020006400650020006800610075007400650020007100750061006c0069007400e90020007300750072002000640065007300200069006d007000720069006d0061006e0074006500730020006400650020006200750072006500610075002e0020004c0065007300200064006f00630075006d0065006e00740073002000500044004600200063007200e900e90073002000700065007500760065006e0074002000ea0074007200650020006f007500760065007200740073002000640061006e00730020004100630072006f006200610074002c002000610069006e00730069002000710075002700410064006f00620065002000520065006100640065007200200035002e0030002000650074002000760065007200730069006f006e007300200075006c007400e90072006900650075007200650073002egt GRE ltFEFF03a703c103b703c303b903bc03bf03c003bf03b903ae03c303c403b5002003b103c503c403ad03c2002003c403b903c2002003c103c503b803bc03af03c303b503b903c2002003b303b903b1002003bd03b1002003b403b703bc03b903bf03c503c103b303ae03c303b503c403b5002003ad03b303b303c103b103c603b1002000410064006f006200650020005000440046002003b303b903b1002003b503ba03c403cd03c003c903c303b7002003c003bf03b903cc03c403b703c403b103c2002003c303b5002003b503ba03c403c503c003c903c403ad03c2002003b303c103b103c603b503af03bf03c5002003ba03b103b9002003b403bf03ba03b903bc03b103c303c403ad03c2002e0020002003a403b10020005000440046002003ad03b303b303c103b103c603b1002003c003bf03c5002003ad03c703b503c403b5002003b403b703bc03b903bf03c503c103b303ae03c303b503b9002003bc03c003bf03c103bf03cd03bd002003bd03b1002003b103bd03bf03b903c703c403bf03cd03bd002003bc03b5002003c403bf0020004100630072006f006200610074002c002003c403bf002000410064006f006200650020005200650061006400650072002000200035002e0030002003ba03b103b9002003bc03b503c403b103b303b503bd03ad03c303c403b503c103b503c2002003b503ba03b403cc03c303b503b903c2002egt HEB ltFEFF05D405E905EA05DE05E905D5002005D105D405D205D305E805D505EA002005D005DC05D4002005DB05D305D9002005DC05D905E605D505E8002005DE05E105DE05DB05D9002000410064006F006200650020005000440046002005E205D105D505E8002005D405D305E405E105D4002005D005D905DB05D505EA05D905EA002005D105DE05D305E405E105D505EA002005E905D505DC05D705E005D905D505EA002005D505DB05DC05D9002005D405D205D405D4002E002005DE05E105DE05DB05D9002005D4002D005000440046002005E905E005D505E605E805D905DD002005E005D905EA05E005D905DD002005DC05E405EA05D905D705D4002005D105D005DE05E605E205D505EA0020004100630072006F006200610074002005D5002D00410064006F00620065002000520065006100640065007200200035002E0030002005D505D205E805E105D005D505EA002005DE05EA05E705D305DE05D505EA002005D905D505EA05E8002Egt HRV ltFEFF005a00610020007300740076006100720061006e006a0065002000410064006f00620065002000500044004600200064006f006b0075006d0065006e0061007400610020007a00610020006b00760061006c00690074006500740061006e0020006900730070006900730020006e006100200070006900730061010d0069006d006100200069006c0069002000700072006f006f006600650072002000750072006501110061006a0069006d0061002e00200020005300740076006f00720065006e0069002000500044004600200064006f006b0075006d0065006e007400690020006d006f006700750020007300650020006f00740076006f00720069007400690020004100630072006f00620061007400200069002000410064006f00620065002000520065006100640065007200200035002e0030002000690020006b00610073006e0069006a0069006d0020007600650072007a0069006a0061006d0061002egt HUN ltFEFF004d0069006e0151007300e9006700690020006e0079006f006d00610074006f006b0020006b00e90073007a00ed007400e9007300e900680065007a002000610073007a00740061006c00690020006e0079006f006d00740061007400f3006b006f006e002000e9007300200070007200f300620061006e0079006f006d00f3006b006f006e00200065007a0065006b006b0065006c0020006100200062006500e1006c006c00ed007400e10073006f006b006b0061006c002c00200068006f007a007a006f006e0020006c00e9007400720065002000410064006f00620065002000500044004600200064006f006b0075006d0065006e00740075006d006f006b00610074002e0020002000410020006c00e90074007200650068006f007a006f00740074002000500044004600200064006f006b0075006d0065006e00740075006d006f006b00200061007a0020004100630072006f006200610074002c00200061007a002000410064006f00620065002000520065006100640065007200200035002e0030002000e9007300200061007a002000610074007400f3006c0020006b00e9007301510062006200690020007600650072007a006900f3006b006b0061006c00200020006e00790069007400680061007400f3006b0020006d00650067002egt ITA ltFEFF005500740069006c0069007a007a006100720065002000710075006500730074006500200069006d0070006f007300740061007a0069006f006e00690020007000650072002000630072006500610072006500200064006f00630075006d0065006e00740069002000410064006f006200650020005000440046002000700065007200200075006e00610020007300740061006d007000610020006400690020007100750061006c0069007400e00020007300750020007300740061006d00700061006e0074006900200065002000700072006f006f0066006500720020006400650073006b0074006f0070002e0020004900200064006f00630075006d0065006e007400690020005000440046002000630072006500610074006900200070006f00730073006f006e006f0020006500730073006500720065002000610070006500720074006900200063006f006e0020004100630072006f00620061007400200065002000410064006f00620065002000520065006100640065007200200035002e003000200065002000760065007200730069006f006e006900200073007500630063006500730073006900760065002egt JPN ltFEFF9ad854c18cea51fa529b7528002000410064006f0062006500200050004400460020658766f8306e4f5c6210306b4f7f75283057307e30593002537052376642306e753b8cea3092670059279650306b4fdd306430533068304c3067304d307e3059300230c730b930af30c830c330d730d730ea30f330bf3067306e53705237307e305f306f30d730eb30fc30d57528306b9069305730663044307e305930023053306e8a2d5b9a30674f5c62103055308c305f0020005000440046002030d530a130a430eb306f3001004100630072006f0062006100740020304a30883073002000410064006f00620065002000520065006100640065007200200035002e003000204ee5964d3067958b304f30533068304c3067304d307e30593002gt KOR ltFEFFc7740020c124c815c7440020c0acc6a9d558c5ec0020b370c2a4d06cd0d10020d504b9b0d1300020bc0f0020ad50c815ae30c5d0c11c0020ace0d488c9c8b85c0020c778c1c4d560002000410064006f0062006500200050004400460020bb38c11cb97c0020c791c131d569b2c8b2e4002e0020c774b807ac8c0020c791c131b41c00200050004400460020bb38c11cb2940020004100630072006f0062006100740020bc0f002000410064006f00620065002000520065006100640065007200200035002e00300020c774c0c1c5d0c11c0020c5f40020c2180020c788c2b5b2c8b2e4002egt LTH ltFEFF004e006100750064006f006b0069007400650020016100690075006f007300200070006100720061006d006500740072007500730020006e006f0072011700640061006d00690020006b0075007200740069002000410064006f00620065002000500044004600200064006f006b0075006d0065006e007400750073002c0020006b007500720069006500200073006b00690072007400690020006b006f006b0079006200690161006b0061006900200073007000610075007300640069006e007400690020007300740061006c0069006e0069006100690073002000690072002000620061006e00640079006d006f00200073007000610075007300640069006e007400750076006100690073002e0020002000530075006b0075007200740069002000500044004600200064006f006b0075006d0065006e007400610069002000670061006c006900200062016b007400690020006100740069006400610072006f006d00690020004100630072006f006200610074002000690072002000410064006f00620065002000520065006100640065007200200035002e0030002000610072002000760117006c00650073006e0117006d00690073002000760065007200730069006a006f006d00690073002egt LVI ltFEFF0049007a006d0061006e0074006f006a00690065007400200161006f00730020006900650073007400610074012b006a0075006d00750073002c0020006c0061006900200069007a0076006500690064006f00740075002000410064006f00620065002000500044004600200064006f006b0075006d0065006e0074007500730020006b00760061006c0069007400610074012b0076006100690020006400720075006b010101610061006e00610069002000610072002000670061006c006400610020007000720069006e00740065007200690065006d00200075006e0020007000610072006100750067006e006f00760069006c006b0075006d0075002000690065007300700069006500640113006a00690065006d002e00200049007a0076006500690064006f006a006900650074002000500044004600200064006f006b0075006d0065006e007400750073002c0020006b006f002000760061007200200061007400760113007200740020006100720020004100630072006f00620061007400200075006e002000410064006f00620065002000520065006100640065007200200035002e0030002c0020006b0101002000610072012b00200074006f0020006a00610075006e0101006b0101006d002000760065007200730069006a0101006d002egt NLD (Gebruik deze instellingen om Adobe PDF-documenten te maken voor kwaliteitsafdrukken op desktopprinters en proofers De gemaakte PDF-documenten kunnen worden geopend met Acrobat en Adobe Reader 50 en hoger) NOR ltFEFF004200720075006b00200064006900730073006500200069006e006e007300740069006c006c0069006e00670065006e0065002000740069006c002000e50020006f0070007000720065007400740065002000410064006f006200650020005000440046002d0064006f006b0075006d0065006e00740065007200200066006f00720020007500740073006b00720069006600740020006100760020006800f800790020006b00760061006c00690074006500740020007000e500200062006f007200640073006b0072006900760065007200200065006c006c00650072002000700072006f006f006600650072002e0020005000440046002d0064006f006b0075006d0065006e00740065006e00650020006b0061006e002000e50070006e00650073002000690020004100630072006f00620061007400200065006c006c00650072002000410064006f00620065002000520065006100640065007200200035002e003000200065006c006c00650072002000730065006e006500720065002egt POL ltFEFF0055007300740061007700690065006e0069006100200064006f002000740077006f0072007a0065006e0069006100200064006f006b0075006d0065006e007400f3007700200050004400460020007a002000770079017c0073007a010500200072006f007a0064007a00690065006c0063007a006f015b0063006901050020006f006200720061007a006b00f30077002c0020007a0061007000650077006e00690061006a0105006301050020006c006500700073007a01050020006a0061006b006f015b0107002000770079006400720075006b00f30077002e00200044006f006b0075006d0065006e0074007900200050004400460020006d006f017c006e00610020006f007400770069006500720061010700200077002000700072006f006700720061006d006900650020004100630072006f00620061007400200069002000410064006f00620065002000520065006100640065007200200035002e0030002000690020006e006f00770073007a0079006d002egt PTB ltFEFF005500740069006c0069007a006500200065007300730061007300200063006f006e00660069006700750072006100e700f50065007300200064006500200066006f0072006d00610020006100200063007200690061007200200064006f00630075006d0065006e0074006f0073002000410064006f0062006500200050004400460020007000610072006100200069006d0070007200650073007300f5006500730020006400650020007100750061006c0069006400610064006500200065006d00200069006d00700072006500730073006f0072006100730020006400650073006b0074006f00700020006500200064006900730070006f00730069007400690076006f0073002000640065002000700072006f00760061002e0020004f007300200064006f00630075006d0065006e0074006f00730020005000440046002000630072006900610064006f007300200070006f00640065006d0020007300650072002000610062006500720074006f007300200063006f006d0020006f0020004100630072006f006200610074002000650020006f002000410064006f00620065002000520065006100640065007200200035002e0030002000650020007600650072007300f50065007300200070006f00730074006500720069006f007200650073002egt RUM ltFEFF005500740069006c0069007a00610163006900200061006300650073007400650020007300650074010300720069002000700065006e007400720075002000610020006300720065006100200064006f00630075006d0065006e00740065002000410064006f006200650020005000440046002000700065006e007400720075002000740069007001030072006900720065002000640065002000630061006c006900740061007400650020006c006100200069006d007000720069006d0061006e007400650020006400650073006b0074006f00700020015f0069002000700065006e0074007200750020007600650072006900660069006300610074006f00720069002e002000200044006f00630075006d0065006e00740065006c00650020005000440046002000630072006500610074006500200070006f00740020006600690020006400650073006300680069007300650020006300750020004100630072006f006200610074002c002000410064006f00620065002000520065006100640065007200200035002e00300020015f00690020007600650072007300690075006e0069006c006500200075006c0074006500720069006f006100720065002egt RUS ltFEFF04180441043f043e043b044c04370443043904420435002004340430043d043d044b04350020043d0430044104420440043e0439043a043800200434043b044f00200441043e043704340430043d0438044f00200434043e043a0443043c0435043d0442043e0432002000410064006f006200650020005000440046002c0020043f044004350434043d04300437043d043004470435043d043d044b044500200434043b044f0020043a0430044704350441044204320435043d043d043e04390020043f043504470430044204380020043d04300020043d043004410442043e043b044c043d044b04450020043f04400438043d044204350440043004450020043800200443044104420440043e04390441044204320430044500200434043b044f0020043f043e043b044304470435043d0438044f0020043f0440043e0431043d044b04450020043e0442044204380441043a043e0432002e002000200421043e043704340430043d043d044b04350020005000440046002d0434043e043a0443043c0435043d0442044b0020043c043e0436043d043e00200020043e0442043a0440044b043204300442044c002004410020043f043e043c043e0449044c044e0020004100630072006f00620061007400200438002000410064006f00620065002000520065006100640065007200200035002e00300020043800200431043e043b043504350020043f043e04370434043d043804450020043204350440044104380439002egt SKY ltFEFF0054006900650074006f0020006e006100730074006100760065006e0069006100200070006f0075017e0069007400650020006e00610020007600790074007600e100720061006e0069006500200064006f006b0075006d0065006e0074006f0076002000410064006f00620065002000500044004600200070007200650020006b00760061006c00690074006e00fa00200074006c0061010d0020006e0061002000730074006f006c006e00fd0063006800200074006c0061010d00690061007201480061006300680020006100200074006c0061010d006f007600fd006300680020007a006100720069006100640065006e0069006100630068002e00200056007900740076006f00720065006e00e900200064006f006b0075006d0065006e007400790020005000440046002000620075006400650020006d006f017e006e00e90020006f00740076006f00720069016500200076002000700072006f006700720061006d006f006300680020004100630072006f00620061007400200061002000410064006f00620065002000520065006100640065007200200035002e0030002000610020006e006f0076016100ed00630068002e000d000agt SLV ltFEFF005400650020006e006100730074006100760069007400760065002000750070006f0072006100620069007400650020007a00610020007500730074007600610072006a0061006e006a006500200064006f006b0075006d0065006e0074006f0076002000410064006f0062006500200050004400460020007a00610020006b0061006b006f0076006f00730074006e006f0020007400690073006b0061006e006a00650020006e00610020006e0061006d0069007a006e006900680020007400690073006b0061006c006e0069006b0069006800200069006e0020007000720065007600650072006a0061006c006e0069006b00690068002e00200020005500730074007600610072006a0065006e006500200064006f006b0075006d0065006e0074006500200050004400460020006a00650020006d006f0067006f010d00650020006f0064007000720065007400690020007a0020004100630072006f00620061007400200069006e002000410064006f00620065002000520065006100640065007200200035002e003000200069006e0020006e006f00760065006a01610069006d002egt SUO ltFEFF004b00e40079007400e40020006e00e40069007400e4002000610073006500740075006b007300690061002c0020006b0075006e0020006c0075006f0074002000410064006f0062006500200050004400460020002d0064006f006b0075006d0065006e007400740065006a00610020006c0061006100640075006b006100730074006100200074007900f6007000f60079007400e400740075006c006f0073007400750073007400610020006a00610020007600650064006f007300740075007300740061002000760061007200740065006e002e00200020004c0075006f0064007500740020005000440046002d0064006f006b0075006d0065006e00740069007400200076006f0069006400610061006e0020006100760061007400610020004100630072006f0062006100740069006c006c00610020006a0061002000410064006f00620065002000520065006100640065007200200035002e0030003a006c006c00610020006a006100200075007500640065006d006d0069006c006c0061002egt SVE ltFEFF0041006e007600e4006e00640020006400650020006800e4007200200069006e0073007400e4006c006c006e0069006e006700610072006e00610020006f006d002000640075002000760069006c006c00200073006b006100700061002000410064006f006200650020005000440046002d0064006f006b0075006d0065006e00740020006600f600720020006b00760061006c00690074006500740073007500740073006b0072006900660074006500720020007000e5002000760061006e006c00690067006100200073006b0072006900760061007200650020006f006300680020006600f600720020006b006f007200720065006b007400750072002e002000200053006b006100700061006400650020005000440046002d0064006f006b0075006d0065006e00740020006b0061006e002000f600700070006e00610073002000690020004100630072006f0062006100740020006f00630068002000410064006f00620065002000520065006100640065007200200035002e00300020006f00630068002000730065006e006100720065002egt TUR ltFEFF004d00610073006100fc0073007400fc002000790061007a013100630131006c006100720020007600650020006200610073006b01310020006d0061006b0069006e0065006c006500720069006e006400650020006b0061006c006900740065006c00690020006200610073006b013100200061006d0061006301310079006c0061002000410064006f006200650020005000440046002000620065006c00670065006c0065007200690020006f006c0075015f007400750072006d0061006b0020006900e70069006e00200062007500200061007900610072006c0061007201310020006b0075006c006c0061006e0131006e002e00200020004f006c0075015f0074007500720075006c0061006e0020005000440046002000620065006c00670065006c0065007200690020004100630072006f006200610074002000760065002000410064006f00620065002000520065006100640065007200200035002e003000200076006500200073006f006e0072006100730131006e00640061006b00690020007300fc007200fc006d006c00650072006c00650020006100e70131006c006100620069006c00690072002egt UKR ltFEFF04120438043a043e0440043804410442043e043204430439044204350020044604560020043f043004400430043c043504420440043800200434043b044f0020044104420432043e04400435043d043d044f00200434043e043a0443043c0435043d044204560432002000410064006f006200650020005000440046002c0020044f043a04560020043d04300439043a04400430044904350020043f045604340445043e0434044f0442044c00200434043b044f0020043204380441043e043a043e044f043a04560441043d043e0433043e0020043404400443043a04430020043d04300020043d0430044104420456043b044c043d043804450020043f04400438043d044204350440043004450020044204300020043f04400438044104420440043e044f044500200434043b044f0020043e044204400438043c0430043d043d044f0020043f0440043e0431043d0438044500200437043e04310440043004360435043d044c002e00200020042104420432043e04400435043d045600200434043e043a0443043c0435043d0442043800200050004400460020043c043e0436043d04300020043204560434043a0440043804420438002004430020004100630072006f006200610074002004420430002000410064006f00620065002000520065006100640065007200200035002e0030002004300431043e0020043f04560437043d04560448043e04570020043204350440044104560457002egt ENU ltFEFF005500730065002000740068006500730065002000730065007400740069006e0067007300200074006f0020006300720065006100740065002000410064006f0062006500200050004400460020006200610073006500640020006f006e0020007400680065002000640065006600610075006c0074002000580069006c0069006e007800200070006100720061006d0065007400650072002000730065007400740069006e0067007300200064006500660069006e00650064002000620079002000500053003000300034002e002000460069006c0065006e0061006d0065003a002000780069006c0069006e0078002e006a006f0062006f007000740069006f006e0073002e000d0043006f006d007000610074006100620069006c006900740079003a0020004100630072006f00620061007400200037002e0030002000280050004400460031002e00360029002e0020005500730065003a00200046006f00720020006300720065006100740069006e0067002000460069006e0061006c002c0020005000750062006c0069007300680065006400200050004400460073002e000d0043007200650061007400650064002000310030002f00310032002f003100310020006200790020006a0066006f007200730074002egt gtgt Namespace [ (Adobe) (Common) (10) ] OtherNamespaces [ ltlt AsReaderSpreads false CropImagesToFrames true ErrorControl WarnAndContinue FlattenerIgnoreSpreadOverrides false IncludeGuidesGrids false IncludeNonPrinting false IncludeSlug false Namespace [ (Adobe) (InDesign) (40) ] OmitPlacedBitmaps false OmitPlacedEPS false OmitPlacedPDF false SimulateOverprint Legacy gtgt ltlt AddBleedMarks false AddColorBars false AddCropMarks false AddPageInfo false AddRegMarks false ConvertColors NoConversion DestinationProfileName () DestinationProfileSelector NA Downsample16BitImages true FlattenerPreset ltlt PresetSelector MediumResolution gtgt FormElements false GenerateStructure true IncludeBookmarks false IncludeHyperlinks false IncludeInteractive false IncludeLayers false IncludeProfiles true MultimediaHandling UseObjectSettings Namespace [ (Adobe) (CreativeSuite) (20) ] PDFXOutputIntentProfileSelector NA PreserveEditing true UntaggedCMYKHandling LeaveUntagged UntaggedRGBHandling LeaveUntagged UseDocumentBleed false gtgt ]gtgt setdistillerparamsltlt HWResolution [1200 1200] PageSize [612000 792000]gtgt setpagedevice

Page 4: Xilinx UG883 Kintex-7 FPGA KC705 Evaluation Kit Getting ...€¦ · Updated step 2 and step 3 in Requirements to Get Started, page 14. ... KC705 Getting Started Guide 9 UG883 (v3.0)

KC705 Getting Started Guide wwwxilinxcom UG883 (v30) April 16 2013

KC705 Getting Started Guide wwwxilinxcom 5UG883 (v30) April 16 2013

Revision History 3

Getting Started with the Kintex-7 FPGA KC705 Evaluation Kit(Vivado Design Suite 20131)

Introduction 7Kit Contents 7Basic Hardware Bring-up Using the BIST 9Hardware Test Board Setup Requirements 9AMS Bring-up with the AMS101 Evaluation Card 13Advanced Bring-up Using the Base Targeted Reference Design 17

Appendix A Additional ResourcesXilinx Resources 35Solution Centers 35Further Resources 35References 36

Appendix B Warranty

Table of Contents

6 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

KC705 Getting Started Guide wwwxilinxcom 7UG883 (v30) April 16 2013

Getting Started with the Kintex-7 FPGA KC705 Evaluation Kit(Vivado Design Suite 20131)

IntroductionThe Kintextrade-7 FPGA KC705 evaluation kit provides a comprehensive high-performance development and demonstration platform using the Kintex-7 FPGA family for high-bandwidth and high-performance applications in multiple market segments The kit enables designing with DDR3 IO expansion through FMC and common serial standards such as PCI Expressreg XAUI and proprietary serial standards through the SMA interface See the KC705 Evaluation Board for the Kintex-7 FPGA User Guide (UG810) and the KC705 support website at wwwxilinxcomkc705

The built-in self-test (BIST) and Kintex-7 FPGA Base Targeted Reference Design (TRD) are developed on this kit

This Getting Started Guide is divided into two sections

bull Basic Hardware Bring-up Enables hands-on operation of all the features in the BIST as well as evaluation of Analog Mixed Signal (AMS) using the AMS101 evaluation card

bull Advanced Operation Enables hands-on operation with the base TRD which features PCIe DDR3 memory and AXImdashall supported through a custom evaluation graphical user interface (GUI)

Kit ContentsThe Kintex-7 FPGA KC705 evaluation kit includes

bull KC705 base board including the XC7K325T-2FFG900CES FPGA

bull AMS101 evaluation card enabling evaluation of the AMS technology built into all 7 series FPGAs

bull Software and licenses

bull Vivadotrade Design Suite Installation DVD

bull Printed entitlement voucher Provides entitlement of the Vivado Design Suite Logic Edition device-locked to the XC7K325T-2FFG900 FPGA Follow the printed instructions on the voucher to redeem your software entitlement

bull Fedora 16 Live DVD to support the Base Targeted Reference Design

8 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Kit Contents

bull Designs

bull Targeted Reference Design Robust sub-system including PCIe Gen2 x4 Northwest Logic DMA multi-port virtual FIFO AXI and DDR3 memory controller

bull Additional Reference Designs Numerous additional reference designs available online and linked from the KC705 product page wwwxilinxcomkc705

bull Documentation

bull Kintex-7 FPGA KC705 Evaluation Kit Getting Started Guide (UG883mdashthis guide included in the box)

bull KC705 Evaluation Board for the Kintex-7 FPGA User Guide (UG810) (online on product page)

bull KC705 Reference Design User Guide (UG845) (online on product page)

bull Kintex-7 FPGA KC705 Base Targeted Reference Design User Guide (Vivado Design Suite 20124) (UG882) (online on product page)

bull Board design files including schematics Gerber files and BOM (online on product page)

bull Cables and power supply

bull Universal 12V power adapter and cords

bull Two USB cables (1x USB Type-AMini-B and 1x USB Type-AMicro-B) for download and debug

bull Ethernet crossover cable

bull HDMI cable

KC705 Getting Started Guide wwwxilinxcom 9UG883 (v30) April 16 2013

Basic Hardware Bring-up Using the BIST

Basic Hardware Bring-up Using the BISTThe built-in self-test (BIST) tests many of the features offered by the Kintex-7 FPGA KC705 evaluation kit The test is stored in the nonvolatile BPI Linear Flash memory and configures the FPGA when the mode and upper flash address pins on the board are set for Master BPI

Figure 1 provides an overview of the board features used by the BIST

Note For a diagram of all the features on the KC705 see KC705 Evaluation Board for the Kintex-7 FPGA User Guide (UG810)

Hardware Test Setup RequirementsThe prerequisites for testing the design in hardware are

bull KC705 Evaluation board with the Kintex-7 FPGA XC7K325T-2FFG900CES device

bull USB-to-Mini-B cable (for UART)

bull AC power adapter (12 VDC)

bull Terminal program [Ref 3]

Note The Tera Term Pro program is used for illustrative purposes Other programs can be used

bull USB-UART drivers from SiLabs [Ref 4]

Hardware Test Board Setup RequirementsThis section details the hardware setup and use of the terminal program for running the BIST application It contains step-by-step instructions for board bring-up

X-Ref Target - Figure 1

Figure 1 KC705 Board Features Used by the BIST

BPI Flash (U58)

USB-UART(J6)

UG883_01_040913

Power Switch(SW15)

Power (J49)

USB-JTAG(U59)

Ethernet(U37)

User Push Buttons

DDR3

Mode Switches(SW13)

Rotary Switch (SW8)Switch is underneath LCD screen

Prog

CPU Reset

User LEDsUser DIP (SW11)

10 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Hardware Test Board Setup Requirements

KC705 Evaluation Board Setup

1 Set the jumpers and switches on the KC705 board as follows

bull The mode switches (SW13) are set for Master BPI mode 010

bull The upper flash address switches (SW13) are set to 11

2 Verify the switch and jumper settings are set as shown in Table 1 and Figure 2

Note For this application the board should be set up as a stand-alone system with power coming from the 12V power adapter included with the KC705 evaluation kit

Table 1 Switch amp Jumper Settings

Switch Setting

SW15Board Power slide-switch

Off

SW11

User GPIO DIP switch

4 Off

3 Off

2 Off

1 Off

SW13

Configuration Mode switch

5 Off

4 On

3 Off

2 On

1 On

X-Ref Target - Figure 2

Figure 2 BIST Switch and Jumper Settings

UG883_02_040913

KC705 Getting Started Guide wwwxilinxcom 11UG883 (v30) April 16 2013

Hardware Test Board Setup Requirements

Hardware Bring-UpThis section details the steps for hardware bring-up

1 With the board switched off plug a USB-to-Mini-B cable into the UART port of the KC705 board and your PC (see Figure 3)

2 Install the power cable

3 Switch the KC705 board power to ON

Install the UART Driver

1 Run the downloaded executable UART-USB driver file listed in Hardware Test Setup Requirements page 9 This enables UART-USB communications with a host PC (see Figure 4)

X-Ref Target - Figure 3

Figure 3 KC705 with the UART and Power Cable Attached

UG883_03_011912

X-Ref Target - Figure 4

Figure 4 UART Cable Driver Installation

UG883_04_011512

12 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Hardware Test Board Setup Requirements

2 Set the USB-UART connection to a known port in the Device Manager as follows

bull Right-click My Computer and select Properties

bull Select the Hardware tab then click the Device Manager button

bull Find and right-click the Silicon Labs device in the list Then select Properties

bull Click the Port Settings tab and the Advancedhellip button

bull Select an open COM port between COM1 and COM4

Figure 5 shows the steps needed to set the USB-UART port

Note Steps and diagrams refer to use with a Windows host PC with the Windows XP or Windows 7 operating systemX-Ref Target - Figure 5

Figure 5 Port Selection on the Device Manager Screen

UG883_05_040913

KC705 Getting Started Guide wwwxilinxcom 13UG883 (v30) April 16 2013

AMS Bring-up with the AMS101 Evaluation Card

Run the BIST Application1 Start the installed terminal program

2 Press PROG (SW14) on the KC705 board and view the BIST output on the terminal window (see Figure 6)

3 Select the relevant tests to run and observe the results

For more information on the BIST software and additional tutorials including how to restore the default content of the onboard nonvolatile storage see the KC705 support website at httpwwwxilinxcomkc705 under the Docs amp Designs tab

AMS Bring-up with the AMS101 Evaluation CardThe Xilinxreg 7 series FPGAs each feature a 1 MSPS 12-bit analog-to-digital converter built into the FPGA for everything from simple analog monitoring to more signal processing intensive tasks like linearization calibration oversampling and filtering The Kintex-7 FPGA KC705 evaluation kit includes the hardware and software to evaluate this feature and determine its usefulness in the userrsquos end system

For evaluation of Xilinx Analog Mixed Signal (AMS) capability the following items from your kit are needed

bull Access to XADC header in your FPGA base board

bull AMS101 evaluation card (Figure 7)

bull FPGA design and software files downloaded from the Docs amp Designs tab at wwwxilinxcomkc705

bull USBUART drivers from Silicon Labs

bull AMS Evaluator tool

X-Ref Target - Figure 6

Figure 6 BIST Main Menu

UG883_06_040913

14 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

AMS Bring-up with the AMS101 Evaluation Card

Requirements to Get Started1 Open the USBUART as described in Install the UART Driver page 11

2 The AMS101 evaluation card requires a Windows host PC to install the AMS Evaluator tool which uses National Instruments LabView run-time engine Install the AMS Evaluator tool by unzipping the AMS Evaluator installer fileshttpssecurexilinxcomwebregclickthroughdocid=199651amplicense=RefDesLicenseampfilename=ams101_gui_installer_v1_1zip located in the Targeted Reference Designs section in the Docs amp Designs tab at wwwxilinxcomkc705 After opening the zip file folder click the setupexe file to begin the installation When loading the National Instruments LabView runtime engine Click OK to accept the license agreement Running the setup program loads the AMS101 Evaluator tool with the red Xilinx logo on the desktop

3 Download the AMS Targeted Reference Design files from the Docs amp Designs tab Kintex-7 FPGA KC705 Evaluation Kit - (7 Series FPGA AMS Targeted Reference Design User Guide (Vivado Design Suite 20124 and ISE Design Suite 144)) section at wwwxilinxcomkc705 Unzip the xadc_eval_design_kc705_V1_0zip files Open the ready_to_test folder Save the xadc_eval_designbit bitstream

X-Ref Target - Figure 7

Figure 7 AMS101 Evaluation Card

UG883_07_121112

KC705 Getting Started Guide wwwxilinxcom 15UG883 (v30) April 16 2013

AMS Bring-up with the AMS101 Evaluation Card

Evaluating AMS1 Connect and power the hardware

a Plug the AMS101 evaluation card into the XADC header on your FPGA base board

Note Ensure the notch on the XADC header lines up correctly with the AMS101 evaluation card (see Figure 8)

b Power up the FPGA base board

2 Download the design to the FPGA Installation of ISE Programming tools or Lab Tools is required for downloading the bitstream to the FPGA

Refer to the Vivado Design Suite User Guide Programming and Debugging (UG908) at httpwwwxilinxcomsupportdocumentationdt_vivadohtm to obtain information on downloading the design to the FPGA

a Open the xadc_eval_designbit file from the AMS Targeted Reference Design files

3 Run the AMS101 Evaluator LabVIEW GUI executable from your desktop After loading the AMS Evaluator installer files a red X with the AMS Evaluator program should reside on your desktop

Note The AMS bitstream can also be loaded with ChipScope analyzer

The AMS101 Evaluator GUI is shown in Figure 9

X-Ref Target - Figure 8

Figure 8 KC705 Base Board with AMS101 Evaluation Card Plugged into XADC Header

UG883_08_121112

16 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

AMS Bring-up with the AMS101 Evaluation Card

The AMS Evaluator Tool allows designers to quickly evaluate the analog signals in the time domain frequency domain display linearity verify the XADC register settings and measure the internal temperature sensor and supply voltages

For a more extensive explanation of the AMS101 evaluation card and the applicable files refer to AMS101 Evaluation Platform User Guide (UG886)

X-Ref Target - Figure 9

Figure 9 AMS101 Evaluator GUI

UG883_09_121112

Select COM Port Here

KC705 Getting Started Guide wwwxilinxcom 17UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

Advanced Bring-up Using the Base Targeted Reference DesignThe primary components of the Kintex-7 FPGA Base TRD are

bull Integrated Endpoint block for PCI Express (PCIe) See 7 Series FPGAs Integrated Block for PCI Express User Guide (UG477)

bull Northwest Logic Packet DMA [Ref 5]

bull Multiport Virtual FIFO

The TRD system can sustain up to 10 Gbs throughput end to end

Figure 10 provides an overview of the TRD

Note In Figure 10 the arrows indicate AXI interface directions (from master to slave) They do not indicate data flow directions

ComponentsThe Kintex-7 FPGA Base TRD features these components

bull Kintex-7 FPGA integrated Endpoint block for PCI Express

bull Configured with 4 lanes at a 5 Gbs link rate (Gen2) or 8 lanes at a 25 Gbs link rate (Gen1) for PCI Express v20

bull Provides a user interface compliant with AXI4-Stream interface protocol

bull Performance monitor tracks the integrated blockrsquos AXI4-Stream interface for PCIe transactions

bull Bus Mastering Scatter-Gather Packet DMA from Northwest Logic a multichannel DMA

bull Supports full-duplex operation with independent transmit and receive paths

X-Ref Target - Figure 10

Figure 10 Kintex-7 FPGA Base TRD Block Diagram

UG883_10_121112

Multiport Virtual FIFO

DD

R3

IO

Software

Multi-ChannelDMA for PCIe DDR3

Cha

nnel

-0C

2SS

2CC

hann

el-1

S2C

C2S

64 x1600 Mbs

PC

Ie x

4Gen

2 Li

nk

PC

Ie x

8Gen

1 Li

nk

VFIFOController

SoftwareDriver

Interface Blocks in FPGA Third Party IPXilinx IP On BoardCustom Logic

AXI-ST AXI-MM

Hardware

VFIFOController

VFIFOController

VFIFOController

Raw Packet Data Block

Checker

Generator

Loop

back

Loop

back

Raw Packet Data Block

Generator

Checker

User SpaceRegisters

Target InterfaceAXI Master

256 x200 MHz

64 x

250

MH

z

64 x250 MHz

64 x250 MHz

64 x250 MHz

64 x250 MHz

PerformanceMonitor

GU

I

GT

X T

rans

ceiv

er

Inte

grat

ed E

ndpo

int B

lock

for

PC

I Exp

ress

AX

I-S

T B

asic

Wra

pper

AXIMIG

AXIInterconnect

SI SI

SI SIM

I

18 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

bull Provides an AXI4-Stream interface on the back end

bull Monitors the performance of data transfers in receive and transmit directions

bull Provides an AXI4 memory-mapped target interface to access user-defined registers

Note The Northwest Logic Packet DMA shipped with the Base TRD is an evaluation version and expires after 12 hours of run time To get the full version contact Northwest Logic [Ref 7]

bull Multiport Virtual FIFO

bull DDR3 SDRAM SODIMM (64-bit 1600 Mbs 800 MHz) is used for buffering packets The memory controller delivered through the memory interface generator (MIG) tool interfaces to the DDR3 memory

bull AXI Interconnect IP along with the memory controller supports multiple ports on the memory

bull The Packetized Virtual FIFO controller controls addressing of the DDR3 memory for each port allowing DDR3 to be used as Virtual Packet FIFO

bull Software driver for a 32-bit Linux platform

bull Configures the hardware design parameters

bull Generates and consumes traffic

bull Provides a GUI to report status and performance statistics

The Kintex-7 FPGA integrated Endpoint block for PCI Express and the Packet DMA are responsible for data transfers from host system to Endpoint card (S2C) and Endpoint card to host system (C2S) Data to and from the host is stored in a Virtual FIFO built around the DDR3 memory This Multiport Virtual FIFO abstraction layer around the DDR3 memory allows the user to move traffic efficiently without the need to manage addressing and arbitration on the memory interface It also provides more depth than storage implemented using Block RAMs

The integrated Endpoint block for PCI Express Packet DMA and Multiport Virtual FIFO form the base system The base system can bridge the host to any user application running on the other end The raw data packet module is a dummy application that generates and consumes packets It can be replaced by any user specific protocol like Aurora or XAUI

The software driver runs on the host system It generates raw data traffic for transmit operations in the S2C direction It also consumes the data looped back or generated at the application end in the C2S direction

Hardware Test Setup RequirementsThese are the prerequisites for testing the design in hardware

bull KC705 Evaluation board with the Kintex-7 FPGA XC7K325T-2FFG900C device

bull Design files provided as a zipped collection under the Docs amp Designs tab at wwwxilinxcomkc705 include

bull Design source files

bull Device driver files

bull Board design files

bull Documentation

bull Vivado Design Suite

bull ISE Programming tools or LabTools

KC705 Getting Started Guide wwwxilinxcom 19UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

bull Micro USB cable

bull 4-pin to 6-pin PCIe adapter cable

bull Fedora 16 Live DVD [Ref 6]

bull PC with PCIe v20 slot For a complete list of machines tested and all known issues refer to the Kintex-7 FPGA Base Targeted Reference Design Release Notes and Known Issues Master Answer Record (httpwwwxilinxcomsupportanswers45679htm) This PC could also have Fedora Core 16 Linux OS installed on it

TRD Demonstration SetupThis section describes hardware setup and use of the application GUI to help the user get started quickly with the design in hardware It provides a step-by-step explanation of hardware bring-up and describes using the application GUI

When following the demonstration setup steps for the Kintex-7 FPGA Base TRD if the behavior is not as described refer to the known issues at wwwxilinxcomsupportanswers45679htm

Board Setup

This section describes how to set up the KC705 Evaluation board required to demonstrate the TRD

1 Set the KC705 Jumpers and Switches Verify that the KC705 Evaluation board jumpers and switches are set as shown in Table 2 and Figure 11

Table 2 Switch and Jumper Settings

Jumper Function Setting

J32 PCIe configuration width mdash 4 lane design Jump 3-4

Switch Function or Type Setting

SW15 Board power slide-switch Off

SW11 User GPIO DIP switch

4 Off

3 Off

2 Off

1 Off

S13 DIP switch SW13 positions 1 and 2 control the setting of address bits of the flashDIP switch SW13 positions 3 4 and 5 control which configuration mode

5 (M0) M2 =0 M1=1 M0=0 ndash Master BPIM2 =0 M1=0 M0=1 ndash Master SPIM2 =1 M1=0 M0=1 ndash JTAG

Off

4 (M1) On

3 (M2) Off

2 Off

1 Off

20 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

Hardware Bring-Up

This section presents steps for hardware bring-up

1 With the host system switched off insert the KC705 board in the PCIe slot through the PCI Express x8 or x16 edge connector (Figure 12)

The TRD programmed on the KC705 board has a 4-lane PCIe v20 configuration running at a 5 Gbs link rate per lane The PCI Express specification allows for a smaller lane width Endpoint to be installed into a larger lane width PCIe connector

2 Figure 13 shows the 12V power connection Connect the 12V ATX power supplyrsquos available 4-pin connector to the board (J49) via a 4-pin to 6-pin PCIe adapter cable Toggle the Power switch SW15 to the ON position

X-Ref Target - Figure 11

Figure 11 Switch and Jumper Settings

UG883_11_040913

J27 J28

J29 J30SW13

J32

SW15SW11

X-Ref Target - Figure 12if

Figure 12 KC705 Board Plugged into a PCIe x16 Slot

UG883_12_040913

KC705 Getting Started Guide wwwxilinxcom 21UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

3 Make sure the connections are tight and then power on the PC system

Note If the user wishes to boot Linux from the Fedora 16 Live DVD place the DVD in the PCrsquos DVD-ROM drive as soon as the PC system is powered on

4 Verify the status of the design on the KC705 LEDs The design provides status on the GPIO LEDs on the upper right of the KC705 board (Figure 14) After the PC system is powered on and the TRD has successfully configured status LEDs from right to left should indicate

bull LED 0 mdash ON if the PCIe link is up

bull LED 1 mdash Flashes if the PCIe user clock is present

bull LED 2 mdash ON if lane width is what is expected else it flashes (for a 4 lane design the expected lane width is 4 for an 8 lane design the expected lane width is 8)

bull LED 3 mdash ON if memory calibration is done

bull LED 4 to LED 7 mdash Not connected

X-Ref Target - Figure 13

Figure 13 Power Supply Connection

UG883_13_121112

SW15

J49 6-pinConnector

12V ATX PowerSupply Pluggedinto the 4-pinConnector

22 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

Install the Linux Driver

1 If Fedora 16 is installed on the PC systemrsquos hard disk boot as a root-privileged user and skip to step 3 page 23

2 To boot from the Fedora 16 Live DVD provided in the kit place the DVD in the PCrsquos DVD-ROM drive The Fedora 16 Live Media is for Intel-compatible PCs The DVD contains a complete bootable 32-bit Fedora 16 environment with the proper packages installed for the TRD demonstration environment For more details see Fedora Information page 2 The PC boots from the DVD-ROM drive and logs into a liveuser account This account has kernel development root privileges required to install and remove device driver modules

Note Users might have to adjust BIOS boot order settings to make sure that the DVD-ROM drive is the first drive in the boot order To enter the BIOS menu to set the boot order press the DEL or F2 key when the system is powered on Set the boot order and save the changes (The DEL or F2 key is used by most PC systems to enter the BIOS setup Some PCs might have a different way to enter the BIOS setup)

The PC should boot from the DVD-ROM drive The images in Figure 15 are seen on the monitor during boot up

X-Ref Target - Figure 14

Figure 14 Location of GPIO Status LEDs (Indicates TRD Status)

UG883_14_121112

KC705 Getting Started Guide wwwxilinxcom 23UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

3 After Fedora 16 Core boots open a terminal window (click Activities click Application scroll down and click the Terminal icon) To find out if the PCIe Endpoint is detected at the terminal command line type

$ lspci

The lspci command displays the devices in the PCI and PCI Express buses of the PC On the bus of the KC705 card slot is the message

Communication controller Xilinx Corporation Device 7042

This message confirms that the design programmed into the KC705 board has been found by the BIOS and the Fedora 16 OS The bus number varies depending on which PC motherboard and slot are used Figure 16 shows a lspci output for an example system Xilinx device 7042 has been found by the BIOS on bus number 2 (02000 - busdevfunction)

X-Ref Target - Figure 15

Figure 15 Fedora 16 Live DVD BootingFirst Screen Last Boot Screen Booted

UG883 15 040913

X-Ref Target - Figure 16

Figure 16 PCI and PCI Express Bus Devices

UG883_16_040913

24 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

4 Download the reference design from the Docs amp Designs tab at wwwxilinxcomkc705 and copy the k7_pcie_dma_ddr3_base folder into any directory

5 To set up and run the TRD demonstration the software driver should be installed on the PC system Software driver installation involves

a Building the kernel objects and the GUI

b Inserting the driver modules into the kernel

After the driver modules are loaded the application GUI can be invoked The user can set parameters through the GUI and run the TRD

When the user is done running the TRD the application GUI can be closed and the drivers can be removed

A script is provided to execute all the above actions so that the user can quickly start the TRD

The k7_trd_lin_quickstart script is available in the k7_pcie_dma_ddr3_base folder Right click the script select properties go to the permissions tab check the box Allow executing file as programmdashthis makes the script executable Close the window

To run the script double-click k7_trd_lin_quickstart in the k7_pcie_dma_ddr3_base folder (Figure 17) The window prompt in Figure 18 appears

X-Ref Target - Figure 17

Figure 17 Load Driver and Launch Application GUI

UG883_17_121112

KC705 Getting Started Guide wwwxilinxcom 25UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

Click Run in Terminal to proceed The application GUI is invoked

Proceed to the next section Using the Application GUI to set design parameters and run the TRD

X-Ref Target - Figure 18

Figure 18 Run in Terminal

UG883_18_040913

26 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

Using the Application GUI

When the drivers are loaded and the Performance Monitor GUI is invoked the user can configure the sending and receiving of data The GUI allows the user to observe the collected statistics and other status information

1 Click the System Status tab to verify the status of the KC705 board and the PCIe link (see Figure 19 and Table 3)

X-Ref Target - Figure 19

Figure 19 Verify Board Status in the Performance Monitor

UG883_19_121112

KC705 Getting Started Guide wwwxilinxcom 27UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

2 To start data traffic on the two data paths

a Click Start Test on Raw Data Path0 as shown in Figure 20 This enables the driver to start generating the data for Raw Data Path0

b Click Start Test on Raw Data Path1 as shown in Figure 20 This enables the driver to start generating the data for Raw Data Path1

Table 3 KC705 Board Status Field Explanations

Field Status Explanation

Link Status Up This confirms that the PCIe link is up and a PCIe connection is established between the Kintex-7 FPGA Endpoint for PCI Express and the PC motherboard chipset

Link Speed 50 Gbs This confirms that the PCIe link is operating at line rate speed per PCI Express v20

Link Width x4 This confirms that the PCIe link is trained as a x4 link

28 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

3 Verify TRD operations through the status information provided by the GUI (see Figure 21)

a Verify the PCIe throughput

b Verify the DMA channel throughput for the Raw Data Path0

c Verify the DMA channel throughput for the Raw Data Path1

d Verify there are no buffer descriptor errors for error-free operation

X-Ref Target - Figure 20

Figure 20 Start Data Traffic from the Performance Monitor

UG883_20_121112

KC705 Getting Started Guide wwwxilinxcom 29UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

The Kintex-7 FPGA PCIe-DMA TRD is now set up and running Close the Application GUI to unload the software drivers and stop traffic flow

X-Ref Target - Figure 21

Figure 21 Verify Error-Free Operation in the Performance Monitor

UG883_21_121112

30 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

Evaluating the Kintex-7 FPGA Base TRDThe Kintex-7 FPGA Base TRD provides a Performance and Status monitor application and GUI The application enables customers to evaluate different system parameters This section demonstrates performance variances for the PCI Express and DMA interfaces based on the parameters set

To evaluate the Kintex-7 FPGA Base TRD

1 Launch the Performance Monitor for the Kintex-7 FPGA Base TRD

a Navigate to the k7_pcie_dma_ddr3_base folder

b Double-click k7_lin_trd_quickstart (Figure 22)mdashmake sure that the script has executable permissionmdashto launch the Performance Monitor and Status GUI

c A window prompt appears as shown in Figure 23 Click Run in Terminal to proceed

2 Set up the test parameters in the Performance Monitor

a Two data paths are available Raw Data Path0 and Raw Data Path1 On each path set the Packet Size to a value between 64 ndash 32768 bytes

X-Ref Target - Figure 22

Figure 22 Launch the Performance Monitor and Status GUI

UG883_22_121112

X-Ref Target - Figure 23

Figure 23 Run k7_lin_trd_quickstart

UG883_23_121112

KC705 Getting Started Guide wwwxilinxcom 31UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

3 Execute the test and view payload statistics in the Performance and Status Monitor (see Figure 24)

a Click Start Test to start the performance test

b Click the Payload Statistics tab to view data transfers on the DMA channels

c Click Stop Test to stop data traffic

d Vary the Packet Size parameters for the Raw Data Paths (see Figure 25) and click Start Test Then view the payload statistics to review data transfer rate on the DMA channels With a decrease in packet size the performance drops

Note Before changing packet size click Stop Test change the size and then click Start Test

X-Ref Target - Figure 24

Figure 24 Payload Statistics

UG883_24_121112

32 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

Note For packet sizes equal to 64 or 128 bytes the throughput is reduced and might not be visible on the Payload Statistics tab The exact values can be viewed on the System Status tab

4 Click the PCIe Statistics tab to view data transfer numbers with varying packet sizes on the PCIe interface (Figure 26)

X-Ref Target - Figure 25

Figure 25 Effect of Varying Packet Sizes on Performance

UG883_25_121112

KC705 Getting Started Guide wwwxilinxcom 33UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

The system performance of the Kintex-7 FPGA Base TRD has now been evaluated using the pre-built demonstration design bit file

Now that the Kintex-7 FPGA Base TRD demonstration has been set up and evaluated the design can be modified Before the design can be modified make sure to install the Vivado Design Suite on a PC It is not required that tools be installed on the PC system in which the KC705 evaluation board is plugged in by way of the PCIe edge connector

X-Ref Target - Figure 26

Figure 26 PCIe Statistics in the Performance Monitor

UG883_26_121112

34 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

KC705 Getting Started Guide wwwxilinxcom 35UG883 (v30) April 16 2013

Appendix A

Additional Resources

Xilinx ResourcesFor support resources such as Answers Documentation Downloads and Forums see the Xilinx Support website at

wwwxilinxcomsupport

For continual updates add the Answer Record to your myAlerts

wwwxilinxcomsupportmyalerts

For a glossary of technical terms used in Xilinx documentation see

wwwxilinxcomcompanytermshtm

Solution CentersSee the Xilinx Solution Centers for support on devices software tools and intellectual property at all stages of the design cycle Topics include design assistance advisories and troubleshooting tips

Further ResourcesThe most up to date information related to the KC705 board and its documentation is available on the following websites

The Kintex-7 FPGA KC705 Evaluation Kit Product Page

wwwxilinxcomkc705

The Kintex-7 FPGA KC705 Evaluation Kit Master Answer Record

wwwxilinxcomsupportanswers47787htm

Design advisories by software release for the Kintex-7 FPGA KC705 Evaluation Kit

wwwxilinxcomsupportnav=sd-nav-link-179661amptab=tab-bk

Kintex-7 FPGA Base Targeted Reference Design Release Notes and Known Issues Master Answer Record

wwwxilinxcomsupportanswers45679htm

These documents provide supplemental material useful with this user guide

UG810 KC705 Evaluation Board for the Kintex-7 FPGA User Guide

UG845 KC705 Reference Design User Guide

36 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Appendix A Additional Resources

UG798 Xilinx Design Tools Installation and Licensing Guide Vivado Design Suite and ISE Design Suite

UG908 Vivado Design Suite User Guide Programming and Debugging

UG882 Kintex-7 FPGA KC705 Base Targeted Reference Design User Guide (Vivado Design Suite 20124)

UG886 AMS101 Evaluation Card User Guide

UG477 7 Series FPGAs Integrated Block for PCI Express User Guide

ReferencesThe following websites provide supplemental material useful with this guide

1 LabVIEW 32-bit Run-Time Enginehttpjoulenicomniducdsviewpid2534langen

2 LabVIEW 64-bit Run-Time Engine httpjoulenicomniducdsviewpid2536langen

3 Tera Term Pro program httphpvectorcojpauthorsVA002416teratermhtml

4 Drivers on the Silicon Labs site httpwwwsilabscomSupport20DocumentsSoftwareCP210x_VCP_Win_XP_S2K3_Vista_7exe

5 Northwest Logic DMA back end core httpwwwnwlogiccompacketdma

6 Fedora project httpfedoraprojectorg

Fedora is a Linux-based operating system used in the development of this TRD

7 The GTK+ project API documentation httpwwwgtkorgdocumentationphp

GTK+ is a toolkit for creating graphical user interfaces (GUI)

KC705 Getting Started Guide wwwxilinxcom 37UG883 (v30) April 16 2013

Appendix B

Warranty

THIS LIMITED WARRANTY applies solely to standard hardware development boards and standard hardware programming cables manufactured by or on behalf of Xilinx (ldquoDevelopment Systemsrdquo) Subject to the limitations herein Xilinx warrants that Development Systems when delivered by Xilinx or its authorized distributor for ninety (90) days following the delivery date will be free from defects in material and workmanship and will substantially conform to Xilinx publicly available specifications for such products in effect at the time of delivery This limited warranty excludes (i) engineering samples or beta versions of Development Systems (which are provided ldquoAS ISrdquo without warranty) (ii) design defects or errors known as ldquoerratardquo (iii) Development Systems procured through unauthorized third parties and (iv) Development Systems that have been subject to misuse mishandling accident alteration neglect unauthorized repair or installation Furthermore this limited warranty shall not apply to the use of covered products in an application or environment that is not within Xilinx specifications or in the event of any act error neglect or default of Customer For any breach by Xilinx of this limited warranty the exclusive remedy of Customer and the sole liability of Xilinx shall be at the option of Xilinx to replace or repair the affected products or to refund to Customer the price of the affected products The availability of replacement products is subject to product discontinuation policies at Xilinx Customer may not return product without first obtaining a customer return material authorization (RMA) number from XilinxTHE WARRANTIES SET FORTH HEREIN ARE EXCLUSIVE XILINX DISCLAIMS ALL OTHER WARRANTIES WHETHER EXPRESS IMPLIED OR STATUTORY INCLUDING WITHOUT LIMITATION ANY WARRANTY OF MERCHANTABILITY FITNESS FOR A PARTICULAR PURPOSE OR NON-INFRINGEMENT AND ANY WARRANTY THAT MAY ARISE FROM COURSE OF DEALING COURSE OF PERFORMANCE OR USAGE OF TRADE (200810)

Do not throw Xilinx products marked with the ldquocrossed out wheeled binrdquo in the trash Directive 200296EC on waste electrical and electronic equipment (WEEE) requires the separate collection of WEEE Your cooperation is essential in ensuring the proper management of WEEE and the protection of the environment and human health from potential effects arising from the presence of hazardous substances in WEEE Return the marked products to Xilinx for proper disposal Further information and instructions for free-of-charge return available at httpwwwxilinxcomehsweeehtm

38 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Appendix B Warranty

  • Kintex-7 FPGA KC705 Evaluation Kit (Vivado Design Suite 20131)
    • Revision History
    • Table of Contents
    • Getting Started with the Kintex-7 FPGA KC705 Evaluation Kit (Vivado Design Suite 20131)
      • Introduction
      • Kit Contents
      • Basic Hardware Bring-up Using the BIST
        • Hardware Test Setup Requirements
          • Hardware Test Board Setup Requirements
            • Hardware Bring-Up
            • Run the BIST Application
              • AMS Bring-up with the AMS101 Evaluation Card
                • Requirements to Get Started
                • Evaluating AMS
                  • Advanced Bring-up Using the Base Targeted Reference Design
                    • Components
                    • Hardware Test Setup Requirements
                    • TRD Demonstration Setup
                    • Evaluating the Kintex-7 FPGA Base TRD
                        • Additional Resources
                          • Xilinx Resources
                          • Solution Centers
                          • Further Resources
                          • References
                            • Warranty
                                • ltlt ASCII85EncodePages false AllowTransparency false AutoPositionEPSFiles true AutoRotatePages All Binding Left CalGrayProfile (Dot Gain 20) CalRGBProfile (sRGB IEC61966-21) CalCMYKProfile (US Web Coated 050SWOP051 v2) sRGBProfile (sRGB IEC61966-21) CannotEmbedFontPolicy Warning CompatibilityLevel 16 CompressObjects Tags CompressPages true ConvertImagesToIndexed true PassThroughJPEGImages true CreateJobTicket false DefaultRenderingIntent Default DetectBlends true DetectCurves 00000 ColorConversionStrategy LeaveColorUnchanged DoThumbnails false EmbedAllFonts true EmbedOpenType false ParseICCProfilesInComments true EmbedJobOptions true DSCReportingLevel 0 EmitDSCWarnings false EndPage -1 ImageMemory 1048576 LockDistillerParams true MaxSubsetPct 100 Optimize true OPM 1 ParseDSCComments true ParseDSCCommentsForDocInfo true PreserveCopyPage true PreserveDICMYKValues true PreserveEPSInfo true PreserveFlatness true PreserveHalftoneInfo false PreserveOPIComments false PreserveOverprintSettings true StartPage 1 SubsetFonts true TransferFunctionInfo Apply UCRandBGInfo Preserve UsePrologue false ColorSettingsFile () AlwaysEmbed [ true ] NeverEmbed [ true ] AntiAliasColorImages false CropColorImages true ColorImageMinResolution 300 ColorImageMinResolutionPolicy OK DownsampleColorImages false ColorImageDownsampleType Bicubic ColorImageResolution 300 ColorImageDepth -1 ColorImageMinDownsampleDepth 1 ColorImageDownsampleThreshold 150000 EncodeColorImages true ColorImageFilter DCTEncode AutoFilterColorImages true ColorImageAutoFilterStrategy JPEG ColorACSImageDict ltlt QFactor 040 HSamples [1 1 1 1] VSamples [1 1 1 1] gtgt ColorImageDict ltlt QFactor 015 HSamples [1 1 1 1] VSamples [1 1 1 1] gtgt JPEG2000ColorACSImageDict ltlt TileWidth 256 TileHeight 256 Quality 30 gtgt JPEG2000ColorImageDict ltlt TileWidth 256 TileHeight 256 Quality 30 gtgt AntiAliasGrayImages false CropGrayImages true GrayImageMinResolution 300 GrayImageMinResolutionPolicy OK DownsampleGrayImages false GrayImageDownsampleType Bicubic GrayImageResolution 300 GrayImageDepth -1 GrayImageMinDownsampleDepth 2 GrayImageDownsampleThreshold 150000 EncodeGrayImages true GrayImageFilter DCTEncode AutoFilterGrayImages true GrayImageAutoFilterStrategy JPEG GrayACSImageDict ltlt QFactor 040 HSamples [1 1 1 1] VSamples [1 1 1 1] gtgt GrayImageDict ltlt QFactor 015 HSamples [1 1 1 1] VSamples [1 1 1 1] gtgt JPEG2000GrayACSImageDict ltlt TileWidth 256 TileHeight 256 Quality 30 gtgt JPEG2000GrayImageDict ltlt TileWidth 256 TileHeight 256 Quality 30 gtgt AntiAliasMonoImages false CropMonoImages true MonoImageMinResolution 1200 MonoImageMinResolutionPolicy OK DownsampleMonoImages false MonoImageDownsampleType Bicubic MonoImageResolution 1200 MonoImageDepth -1 MonoImageDownsampleThreshold 150000 EncodeMonoImages true MonoImageFilter CCITTFaxEncode MonoImageDict ltlt K -1 gtgt AllowPSXObjects false CheckCompliance [ None ] PDFX1aCheck false PDFX3Check false PDFXCompliantPDFOnly false PDFXNoTrimBoxError true PDFXTrimBoxToMediaBoxOffset [ 000000 000000 000000 000000 ] PDFXSetBleedBoxToMediaBox true PDFXBleedBoxToTrimBoxOffset [ 000000 000000 000000 000000 ] PDFXOutputIntentProfile (None) PDFXOutputConditionIdentifier () PDFXOutputCondition () PDFXRegistryName () PDFXTrapped False CreateJDFFile false Description ltlt ARA ltFEFF06270633062A062E062F0645002006470630064700200627064406250639062F0627062F0627062A002006440625064606340627062100200648062B062706260642002000410064006F00620065002000500044004600200645062A0648062706410642062900200644064406370628062706390629002006300627062A002006270644062C0648062F0629002006270644063906270644064A06290020064506460020062E06440627064400200627064406370627062806390627062A00200627064406450643062A0628064A062900200623064800200623062C06470632062900200625062C06310627062100200627064406280631064806410627062A061B0020064A06450643064600200641062A062D00200648062B0627062606420020005000440046002006270644064506460634062306290020062806270633062A062E062F062706450020004100630072006F0062006100740020064800410064006F006200650020005200650061006400650072002006250635062F0627063100200035002E0030002006480627064406250635062F062706310627062A0020062706440623062D062F062B002E0020064506390020005000440046002F0041060C0020062706440631062C062706210020064506310627062C063906290020062F0644064A0644002006450633062A062E062F06450020004100630072006F006200610074061B0020064A06450643064600200641062A062D00200648062B0627062606420020005000440046002006270644064506460634062306290020062806270633062A062E062F062706450020004100630072006F0062006100740020064800410064006F006200650020005200650061006400650072002006250635062F0627063100200035002E0030002006480627064406250635062F062706310627062A0020062706440623062D062F062B002Egt BGR ltFEFF04180437043f043e043b043704320430043904420435002004420435043704380020043d0430044104420440043e0439043a0438002c00200437043000200434043000200441044a0437043404300432043004420435002000410064006f00620065002000500044004600200434043e043a0443043c0435043d044204380020043704300020043a0430044704350441044204320435043d0020043f04350447043004420020043d04300020043d043004410442043e043b043d04380020043f04400438043d04420435044004380020043800200443044104420440043e043904410442043204300020043704300020043f04350447043004420020043d04300020043f0440043e0431043d04380020044004300437043f0435044704300442043a0438002e002000200421044a04370434043004340435043d043804420435002000500044004600200434043e043a0443043c0435043d044204380020043c043e0433043004420020043404300020044104350020043e0442043204300440044f0442002004410020004100630072006f00620061007400200438002000410064006f00620065002000520065006100640065007200200035002e00300020043800200441043b0435043404320430044904380020043204350440044104380438002egt CHS ltFEFF4f7f75288fd94e9b8bbe5b9a521b5efa7684002000500044004600206587686353ef901a8fc7684c976262535370673a548c002000700072006f006f00660065007200208fdb884c9ad88d2891cf62535370300260a853ef4ee54f7f75280020004100630072006f0062006100740020548c002000410064006f00620065002000520065006100640065007200200035002e003000204ee553ca66f49ad87248672c676562535f00521b5efa768400200050004400460020658768633002gt CHT ltFEFF4f7f752890194e9b8a2d7f6e5efa7acb7684002000410064006f006200650020005000440046002065874ef653ef5728684c9762537088686a5f548c002000700072006f006f00660065007200204e0a73725f979ad854c18cea7684521753706548679c300260a853ef4ee54f7f75280020004100630072006f0062006100740020548c002000410064006f00620065002000520065006100640065007200200035002e003000204ee553ca66f49ad87248672c4f86958b555f5df25efa7acb76840020005000440046002065874ef63002gt CZE ltFEFF005400610074006f0020006e006100730074006100760065006e00ed00200070006f0075017e0069006a007400650020006b0020007600790074007600e101590065006e00ed00200064006f006b0075006d0065006e0074016f002000410064006f006200650020005000440046002000700072006f0020006b00760061006c00690074006e00ed0020007400690073006b0020006e0061002000730074006f006c006e00ed006300680020007400690073006b00e10072006e00e100630068002000610020006e00e1007400690073006b006f007600fd006300680020007a0061015900ed007a0065006e00ed00630068002e002000200056007900740076006f01590065006e00e900200064006f006b0075006d0065006e007400790020005000440046002000620075006400650020006d006f017e006e00e90020006f007400650076015900ed007400200076002000700072006f006700720061006d0065006300680020004100630072006f00620061007400200061002000410064006f00620065002000520065006100640065007200200035002e0030002000610020006e006f0076011b006a016100ed00630068002egt DAN ltFEFF004200720075006700200069006e0064007300740069006c006c0069006e006700650072006e0065002000740069006c0020006100740020006f007000720065007400740065002000410064006f006200650020005000440046002d0064006f006b0075006d0065006e007400650072002000740069006c0020006b00760061006c00690074006500740073007500640073006b007200690076006e0069006e006700200065006c006c006500720020006b006f007200720065006b007400750072006c00e60073006e0069006e0067002e0020004400650020006f007000720065007400740065006400650020005000440046002d0064006f006b0075006d0065006e0074006500720020006b0061006e002000e50062006e00650073002000690020004100630072006f00620061007400200065006c006c006500720020004100630072006f006200610074002000520065006100640065007200200035002e00300020006f00670020006e0079006500720065002egt DEU ltFEFF00560065007200770065006e00640065006e0020005300690065002000640069006500730065002000450069006e007300740065006c006c0075006e00670065006e0020007a0075006d002000450072007300740065006c006c0065006e00200076006f006e002000410064006f006200650020005000440046002d0044006f006b0075006d0065006e00740065006e002c00200076006f006e002000640065006e0065006e002000530069006500200068006f00630068007700650072007400690067006500200044007200750063006b006500200061007500660020004400650073006b0074006f0070002d0044007200750063006b00650072006e00200075006e0064002000500072006f006f0066002d00470065007200e400740065006e002000650072007a0065007500670065006e0020006d00f60063006800740065006e002e002000450072007300740065006c006c007400650020005000440046002d0044006f006b0075006d0065006e007400650020006b00f6006e006e0065006e0020006d006900740020004100630072006f00620061007400200075006e0064002000410064006f00620065002000520065006100640065007200200035002e00300020006f0064006500720020006800f600680065007200200067006500f600660066006e00650074002000770065007200640065006e002egt ESP ltFEFF005500740069006c0069006300650020006500730074006100200063006f006e0066006900670075007200610063006900f3006e0020007000610072006100200063007200650061007200200064006f00630075006d0065006e0074006f0073002000640065002000410064006f0062006500200050004400460020007000610072006100200063006f006e00730065006700750069007200200069006d0070007200650073006900f3006e002000640065002000630061006c006900640061006400200065006e00200069006d0070007200650073006f0072006100730020006400650020006500730063007200690074006f00720069006f00200079002000680065007200720061006d00690065006e00740061007300200064006500200063006f00720072006500630063006900f3006e002e002000530065002000700075006500640065006e00200061006200720069007200200064006f00630075006d0065006e0074006f00730020005000440046002000630072006500610064006f007300200063006f006e0020004100630072006f006200610074002c002000410064006f00620065002000520065006100640065007200200035002e003000200079002000760065007200730069006f006e0065007300200070006f00730074006500720069006f007200650073002egt ETI ltFEFF004b00610073007500740061006700650020006e0065006900640020007300e4007400740065006900640020006c006100750061002d0020006a00610020006b006f006e00740072006f006c006c007400f5006d006d006900730065007000720069006e0074006500720069007400650020006a0061006f006b00730020006b00760061006c006900740065006500740073006500740065002000410064006f006200650020005000440046002d0064006f006b0075006d0065006e00740069006400650020006c006f006f006d006900730065006b0073002e002e00200020004c006f006f0064007500640020005000440046002d0064006f006b0075006d0065006e00740065002000730061006100740065002000610076006100640061002000700072006f006700720061006d006d006900640065006700610020004100630072006f0062006100740020006e0069006e0067002000410064006f00620065002000520065006100640065007200200035002e00300020006a00610020007500750065006d006100740065002000760065007200730069006f006f006e00690064006500670061002e000d000agt FRA ltFEFF005500740069006c006900730065007a00200063006500730020006f007000740069006f006e00730020006100660069006e00200064006500200063007200e900650072002000640065007300200064006f00630075006d0065006e00740073002000410064006f00620065002000500044004600200070006f007500720020006400650073002000e90070007200650075007600650073002000650074002000640065007300200069006d007000720065007300730069006f006e00730020006400650020006800610075007400650020007100750061006c0069007400e90020007300750072002000640065007300200069006d007000720069006d0061006e0074006500730020006400650020006200750072006500610075002e0020004c0065007300200064006f00630075006d0065006e00740073002000500044004600200063007200e900e90073002000700065007500760065006e0074002000ea0074007200650020006f007500760065007200740073002000640061006e00730020004100630072006f006200610074002c002000610069006e00730069002000710075002700410064006f00620065002000520065006100640065007200200035002e0030002000650074002000760065007200730069006f006e007300200075006c007400e90072006900650075007200650073002egt GRE ltFEFF03a703c103b703c303b903bc03bf03c003bf03b903ae03c303c403b5002003b103c503c403ad03c2002003c403b903c2002003c103c503b803bc03af03c303b503b903c2002003b303b903b1002003bd03b1002003b403b703bc03b903bf03c503c103b303ae03c303b503c403b5002003ad03b303b303c103b103c603b1002000410064006f006200650020005000440046002003b303b903b1002003b503ba03c403cd03c003c903c303b7002003c003bf03b903cc03c403b703c403b103c2002003c303b5002003b503ba03c403c503c003c903c403ad03c2002003b303c103b103c603b503af03bf03c5002003ba03b103b9002003b403bf03ba03b903bc03b103c303c403ad03c2002e0020002003a403b10020005000440046002003ad03b303b303c103b103c603b1002003c003bf03c5002003ad03c703b503c403b5002003b403b703bc03b903bf03c503c103b303ae03c303b503b9002003bc03c003bf03c103bf03cd03bd002003bd03b1002003b103bd03bf03b903c703c403bf03cd03bd002003bc03b5002003c403bf0020004100630072006f006200610074002c002003c403bf002000410064006f006200650020005200650061006400650072002000200035002e0030002003ba03b103b9002003bc03b503c403b103b303b503bd03ad03c303c403b503c103b503c2002003b503ba03b403cc03c303b503b903c2002egt HEB ltFEFF05D405E905EA05DE05E905D5002005D105D405D205D305E805D505EA002005D005DC05D4002005DB05D305D9002005DC05D905E605D505E8002005DE05E105DE05DB05D9002000410064006F006200650020005000440046002005E205D105D505E8002005D405D305E405E105D4002005D005D905DB05D505EA05D905EA002005D105DE05D305E405E105D505EA002005E905D505DC05D705E005D905D505EA002005D505DB05DC05D9002005D405D205D405D4002E002005DE05E105DE05DB05D9002005D4002D005000440046002005E905E005D505E605E805D905DD002005E005D905EA05E005D905DD002005DC05E405EA05D905D705D4002005D105D005DE05E605E205D505EA0020004100630072006F006200610074002005D5002D00410064006F00620065002000520065006100640065007200200035002E0030002005D505D205E805E105D005D505EA002005DE05EA05E705D305DE05D505EA002005D905D505EA05E8002Egt HRV ltFEFF005a00610020007300740076006100720061006e006a0065002000410064006f00620065002000500044004600200064006f006b0075006d0065006e0061007400610020007a00610020006b00760061006c00690074006500740061006e0020006900730070006900730020006e006100200070006900730061010d0069006d006100200069006c0069002000700072006f006f006600650072002000750072006501110061006a0069006d0061002e00200020005300740076006f00720065006e0069002000500044004600200064006f006b0075006d0065006e007400690020006d006f006700750020007300650020006f00740076006f00720069007400690020004100630072006f00620061007400200069002000410064006f00620065002000520065006100640065007200200035002e0030002000690020006b00610073006e0069006a0069006d0020007600650072007a0069006a0061006d0061002egt HUN ltFEFF004d0069006e0151007300e9006700690020006e0079006f006d00610074006f006b0020006b00e90073007a00ed007400e9007300e900680065007a002000610073007a00740061006c00690020006e0079006f006d00740061007400f3006b006f006e002000e9007300200070007200f300620061006e0079006f006d00f3006b006f006e00200065007a0065006b006b0065006c0020006100200062006500e1006c006c00ed007400e10073006f006b006b0061006c002c00200068006f007a007a006f006e0020006c00e9007400720065002000410064006f00620065002000500044004600200064006f006b0075006d0065006e00740075006d006f006b00610074002e0020002000410020006c00e90074007200650068006f007a006f00740074002000500044004600200064006f006b0075006d0065006e00740075006d006f006b00200061007a0020004100630072006f006200610074002c00200061007a002000410064006f00620065002000520065006100640065007200200035002e0030002000e9007300200061007a002000610074007400f3006c0020006b00e9007301510062006200690020007600650072007a006900f3006b006b0061006c00200020006e00790069007400680061007400f3006b0020006d00650067002egt ITA ltFEFF005500740069006c0069007a007a006100720065002000710075006500730074006500200069006d0070006f007300740061007a0069006f006e00690020007000650072002000630072006500610072006500200064006f00630075006d0065006e00740069002000410064006f006200650020005000440046002000700065007200200075006e00610020007300740061006d007000610020006400690020007100750061006c0069007400e00020007300750020007300740061006d00700061006e0074006900200065002000700072006f006f0066006500720020006400650073006b0074006f0070002e0020004900200064006f00630075006d0065006e007400690020005000440046002000630072006500610074006900200070006f00730073006f006e006f0020006500730073006500720065002000610070006500720074006900200063006f006e0020004100630072006f00620061007400200065002000410064006f00620065002000520065006100640065007200200035002e003000200065002000760065007200730069006f006e006900200073007500630063006500730073006900760065002egt JPN ltFEFF9ad854c18cea51fa529b7528002000410064006f0062006500200050004400460020658766f8306e4f5c6210306b4f7f75283057307e30593002537052376642306e753b8cea3092670059279650306b4fdd306430533068304c3067304d307e3059300230c730b930af30c830c330d730d730ea30f330bf3067306e53705237307e305f306f30d730eb30fc30d57528306b9069305730663044307e305930023053306e8a2d5b9a30674f5c62103055308c305f0020005000440046002030d530a130a430eb306f3001004100630072006f0062006100740020304a30883073002000410064006f00620065002000520065006100640065007200200035002e003000204ee5964d3067958b304f30533068304c3067304d307e30593002gt KOR ltFEFFc7740020c124c815c7440020c0acc6a9d558c5ec0020b370c2a4d06cd0d10020d504b9b0d1300020bc0f0020ad50c815ae30c5d0c11c0020ace0d488c9c8b85c0020c778c1c4d560002000410064006f0062006500200050004400460020bb38c11cb97c0020c791c131d569b2c8b2e4002e0020c774b807ac8c0020c791c131b41c00200050004400460020bb38c11cb2940020004100630072006f0062006100740020bc0f002000410064006f00620065002000520065006100640065007200200035002e00300020c774c0c1c5d0c11c0020c5f40020c2180020c788c2b5b2c8b2e4002egt LTH ltFEFF004e006100750064006f006b0069007400650020016100690075006f007300200070006100720061006d006500740072007500730020006e006f0072011700640061006d00690020006b0075007200740069002000410064006f00620065002000500044004600200064006f006b0075006d0065006e007400750073002c0020006b007500720069006500200073006b00690072007400690020006b006f006b0079006200690161006b0061006900200073007000610075007300640069006e007400690020007300740061006c0069006e0069006100690073002000690072002000620061006e00640079006d006f00200073007000610075007300640069006e007400750076006100690073002e0020002000530075006b0075007200740069002000500044004600200064006f006b0075006d0065006e007400610069002000670061006c006900200062016b007400690020006100740069006400610072006f006d00690020004100630072006f006200610074002000690072002000410064006f00620065002000520065006100640065007200200035002e0030002000610072002000760117006c00650073006e0117006d00690073002000760065007200730069006a006f006d00690073002egt LVI ltFEFF0049007a006d0061006e0074006f006a00690065007400200161006f00730020006900650073007400610074012b006a0075006d00750073002c0020006c0061006900200069007a0076006500690064006f00740075002000410064006f00620065002000500044004600200064006f006b0075006d0065006e0074007500730020006b00760061006c0069007400610074012b0076006100690020006400720075006b010101610061006e00610069002000610072002000670061006c006400610020007000720069006e00740065007200690065006d00200075006e0020007000610072006100750067006e006f00760069006c006b0075006d0075002000690065007300700069006500640113006a00690065006d002e00200049007a0076006500690064006f006a006900650074002000500044004600200064006f006b0075006d0065006e007400750073002c0020006b006f002000760061007200200061007400760113007200740020006100720020004100630072006f00620061007400200075006e002000410064006f00620065002000520065006100640065007200200035002e0030002c0020006b0101002000610072012b00200074006f0020006a00610075006e0101006b0101006d002000760065007200730069006a0101006d002egt NLD (Gebruik deze instellingen om Adobe PDF-documenten te maken voor kwaliteitsafdrukken op desktopprinters en proofers De gemaakte PDF-documenten kunnen worden geopend met Acrobat en Adobe Reader 50 en hoger) NOR ltFEFF004200720075006b00200064006900730073006500200069006e006e007300740069006c006c0069006e00670065006e0065002000740069006c002000e50020006f0070007000720065007400740065002000410064006f006200650020005000440046002d0064006f006b0075006d0065006e00740065007200200066006f00720020007500740073006b00720069006600740020006100760020006800f800790020006b00760061006c00690074006500740020007000e500200062006f007200640073006b0072006900760065007200200065006c006c00650072002000700072006f006f006600650072002e0020005000440046002d0064006f006b0075006d0065006e00740065006e00650020006b0061006e002000e50070006e00650073002000690020004100630072006f00620061007400200065006c006c00650072002000410064006f00620065002000520065006100640065007200200035002e003000200065006c006c00650072002000730065006e006500720065002egt POL ltFEFF0055007300740061007700690065006e0069006100200064006f002000740077006f0072007a0065006e0069006100200064006f006b0075006d0065006e007400f3007700200050004400460020007a002000770079017c0073007a010500200072006f007a0064007a00690065006c0063007a006f015b0063006901050020006f006200720061007a006b00f30077002c0020007a0061007000650077006e00690061006a0105006301050020006c006500700073007a01050020006a0061006b006f015b0107002000770079006400720075006b00f30077002e00200044006f006b0075006d0065006e0074007900200050004400460020006d006f017c006e00610020006f007400770069006500720061010700200077002000700072006f006700720061006d006900650020004100630072006f00620061007400200069002000410064006f00620065002000520065006100640065007200200035002e0030002000690020006e006f00770073007a0079006d002egt PTB ltFEFF005500740069006c0069007a006500200065007300730061007300200063006f006e00660069006700750072006100e700f50065007300200064006500200066006f0072006d00610020006100200063007200690061007200200064006f00630075006d0065006e0074006f0073002000410064006f0062006500200050004400460020007000610072006100200069006d0070007200650073007300f5006500730020006400650020007100750061006c0069006400610064006500200065006d00200069006d00700072006500730073006f0072006100730020006400650073006b0074006f00700020006500200064006900730070006f00730069007400690076006f0073002000640065002000700072006f00760061002e0020004f007300200064006f00630075006d0065006e0074006f00730020005000440046002000630072006900610064006f007300200070006f00640065006d0020007300650072002000610062006500720074006f007300200063006f006d0020006f0020004100630072006f006200610074002000650020006f002000410064006f00620065002000520065006100640065007200200035002e0030002000650020007600650072007300f50065007300200070006f00730074006500720069006f007200650073002egt RUM ltFEFF005500740069006c0069007a00610163006900200061006300650073007400650020007300650074010300720069002000700065006e007400720075002000610020006300720065006100200064006f00630075006d0065006e00740065002000410064006f006200650020005000440046002000700065006e007400720075002000740069007001030072006900720065002000640065002000630061006c006900740061007400650020006c006100200069006d007000720069006d0061006e007400650020006400650073006b0074006f00700020015f0069002000700065006e0074007200750020007600650072006900660069006300610074006f00720069002e002000200044006f00630075006d0065006e00740065006c00650020005000440046002000630072006500610074006500200070006f00740020006600690020006400650073006300680069007300650020006300750020004100630072006f006200610074002c002000410064006f00620065002000520065006100640065007200200035002e00300020015f00690020007600650072007300690075006e0069006c006500200075006c0074006500720069006f006100720065002egt RUS ltFEFF04180441043f043e043b044c04370443043904420435002004340430043d043d044b04350020043d0430044104420440043e0439043a043800200434043b044f00200441043e043704340430043d0438044f00200434043e043a0443043c0435043d0442043e0432002000410064006f006200650020005000440046002c0020043f044004350434043d04300437043d043004470435043d043d044b044500200434043b044f0020043a0430044704350441044204320435043d043d043e04390020043f043504470430044204380020043d04300020043d043004410442043e043b044c043d044b04450020043f04400438043d044204350440043004450020043800200443044104420440043e04390441044204320430044500200434043b044f0020043f043e043b044304470435043d0438044f0020043f0440043e0431043d044b04450020043e0442044204380441043a043e0432002e002000200421043e043704340430043d043d044b04350020005000440046002d0434043e043a0443043c0435043d0442044b0020043c043e0436043d043e00200020043e0442043a0440044b043204300442044c002004410020043f043e043c043e0449044c044e0020004100630072006f00620061007400200438002000410064006f00620065002000520065006100640065007200200035002e00300020043800200431043e043b043504350020043f043e04370434043d043804450020043204350440044104380439002egt SKY ltFEFF0054006900650074006f0020006e006100730074006100760065006e0069006100200070006f0075017e0069007400650020006e00610020007600790074007600e100720061006e0069006500200064006f006b0075006d0065006e0074006f0076002000410064006f00620065002000500044004600200070007200650020006b00760061006c00690074006e00fa00200074006c0061010d0020006e0061002000730074006f006c006e00fd0063006800200074006c0061010d00690061007201480061006300680020006100200074006c0061010d006f007600fd006300680020007a006100720069006100640065006e0069006100630068002e00200056007900740076006f00720065006e00e900200064006f006b0075006d0065006e007400790020005000440046002000620075006400650020006d006f017e006e00e90020006f00740076006f00720069016500200076002000700072006f006700720061006d006f006300680020004100630072006f00620061007400200061002000410064006f00620065002000520065006100640065007200200035002e0030002000610020006e006f0076016100ed00630068002e000d000agt SLV ltFEFF005400650020006e006100730074006100760069007400760065002000750070006f0072006100620069007400650020007a00610020007500730074007600610072006a0061006e006a006500200064006f006b0075006d0065006e0074006f0076002000410064006f0062006500200050004400460020007a00610020006b0061006b006f0076006f00730074006e006f0020007400690073006b0061006e006a00650020006e00610020006e0061006d0069007a006e006900680020007400690073006b0061006c006e0069006b0069006800200069006e0020007000720065007600650072006a0061006c006e0069006b00690068002e00200020005500730074007600610072006a0065006e006500200064006f006b0075006d0065006e0074006500200050004400460020006a00650020006d006f0067006f010d00650020006f0064007000720065007400690020007a0020004100630072006f00620061007400200069006e002000410064006f00620065002000520065006100640065007200200035002e003000200069006e0020006e006f00760065006a01610069006d002egt SUO ltFEFF004b00e40079007400e40020006e00e40069007400e4002000610073006500740075006b007300690061002c0020006b0075006e0020006c0075006f0074002000410064006f0062006500200050004400460020002d0064006f006b0075006d0065006e007400740065006a00610020006c0061006100640075006b006100730074006100200074007900f6007000f60079007400e400740075006c006f0073007400750073007400610020006a00610020007600650064006f007300740075007300740061002000760061007200740065006e002e00200020004c0075006f0064007500740020005000440046002d0064006f006b0075006d0065006e00740069007400200076006f0069006400610061006e0020006100760061007400610020004100630072006f0062006100740069006c006c00610020006a0061002000410064006f00620065002000520065006100640065007200200035002e0030003a006c006c00610020006a006100200075007500640065006d006d0069006c006c0061002egt SVE ltFEFF0041006e007600e4006e00640020006400650020006800e4007200200069006e0073007400e4006c006c006e0069006e006700610072006e00610020006f006d002000640075002000760069006c006c00200073006b006100700061002000410064006f006200650020005000440046002d0064006f006b0075006d0065006e00740020006600f600720020006b00760061006c00690074006500740073007500740073006b0072006900660074006500720020007000e5002000760061006e006c00690067006100200073006b0072006900760061007200650020006f006300680020006600f600720020006b006f007200720065006b007400750072002e002000200053006b006100700061006400650020005000440046002d0064006f006b0075006d0065006e00740020006b0061006e002000f600700070006e00610073002000690020004100630072006f0062006100740020006f00630068002000410064006f00620065002000520065006100640065007200200035002e00300020006f00630068002000730065006e006100720065002egt TUR ltFEFF004d00610073006100fc0073007400fc002000790061007a013100630131006c006100720020007600650020006200610073006b01310020006d0061006b0069006e0065006c006500720069006e006400650020006b0061006c006900740065006c00690020006200610073006b013100200061006d0061006301310079006c0061002000410064006f006200650020005000440046002000620065006c00670065006c0065007200690020006f006c0075015f007400750072006d0061006b0020006900e70069006e00200062007500200061007900610072006c0061007201310020006b0075006c006c0061006e0131006e002e00200020004f006c0075015f0074007500720075006c0061006e0020005000440046002000620065006c00670065006c0065007200690020004100630072006f006200610074002000760065002000410064006f00620065002000520065006100640065007200200035002e003000200076006500200073006f006e0072006100730131006e00640061006b00690020007300fc007200fc006d006c00650072006c00650020006100e70131006c006100620069006c00690072002egt UKR ltFEFF04120438043a043e0440043804410442043e043204430439044204350020044604560020043f043004400430043c043504420440043800200434043b044f0020044104420432043e04400435043d043d044f00200434043e043a0443043c0435043d044204560432002000410064006f006200650020005000440046002c0020044f043a04560020043d04300439043a04400430044904350020043f045604340445043e0434044f0442044c00200434043b044f0020043204380441043e043a043e044f043a04560441043d043e0433043e0020043404400443043a04430020043d04300020043d0430044104420456043b044c043d043804450020043f04400438043d044204350440043004450020044204300020043f04400438044104420440043e044f044500200434043b044f0020043e044204400438043c0430043d043d044f0020043f0440043e0431043d0438044500200437043e04310440043004360435043d044c002e00200020042104420432043e04400435043d045600200434043e043a0443043c0435043d0442043800200050004400460020043c043e0436043d04300020043204560434043a0440043804420438002004430020004100630072006f006200610074002004420430002000410064006f00620065002000520065006100640065007200200035002e0030002004300431043e0020043f04560437043d04560448043e04570020043204350440044104560457002egt ENU ltFEFF005500730065002000740068006500730065002000730065007400740069006e0067007300200074006f0020006300720065006100740065002000410064006f0062006500200050004400460020006200610073006500640020006f006e0020007400680065002000640065006600610075006c0074002000580069006c0069006e007800200070006100720061006d0065007400650072002000730065007400740069006e0067007300200064006500660069006e00650064002000620079002000500053003000300034002e002000460069006c0065006e0061006d0065003a002000780069006c0069006e0078002e006a006f0062006f007000740069006f006e0073002e000d0043006f006d007000610074006100620069006c006900740079003a0020004100630072006f00620061007400200037002e0030002000280050004400460031002e00360029002e0020005500730065003a00200046006f00720020006300720065006100740069006e0067002000460069006e0061006c002c0020005000750062006c0069007300680065006400200050004400460073002e000d0043007200650061007400650064002000310030002f00310032002f003100310020006200790020006a0066006f007200730074002egt gtgt Namespace [ (Adobe) (Common) (10) ] OtherNamespaces [ ltlt AsReaderSpreads false CropImagesToFrames true ErrorControl WarnAndContinue FlattenerIgnoreSpreadOverrides false IncludeGuidesGrids false IncludeNonPrinting false IncludeSlug false Namespace [ (Adobe) (InDesign) (40) ] OmitPlacedBitmaps false OmitPlacedEPS false OmitPlacedPDF false SimulateOverprint Legacy gtgt ltlt AddBleedMarks false AddColorBars false AddCropMarks false AddPageInfo false AddRegMarks false ConvertColors NoConversion DestinationProfileName () DestinationProfileSelector NA Downsample16BitImages true FlattenerPreset ltlt PresetSelector MediumResolution gtgt FormElements false GenerateStructure true IncludeBookmarks false IncludeHyperlinks false IncludeInteractive false IncludeLayers false IncludeProfiles true MultimediaHandling UseObjectSettings Namespace [ (Adobe) (CreativeSuite) (20) ] PDFXOutputIntentProfileSelector NA PreserveEditing true UntaggedCMYKHandling LeaveUntagged UntaggedRGBHandling LeaveUntagged UseDocumentBleed false gtgt ]gtgt setdistillerparamsltlt HWResolution [1200 1200] PageSize [612000 792000]gtgt setpagedevice

Page 5: Xilinx UG883 Kintex-7 FPGA KC705 Evaluation Kit Getting ...€¦ · Updated step 2 and step 3 in Requirements to Get Started, page 14. ... KC705 Getting Started Guide 9 UG883 (v3.0)

KC705 Getting Started Guide wwwxilinxcom 5UG883 (v30) April 16 2013

Revision History 3

Getting Started with the Kintex-7 FPGA KC705 Evaluation Kit(Vivado Design Suite 20131)

Introduction 7Kit Contents 7Basic Hardware Bring-up Using the BIST 9Hardware Test Board Setup Requirements 9AMS Bring-up with the AMS101 Evaluation Card 13Advanced Bring-up Using the Base Targeted Reference Design 17

Appendix A Additional ResourcesXilinx Resources 35Solution Centers 35Further Resources 35References 36

Appendix B Warranty

Table of Contents

6 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

KC705 Getting Started Guide wwwxilinxcom 7UG883 (v30) April 16 2013

Getting Started with the Kintex-7 FPGA KC705 Evaluation Kit(Vivado Design Suite 20131)

IntroductionThe Kintextrade-7 FPGA KC705 evaluation kit provides a comprehensive high-performance development and demonstration platform using the Kintex-7 FPGA family for high-bandwidth and high-performance applications in multiple market segments The kit enables designing with DDR3 IO expansion through FMC and common serial standards such as PCI Expressreg XAUI and proprietary serial standards through the SMA interface See the KC705 Evaluation Board for the Kintex-7 FPGA User Guide (UG810) and the KC705 support website at wwwxilinxcomkc705

The built-in self-test (BIST) and Kintex-7 FPGA Base Targeted Reference Design (TRD) are developed on this kit

This Getting Started Guide is divided into two sections

bull Basic Hardware Bring-up Enables hands-on operation of all the features in the BIST as well as evaluation of Analog Mixed Signal (AMS) using the AMS101 evaluation card

bull Advanced Operation Enables hands-on operation with the base TRD which features PCIe DDR3 memory and AXImdashall supported through a custom evaluation graphical user interface (GUI)

Kit ContentsThe Kintex-7 FPGA KC705 evaluation kit includes

bull KC705 base board including the XC7K325T-2FFG900CES FPGA

bull AMS101 evaluation card enabling evaluation of the AMS technology built into all 7 series FPGAs

bull Software and licenses

bull Vivadotrade Design Suite Installation DVD

bull Printed entitlement voucher Provides entitlement of the Vivado Design Suite Logic Edition device-locked to the XC7K325T-2FFG900 FPGA Follow the printed instructions on the voucher to redeem your software entitlement

bull Fedora 16 Live DVD to support the Base Targeted Reference Design

8 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Kit Contents

bull Designs

bull Targeted Reference Design Robust sub-system including PCIe Gen2 x4 Northwest Logic DMA multi-port virtual FIFO AXI and DDR3 memory controller

bull Additional Reference Designs Numerous additional reference designs available online and linked from the KC705 product page wwwxilinxcomkc705

bull Documentation

bull Kintex-7 FPGA KC705 Evaluation Kit Getting Started Guide (UG883mdashthis guide included in the box)

bull KC705 Evaluation Board for the Kintex-7 FPGA User Guide (UG810) (online on product page)

bull KC705 Reference Design User Guide (UG845) (online on product page)

bull Kintex-7 FPGA KC705 Base Targeted Reference Design User Guide (Vivado Design Suite 20124) (UG882) (online on product page)

bull Board design files including schematics Gerber files and BOM (online on product page)

bull Cables and power supply

bull Universal 12V power adapter and cords

bull Two USB cables (1x USB Type-AMini-B and 1x USB Type-AMicro-B) for download and debug

bull Ethernet crossover cable

bull HDMI cable

KC705 Getting Started Guide wwwxilinxcom 9UG883 (v30) April 16 2013

Basic Hardware Bring-up Using the BIST

Basic Hardware Bring-up Using the BISTThe built-in self-test (BIST) tests many of the features offered by the Kintex-7 FPGA KC705 evaluation kit The test is stored in the nonvolatile BPI Linear Flash memory and configures the FPGA when the mode and upper flash address pins on the board are set for Master BPI

Figure 1 provides an overview of the board features used by the BIST

Note For a diagram of all the features on the KC705 see KC705 Evaluation Board for the Kintex-7 FPGA User Guide (UG810)

Hardware Test Setup RequirementsThe prerequisites for testing the design in hardware are

bull KC705 Evaluation board with the Kintex-7 FPGA XC7K325T-2FFG900CES device

bull USB-to-Mini-B cable (for UART)

bull AC power adapter (12 VDC)

bull Terminal program [Ref 3]

Note The Tera Term Pro program is used for illustrative purposes Other programs can be used

bull USB-UART drivers from SiLabs [Ref 4]

Hardware Test Board Setup RequirementsThis section details the hardware setup and use of the terminal program for running the BIST application It contains step-by-step instructions for board bring-up

X-Ref Target - Figure 1

Figure 1 KC705 Board Features Used by the BIST

BPI Flash (U58)

USB-UART(J6)

UG883_01_040913

Power Switch(SW15)

Power (J49)

USB-JTAG(U59)

Ethernet(U37)

User Push Buttons

DDR3

Mode Switches(SW13)

Rotary Switch (SW8)Switch is underneath LCD screen

Prog

CPU Reset

User LEDsUser DIP (SW11)

10 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Hardware Test Board Setup Requirements

KC705 Evaluation Board Setup

1 Set the jumpers and switches on the KC705 board as follows

bull The mode switches (SW13) are set for Master BPI mode 010

bull The upper flash address switches (SW13) are set to 11

2 Verify the switch and jumper settings are set as shown in Table 1 and Figure 2

Note For this application the board should be set up as a stand-alone system with power coming from the 12V power adapter included with the KC705 evaluation kit

Table 1 Switch amp Jumper Settings

Switch Setting

SW15Board Power slide-switch

Off

SW11

User GPIO DIP switch

4 Off

3 Off

2 Off

1 Off

SW13

Configuration Mode switch

5 Off

4 On

3 Off

2 On

1 On

X-Ref Target - Figure 2

Figure 2 BIST Switch and Jumper Settings

UG883_02_040913

KC705 Getting Started Guide wwwxilinxcom 11UG883 (v30) April 16 2013

Hardware Test Board Setup Requirements

Hardware Bring-UpThis section details the steps for hardware bring-up

1 With the board switched off plug a USB-to-Mini-B cable into the UART port of the KC705 board and your PC (see Figure 3)

2 Install the power cable

3 Switch the KC705 board power to ON

Install the UART Driver

1 Run the downloaded executable UART-USB driver file listed in Hardware Test Setup Requirements page 9 This enables UART-USB communications with a host PC (see Figure 4)

X-Ref Target - Figure 3

Figure 3 KC705 with the UART and Power Cable Attached

UG883_03_011912

X-Ref Target - Figure 4

Figure 4 UART Cable Driver Installation

UG883_04_011512

12 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Hardware Test Board Setup Requirements

2 Set the USB-UART connection to a known port in the Device Manager as follows

bull Right-click My Computer and select Properties

bull Select the Hardware tab then click the Device Manager button

bull Find and right-click the Silicon Labs device in the list Then select Properties

bull Click the Port Settings tab and the Advancedhellip button

bull Select an open COM port between COM1 and COM4

Figure 5 shows the steps needed to set the USB-UART port

Note Steps and diagrams refer to use with a Windows host PC with the Windows XP or Windows 7 operating systemX-Ref Target - Figure 5

Figure 5 Port Selection on the Device Manager Screen

UG883_05_040913

KC705 Getting Started Guide wwwxilinxcom 13UG883 (v30) April 16 2013

AMS Bring-up with the AMS101 Evaluation Card

Run the BIST Application1 Start the installed terminal program

2 Press PROG (SW14) on the KC705 board and view the BIST output on the terminal window (see Figure 6)

3 Select the relevant tests to run and observe the results

For more information on the BIST software and additional tutorials including how to restore the default content of the onboard nonvolatile storage see the KC705 support website at httpwwwxilinxcomkc705 under the Docs amp Designs tab

AMS Bring-up with the AMS101 Evaluation CardThe Xilinxreg 7 series FPGAs each feature a 1 MSPS 12-bit analog-to-digital converter built into the FPGA for everything from simple analog monitoring to more signal processing intensive tasks like linearization calibration oversampling and filtering The Kintex-7 FPGA KC705 evaluation kit includes the hardware and software to evaluate this feature and determine its usefulness in the userrsquos end system

For evaluation of Xilinx Analog Mixed Signal (AMS) capability the following items from your kit are needed

bull Access to XADC header in your FPGA base board

bull AMS101 evaluation card (Figure 7)

bull FPGA design and software files downloaded from the Docs amp Designs tab at wwwxilinxcomkc705

bull USBUART drivers from Silicon Labs

bull AMS Evaluator tool

X-Ref Target - Figure 6

Figure 6 BIST Main Menu

UG883_06_040913

14 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

AMS Bring-up with the AMS101 Evaluation Card

Requirements to Get Started1 Open the USBUART as described in Install the UART Driver page 11

2 The AMS101 evaluation card requires a Windows host PC to install the AMS Evaluator tool which uses National Instruments LabView run-time engine Install the AMS Evaluator tool by unzipping the AMS Evaluator installer fileshttpssecurexilinxcomwebregclickthroughdocid=199651amplicense=RefDesLicenseampfilename=ams101_gui_installer_v1_1zip located in the Targeted Reference Designs section in the Docs amp Designs tab at wwwxilinxcomkc705 After opening the zip file folder click the setupexe file to begin the installation When loading the National Instruments LabView runtime engine Click OK to accept the license agreement Running the setup program loads the AMS101 Evaluator tool with the red Xilinx logo on the desktop

3 Download the AMS Targeted Reference Design files from the Docs amp Designs tab Kintex-7 FPGA KC705 Evaluation Kit - (7 Series FPGA AMS Targeted Reference Design User Guide (Vivado Design Suite 20124 and ISE Design Suite 144)) section at wwwxilinxcomkc705 Unzip the xadc_eval_design_kc705_V1_0zip files Open the ready_to_test folder Save the xadc_eval_designbit bitstream

X-Ref Target - Figure 7

Figure 7 AMS101 Evaluation Card

UG883_07_121112

KC705 Getting Started Guide wwwxilinxcom 15UG883 (v30) April 16 2013

AMS Bring-up with the AMS101 Evaluation Card

Evaluating AMS1 Connect and power the hardware

a Plug the AMS101 evaluation card into the XADC header on your FPGA base board

Note Ensure the notch on the XADC header lines up correctly with the AMS101 evaluation card (see Figure 8)

b Power up the FPGA base board

2 Download the design to the FPGA Installation of ISE Programming tools or Lab Tools is required for downloading the bitstream to the FPGA

Refer to the Vivado Design Suite User Guide Programming and Debugging (UG908) at httpwwwxilinxcomsupportdocumentationdt_vivadohtm to obtain information on downloading the design to the FPGA

a Open the xadc_eval_designbit file from the AMS Targeted Reference Design files

3 Run the AMS101 Evaluator LabVIEW GUI executable from your desktop After loading the AMS Evaluator installer files a red X with the AMS Evaluator program should reside on your desktop

Note The AMS bitstream can also be loaded with ChipScope analyzer

The AMS101 Evaluator GUI is shown in Figure 9

X-Ref Target - Figure 8

Figure 8 KC705 Base Board with AMS101 Evaluation Card Plugged into XADC Header

UG883_08_121112

16 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

AMS Bring-up with the AMS101 Evaluation Card

The AMS Evaluator Tool allows designers to quickly evaluate the analog signals in the time domain frequency domain display linearity verify the XADC register settings and measure the internal temperature sensor and supply voltages

For a more extensive explanation of the AMS101 evaluation card and the applicable files refer to AMS101 Evaluation Platform User Guide (UG886)

X-Ref Target - Figure 9

Figure 9 AMS101 Evaluator GUI

UG883_09_121112

Select COM Port Here

KC705 Getting Started Guide wwwxilinxcom 17UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

Advanced Bring-up Using the Base Targeted Reference DesignThe primary components of the Kintex-7 FPGA Base TRD are

bull Integrated Endpoint block for PCI Express (PCIe) See 7 Series FPGAs Integrated Block for PCI Express User Guide (UG477)

bull Northwest Logic Packet DMA [Ref 5]

bull Multiport Virtual FIFO

The TRD system can sustain up to 10 Gbs throughput end to end

Figure 10 provides an overview of the TRD

Note In Figure 10 the arrows indicate AXI interface directions (from master to slave) They do not indicate data flow directions

ComponentsThe Kintex-7 FPGA Base TRD features these components

bull Kintex-7 FPGA integrated Endpoint block for PCI Express

bull Configured with 4 lanes at a 5 Gbs link rate (Gen2) or 8 lanes at a 25 Gbs link rate (Gen1) for PCI Express v20

bull Provides a user interface compliant with AXI4-Stream interface protocol

bull Performance monitor tracks the integrated blockrsquos AXI4-Stream interface for PCIe transactions

bull Bus Mastering Scatter-Gather Packet DMA from Northwest Logic a multichannel DMA

bull Supports full-duplex operation with independent transmit and receive paths

X-Ref Target - Figure 10

Figure 10 Kintex-7 FPGA Base TRD Block Diagram

UG883_10_121112

Multiport Virtual FIFO

DD

R3

IO

Software

Multi-ChannelDMA for PCIe DDR3

Cha

nnel

-0C

2SS

2CC

hann

el-1

S2C

C2S

64 x1600 Mbs

PC

Ie x

4Gen

2 Li

nk

PC

Ie x

8Gen

1 Li

nk

VFIFOController

SoftwareDriver

Interface Blocks in FPGA Third Party IPXilinx IP On BoardCustom Logic

AXI-ST AXI-MM

Hardware

VFIFOController

VFIFOController

VFIFOController

Raw Packet Data Block

Checker

Generator

Loop

back

Loop

back

Raw Packet Data Block

Generator

Checker

User SpaceRegisters

Target InterfaceAXI Master

256 x200 MHz

64 x

250

MH

z

64 x250 MHz

64 x250 MHz

64 x250 MHz

64 x250 MHz

PerformanceMonitor

GU

I

GT

X T

rans

ceiv

er

Inte

grat

ed E

ndpo

int B

lock

for

PC

I Exp

ress

AX

I-S

T B

asic

Wra

pper

AXIMIG

AXIInterconnect

SI SI

SI SIM

I

18 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

bull Provides an AXI4-Stream interface on the back end

bull Monitors the performance of data transfers in receive and transmit directions

bull Provides an AXI4 memory-mapped target interface to access user-defined registers

Note The Northwest Logic Packet DMA shipped with the Base TRD is an evaluation version and expires after 12 hours of run time To get the full version contact Northwest Logic [Ref 7]

bull Multiport Virtual FIFO

bull DDR3 SDRAM SODIMM (64-bit 1600 Mbs 800 MHz) is used for buffering packets The memory controller delivered through the memory interface generator (MIG) tool interfaces to the DDR3 memory

bull AXI Interconnect IP along with the memory controller supports multiple ports on the memory

bull The Packetized Virtual FIFO controller controls addressing of the DDR3 memory for each port allowing DDR3 to be used as Virtual Packet FIFO

bull Software driver for a 32-bit Linux platform

bull Configures the hardware design parameters

bull Generates and consumes traffic

bull Provides a GUI to report status and performance statistics

The Kintex-7 FPGA integrated Endpoint block for PCI Express and the Packet DMA are responsible for data transfers from host system to Endpoint card (S2C) and Endpoint card to host system (C2S) Data to and from the host is stored in a Virtual FIFO built around the DDR3 memory This Multiport Virtual FIFO abstraction layer around the DDR3 memory allows the user to move traffic efficiently without the need to manage addressing and arbitration on the memory interface It also provides more depth than storage implemented using Block RAMs

The integrated Endpoint block for PCI Express Packet DMA and Multiport Virtual FIFO form the base system The base system can bridge the host to any user application running on the other end The raw data packet module is a dummy application that generates and consumes packets It can be replaced by any user specific protocol like Aurora or XAUI

The software driver runs on the host system It generates raw data traffic for transmit operations in the S2C direction It also consumes the data looped back or generated at the application end in the C2S direction

Hardware Test Setup RequirementsThese are the prerequisites for testing the design in hardware

bull KC705 Evaluation board with the Kintex-7 FPGA XC7K325T-2FFG900C device

bull Design files provided as a zipped collection under the Docs amp Designs tab at wwwxilinxcomkc705 include

bull Design source files

bull Device driver files

bull Board design files

bull Documentation

bull Vivado Design Suite

bull ISE Programming tools or LabTools

KC705 Getting Started Guide wwwxilinxcom 19UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

bull Micro USB cable

bull 4-pin to 6-pin PCIe adapter cable

bull Fedora 16 Live DVD [Ref 6]

bull PC with PCIe v20 slot For a complete list of machines tested and all known issues refer to the Kintex-7 FPGA Base Targeted Reference Design Release Notes and Known Issues Master Answer Record (httpwwwxilinxcomsupportanswers45679htm) This PC could also have Fedora Core 16 Linux OS installed on it

TRD Demonstration SetupThis section describes hardware setup and use of the application GUI to help the user get started quickly with the design in hardware It provides a step-by-step explanation of hardware bring-up and describes using the application GUI

When following the demonstration setup steps for the Kintex-7 FPGA Base TRD if the behavior is not as described refer to the known issues at wwwxilinxcomsupportanswers45679htm

Board Setup

This section describes how to set up the KC705 Evaluation board required to demonstrate the TRD

1 Set the KC705 Jumpers and Switches Verify that the KC705 Evaluation board jumpers and switches are set as shown in Table 2 and Figure 11

Table 2 Switch and Jumper Settings

Jumper Function Setting

J32 PCIe configuration width mdash 4 lane design Jump 3-4

Switch Function or Type Setting

SW15 Board power slide-switch Off

SW11 User GPIO DIP switch

4 Off

3 Off

2 Off

1 Off

S13 DIP switch SW13 positions 1 and 2 control the setting of address bits of the flashDIP switch SW13 positions 3 4 and 5 control which configuration mode

5 (M0) M2 =0 M1=1 M0=0 ndash Master BPIM2 =0 M1=0 M0=1 ndash Master SPIM2 =1 M1=0 M0=1 ndash JTAG

Off

4 (M1) On

3 (M2) Off

2 Off

1 Off

20 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

Hardware Bring-Up

This section presents steps for hardware bring-up

1 With the host system switched off insert the KC705 board in the PCIe slot through the PCI Express x8 or x16 edge connector (Figure 12)

The TRD programmed on the KC705 board has a 4-lane PCIe v20 configuration running at a 5 Gbs link rate per lane The PCI Express specification allows for a smaller lane width Endpoint to be installed into a larger lane width PCIe connector

2 Figure 13 shows the 12V power connection Connect the 12V ATX power supplyrsquos available 4-pin connector to the board (J49) via a 4-pin to 6-pin PCIe adapter cable Toggle the Power switch SW15 to the ON position

X-Ref Target - Figure 11

Figure 11 Switch and Jumper Settings

UG883_11_040913

J27 J28

J29 J30SW13

J32

SW15SW11

X-Ref Target - Figure 12if

Figure 12 KC705 Board Plugged into a PCIe x16 Slot

UG883_12_040913

KC705 Getting Started Guide wwwxilinxcom 21UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

3 Make sure the connections are tight and then power on the PC system

Note If the user wishes to boot Linux from the Fedora 16 Live DVD place the DVD in the PCrsquos DVD-ROM drive as soon as the PC system is powered on

4 Verify the status of the design on the KC705 LEDs The design provides status on the GPIO LEDs on the upper right of the KC705 board (Figure 14) After the PC system is powered on and the TRD has successfully configured status LEDs from right to left should indicate

bull LED 0 mdash ON if the PCIe link is up

bull LED 1 mdash Flashes if the PCIe user clock is present

bull LED 2 mdash ON if lane width is what is expected else it flashes (for a 4 lane design the expected lane width is 4 for an 8 lane design the expected lane width is 8)

bull LED 3 mdash ON if memory calibration is done

bull LED 4 to LED 7 mdash Not connected

X-Ref Target - Figure 13

Figure 13 Power Supply Connection

UG883_13_121112

SW15

J49 6-pinConnector

12V ATX PowerSupply Pluggedinto the 4-pinConnector

22 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

Install the Linux Driver

1 If Fedora 16 is installed on the PC systemrsquos hard disk boot as a root-privileged user and skip to step 3 page 23

2 To boot from the Fedora 16 Live DVD provided in the kit place the DVD in the PCrsquos DVD-ROM drive The Fedora 16 Live Media is for Intel-compatible PCs The DVD contains a complete bootable 32-bit Fedora 16 environment with the proper packages installed for the TRD demonstration environment For more details see Fedora Information page 2 The PC boots from the DVD-ROM drive and logs into a liveuser account This account has kernel development root privileges required to install and remove device driver modules

Note Users might have to adjust BIOS boot order settings to make sure that the DVD-ROM drive is the first drive in the boot order To enter the BIOS menu to set the boot order press the DEL or F2 key when the system is powered on Set the boot order and save the changes (The DEL or F2 key is used by most PC systems to enter the BIOS setup Some PCs might have a different way to enter the BIOS setup)

The PC should boot from the DVD-ROM drive The images in Figure 15 are seen on the monitor during boot up

X-Ref Target - Figure 14

Figure 14 Location of GPIO Status LEDs (Indicates TRD Status)

UG883_14_121112

KC705 Getting Started Guide wwwxilinxcom 23UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

3 After Fedora 16 Core boots open a terminal window (click Activities click Application scroll down and click the Terminal icon) To find out if the PCIe Endpoint is detected at the terminal command line type

$ lspci

The lspci command displays the devices in the PCI and PCI Express buses of the PC On the bus of the KC705 card slot is the message

Communication controller Xilinx Corporation Device 7042

This message confirms that the design programmed into the KC705 board has been found by the BIOS and the Fedora 16 OS The bus number varies depending on which PC motherboard and slot are used Figure 16 shows a lspci output for an example system Xilinx device 7042 has been found by the BIOS on bus number 2 (02000 - busdevfunction)

X-Ref Target - Figure 15

Figure 15 Fedora 16 Live DVD BootingFirst Screen Last Boot Screen Booted

UG883 15 040913

X-Ref Target - Figure 16

Figure 16 PCI and PCI Express Bus Devices

UG883_16_040913

24 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

4 Download the reference design from the Docs amp Designs tab at wwwxilinxcomkc705 and copy the k7_pcie_dma_ddr3_base folder into any directory

5 To set up and run the TRD demonstration the software driver should be installed on the PC system Software driver installation involves

a Building the kernel objects and the GUI

b Inserting the driver modules into the kernel

After the driver modules are loaded the application GUI can be invoked The user can set parameters through the GUI and run the TRD

When the user is done running the TRD the application GUI can be closed and the drivers can be removed

A script is provided to execute all the above actions so that the user can quickly start the TRD

The k7_trd_lin_quickstart script is available in the k7_pcie_dma_ddr3_base folder Right click the script select properties go to the permissions tab check the box Allow executing file as programmdashthis makes the script executable Close the window

To run the script double-click k7_trd_lin_quickstart in the k7_pcie_dma_ddr3_base folder (Figure 17) The window prompt in Figure 18 appears

X-Ref Target - Figure 17

Figure 17 Load Driver and Launch Application GUI

UG883_17_121112

KC705 Getting Started Guide wwwxilinxcom 25UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

Click Run in Terminal to proceed The application GUI is invoked

Proceed to the next section Using the Application GUI to set design parameters and run the TRD

X-Ref Target - Figure 18

Figure 18 Run in Terminal

UG883_18_040913

26 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

Using the Application GUI

When the drivers are loaded and the Performance Monitor GUI is invoked the user can configure the sending and receiving of data The GUI allows the user to observe the collected statistics and other status information

1 Click the System Status tab to verify the status of the KC705 board and the PCIe link (see Figure 19 and Table 3)

X-Ref Target - Figure 19

Figure 19 Verify Board Status in the Performance Monitor

UG883_19_121112

KC705 Getting Started Guide wwwxilinxcom 27UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

2 To start data traffic on the two data paths

a Click Start Test on Raw Data Path0 as shown in Figure 20 This enables the driver to start generating the data for Raw Data Path0

b Click Start Test on Raw Data Path1 as shown in Figure 20 This enables the driver to start generating the data for Raw Data Path1

Table 3 KC705 Board Status Field Explanations

Field Status Explanation

Link Status Up This confirms that the PCIe link is up and a PCIe connection is established between the Kintex-7 FPGA Endpoint for PCI Express and the PC motherboard chipset

Link Speed 50 Gbs This confirms that the PCIe link is operating at line rate speed per PCI Express v20

Link Width x4 This confirms that the PCIe link is trained as a x4 link

28 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

3 Verify TRD operations through the status information provided by the GUI (see Figure 21)

a Verify the PCIe throughput

b Verify the DMA channel throughput for the Raw Data Path0

c Verify the DMA channel throughput for the Raw Data Path1

d Verify there are no buffer descriptor errors for error-free operation

X-Ref Target - Figure 20

Figure 20 Start Data Traffic from the Performance Monitor

UG883_20_121112

KC705 Getting Started Guide wwwxilinxcom 29UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

The Kintex-7 FPGA PCIe-DMA TRD is now set up and running Close the Application GUI to unload the software drivers and stop traffic flow

X-Ref Target - Figure 21

Figure 21 Verify Error-Free Operation in the Performance Monitor

UG883_21_121112

30 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

Evaluating the Kintex-7 FPGA Base TRDThe Kintex-7 FPGA Base TRD provides a Performance and Status monitor application and GUI The application enables customers to evaluate different system parameters This section demonstrates performance variances for the PCI Express and DMA interfaces based on the parameters set

To evaluate the Kintex-7 FPGA Base TRD

1 Launch the Performance Monitor for the Kintex-7 FPGA Base TRD

a Navigate to the k7_pcie_dma_ddr3_base folder

b Double-click k7_lin_trd_quickstart (Figure 22)mdashmake sure that the script has executable permissionmdashto launch the Performance Monitor and Status GUI

c A window prompt appears as shown in Figure 23 Click Run in Terminal to proceed

2 Set up the test parameters in the Performance Monitor

a Two data paths are available Raw Data Path0 and Raw Data Path1 On each path set the Packet Size to a value between 64 ndash 32768 bytes

X-Ref Target - Figure 22

Figure 22 Launch the Performance Monitor and Status GUI

UG883_22_121112

X-Ref Target - Figure 23

Figure 23 Run k7_lin_trd_quickstart

UG883_23_121112

KC705 Getting Started Guide wwwxilinxcom 31UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

3 Execute the test and view payload statistics in the Performance and Status Monitor (see Figure 24)

a Click Start Test to start the performance test

b Click the Payload Statistics tab to view data transfers on the DMA channels

c Click Stop Test to stop data traffic

d Vary the Packet Size parameters for the Raw Data Paths (see Figure 25) and click Start Test Then view the payload statistics to review data transfer rate on the DMA channels With a decrease in packet size the performance drops

Note Before changing packet size click Stop Test change the size and then click Start Test

X-Ref Target - Figure 24

Figure 24 Payload Statistics

UG883_24_121112

32 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

Note For packet sizes equal to 64 or 128 bytes the throughput is reduced and might not be visible on the Payload Statistics tab The exact values can be viewed on the System Status tab

4 Click the PCIe Statistics tab to view data transfer numbers with varying packet sizes on the PCIe interface (Figure 26)

X-Ref Target - Figure 25

Figure 25 Effect of Varying Packet Sizes on Performance

UG883_25_121112

KC705 Getting Started Guide wwwxilinxcom 33UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

The system performance of the Kintex-7 FPGA Base TRD has now been evaluated using the pre-built demonstration design bit file

Now that the Kintex-7 FPGA Base TRD demonstration has been set up and evaluated the design can be modified Before the design can be modified make sure to install the Vivado Design Suite on a PC It is not required that tools be installed on the PC system in which the KC705 evaluation board is plugged in by way of the PCIe edge connector

X-Ref Target - Figure 26

Figure 26 PCIe Statistics in the Performance Monitor

UG883_26_121112

34 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

KC705 Getting Started Guide wwwxilinxcom 35UG883 (v30) April 16 2013

Appendix A

Additional Resources

Xilinx ResourcesFor support resources such as Answers Documentation Downloads and Forums see the Xilinx Support website at

wwwxilinxcomsupport

For continual updates add the Answer Record to your myAlerts

wwwxilinxcomsupportmyalerts

For a glossary of technical terms used in Xilinx documentation see

wwwxilinxcomcompanytermshtm

Solution CentersSee the Xilinx Solution Centers for support on devices software tools and intellectual property at all stages of the design cycle Topics include design assistance advisories and troubleshooting tips

Further ResourcesThe most up to date information related to the KC705 board and its documentation is available on the following websites

The Kintex-7 FPGA KC705 Evaluation Kit Product Page

wwwxilinxcomkc705

The Kintex-7 FPGA KC705 Evaluation Kit Master Answer Record

wwwxilinxcomsupportanswers47787htm

Design advisories by software release for the Kintex-7 FPGA KC705 Evaluation Kit

wwwxilinxcomsupportnav=sd-nav-link-179661amptab=tab-bk

Kintex-7 FPGA Base Targeted Reference Design Release Notes and Known Issues Master Answer Record

wwwxilinxcomsupportanswers45679htm

These documents provide supplemental material useful with this user guide

UG810 KC705 Evaluation Board for the Kintex-7 FPGA User Guide

UG845 KC705 Reference Design User Guide

36 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Appendix A Additional Resources

UG798 Xilinx Design Tools Installation and Licensing Guide Vivado Design Suite and ISE Design Suite

UG908 Vivado Design Suite User Guide Programming and Debugging

UG882 Kintex-7 FPGA KC705 Base Targeted Reference Design User Guide (Vivado Design Suite 20124)

UG886 AMS101 Evaluation Card User Guide

UG477 7 Series FPGAs Integrated Block for PCI Express User Guide

ReferencesThe following websites provide supplemental material useful with this guide

1 LabVIEW 32-bit Run-Time Enginehttpjoulenicomniducdsviewpid2534langen

2 LabVIEW 64-bit Run-Time Engine httpjoulenicomniducdsviewpid2536langen

3 Tera Term Pro program httphpvectorcojpauthorsVA002416teratermhtml

4 Drivers on the Silicon Labs site httpwwwsilabscomSupport20DocumentsSoftwareCP210x_VCP_Win_XP_S2K3_Vista_7exe

5 Northwest Logic DMA back end core httpwwwnwlogiccompacketdma

6 Fedora project httpfedoraprojectorg

Fedora is a Linux-based operating system used in the development of this TRD

7 The GTK+ project API documentation httpwwwgtkorgdocumentationphp

GTK+ is a toolkit for creating graphical user interfaces (GUI)

KC705 Getting Started Guide wwwxilinxcom 37UG883 (v30) April 16 2013

Appendix B

Warranty

THIS LIMITED WARRANTY applies solely to standard hardware development boards and standard hardware programming cables manufactured by or on behalf of Xilinx (ldquoDevelopment Systemsrdquo) Subject to the limitations herein Xilinx warrants that Development Systems when delivered by Xilinx or its authorized distributor for ninety (90) days following the delivery date will be free from defects in material and workmanship and will substantially conform to Xilinx publicly available specifications for such products in effect at the time of delivery This limited warranty excludes (i) engineering samples or beta versions of Development Systems (which are provided ldquoAS ISrdquo without warranty) (ii) design defects or errors known as ldquoerratardquo (iii) Development Systems procured through unauthorized third parties and (iv) Development Systems that have been subject to misuse mishandling accident alteration neglect unauthorized repair or installation Furthermore this limited warranty shall not apply to the use of covered products in an application or environment that is not within Xilinx specifications or in the event of any act error neglect or default of Customer For any breach by Xilinx of this limited warranty the exclusive remedy of Customer and the sole liability of Xilinx shall be at the option of Xilinx to replace or repair the affected products or to refund to Customer the price of the affected products The availability of replacement products is subject to product discontinuation policies at Xilinx Customer may not return product without first obtaining a customer return material authorization (RMA) number from XilinxTHE WARRANTIES SET FORTH HEREIN ARE EXCLUSIVE XILINX DISCLAIMS ALL OTHER WARRANTIES WHETHER EXPRESS IMPLIED OR STATUTORY INCLUDING WITHOUT LIMITATION ANY WARRANTY OF MERCHANTABILITY FITNESS FOR A PARTICULAR PURPOSE OR NON-INFRINGEMENT AND ANY WARRANTY THAT MAY ARISE FROM COURSE OF DEALING COURSE OF PERFORMANCE OR USAGE OF TRADE (200810)

Do not throw Xilinx products marked with the ldquocrossed out wheeled binrdquo in the trash Directive 200296EC on waste electrical and electronic equipment (WEEE) requires the separate collection of WEEE Your cooperation is essential in ensuring the proper management of WEEE and the protection of the environment and human health from potential effects arising from the presence of hazardous substances in WEEE Return the marked products to Xilinx for proper disposal Further information and instructions for free-of-charge return available at httpwwwxilinxcomehsweeehtm

38 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Appendix B Warranty

  • Kintex-7 FPGA KC705 Evaluation Kit (Vivado Design Suite 20131)
    • Revision History
    • Table of Contents
    • Getting Started with the Kintex-7 FPGA KC705 Evaluation Kit (Vivado Design Suite 20131)
      • Introduction
      • Kit Contents
      • Basic Hardware Bring-up Using the BIST
        • Hardware Test Setup Requirements
          • Hardware Test Board Setup Requirements
            • Hardware Bring-Up
            • Run the BIST Application
              • AMS Bring-up with the AMS101 Evaluation Card
                • Requirements to Get Started
                • Evaluating AMS
                  • Advanced Bring-up Using the Base Targeted Reference Design
                    • Components
                    • Hardware Test Setup Requirements
                    • TRD Demonstration Setup
                    • Evaluating the Kintex-7 FPGA Base TRD
                        • Additional Resources
                          • Xilinx Resources
                          • Solution Centers
                          • Further Resources
                          • References
                            • Warranty
                                • ltlt ASCII85EncodePages false AllowTransparency false AutoPositionEPSFiles true AutoRotatePages All Binding Left CalGrayProfile (Dot Gain 20) CalRGBProfile (sRGB IEC61966-21) CalCMYKProfile (US Web Coated 050SWOP051 v2) sRGBProfile (sRGB IEC61966-21) CannotEmbedFontPolicy Warning CompatibilityLevel 16 CompressObjects Tags CompressPages true ConvertImagesToIndexed true PassThroughJPEGImages true CreateJobTicket false DefaultRenderingIntent Default DetectBlends true DetectCurves 00000 ColorConversionStrategy LeaveColorUnchanged DoThumbnails false EmbedAllFonts true EmbedOpenType false ParseICCProfilesInComments true EmbedJobOptions true DSCReportingLevel 0 EmitDSCWarnings false EndPage -1 ImageMemory 1048576 LockDistillerParams true MaxSubsetPct 100 Optimize true OPM 1 ParseDSCComments true ParseDSCCommentsForDocInfo true PreserveCopyPage true PreserveDICMYKValues true PreserveEPSInfo true PreserveFlatness true PreserveHalftoneInfo false PreserveOPIComments false PreserveOverprintSettings true StartPage 1 SubsetFonts true TransferFunctionInfo Apply UCRandBGInfo Preserve UsePrologue false ColorSettingsFile () AlwaysEmbed [ true ] NeverEmbed [ true ] AntiAliasColorImages false CropColorImages true ColorImageMinResolution 300 ColorImageMinResolutionPolicy OK DownsampleColorImages false ColorImageDownsampleType Bicubic ColorImageResolution 300 ColorImageDepth -1 ColorImageMinDownsampleDepth 1 ColorImageDownsampleThreshold 150000 EncodeColorImages true ColorImageFilter DCTEncode AutoFilterColorImages true ColorImageAutoFilterStrategy JPEG ColorACSImageDict ltlt QFactor 040 HSamples [1 1 1 1] VSamples [1 1 1 1] gtgt ColorImageDict ltlt QFactor 015 HSamples [1 1 1 1] VSamples [1 1 1 1] gtgt JPEG2000ColorACSImageDict ltlt TileWidth 256 TileHeight 256 Quality 30 gtgt JPEG2000ColorImageDict ltlt TileWidth 256 TileHeight 256 Quality 30 gtgt AntiAliasGrayImages false CropGrayImages true GrayImageMinResolution 300 GrayImageMinResolutionPolicy OK DownsampleGrayImages false GrayImageDownsampleType Bicubic GrayImageResolution 300 GrayImageDepth -1 GrayImageMinDownsampleDepth 2 GrayImageDownsampleThreshold 150000 EncodeGrayImages true GrayImageFilter DCTEncode AutoFilterGrayImages true GrayImageAutoFilterStrategy JPEG GrayACSImageDict ltlt QFactor 040 HSamples [1 1 1 1] VSamples [1 1 1 1] gtgt GrayImageDict ltlt QFactor 015 HSamples [1 1 1 1] VSamples [1 1 1 1] gtgt JPEG2000GrayACSImageDict ltlt TileWidth 256 TileHeight 256 Quality 30 gtgt JPEG2000GrayImageDict ltlt TileWidth 256 TileHeight 256 Quality 30 gtgt AntiAliasMonoImages false CropMonoImages true MonoImageMinResolution 1200 MonoImageMinResolutionPolicy OK DownsampleMonoImages false MonoImageDownsampleType Bicubic MonoImageResolution 1200 MonoImageDepth -1 MonoImageDownsampleThreshold 150000 EncodeMonoImages true MonoImageFilter CCITTFaxEncode MonoImageDict ltlt K -1 gtgt AllowPSXObjects false CheckCompliance [ None ] PDFX1aCheck false PDFX3Check false PDFXCompliantPDFOnly false PDFXNoTrimBoxError true PDFXTrimBoxToMediaBoxOffset [ 000000 000000 000000 000000 ] PDFXSetBleedBoxToMediaBox true PDFXBleedBoxToTrimBoxOffset [ 000000 000000 000000 000000 ] PDFXOutputIntentProfile (None) PDFXOutputConditionIdentifier () PDFXOutputCondition () PDFXRegistryName () PDFXTrapped False CreateJDFFile false Description ltlt ARA ltFEFF06270633062A062E062F0645002006470630064700200627064406250639062F0627062F0627062A002006440625064606340627062100200648062B062706260642002000410064006F00620065002000500044004600200645062A0648062706410642062900200644064406370628062706390629002006300627062A002006270644062C0648062F0629002006270644063906270644064A06290020064506460020062E06440627064400200627064406370627062806390627062A00200627064406450643062A0628064A062900200623064800200623062C06470632062900200625062C06310627062100200627064406280631064806410627062A061B0020064A06450643064600200641062A062D00200648062B0627062606420020005000440046002006270644064506460634062306290020062806270633062A062E062F062706450020004100630072006F0062006100740020064800410064006F006200650020005200650061006400650072002006250635062F0627063100200035002E0030002006480627064406250635062F062706310627062A0020062706440623062D062F062B002E0020064506390020005000440046002F0041060C0020062706440631062C062706210020064506310627062C063906290020062F0644064A0644002006450633062A062E062F06450020004100630072006F006200610074061B0020064A06450643064600200641062A062D00200648062B0627062606420020005000440046002006270644064506460634062306290020062806270633062A062E062F062706450020004100630072006F0062006100740020064800410064006F006200650020005200650061006400650072002006250635062F0627063100200035002E0030002006480627064406250635062F062706310627062A0020062706440623062D062F062B002Egt BGR ltFEFF04180437043f043e043b043704320430043904420435002004420435043704380020043d0430044104420440043e0439043a0438002c00200437043000200434043000200441044a0437043404300432043004420435002000410064006f00620065002000500044004600200434043e043a0443043c0435043d044204380020043704300020043a0430044704350441044204320435043d0020043f04350447043004420020043d04300020043d043004410442043e043b043d04380020043f04400438043d04420435044004380020043800200443044104420440043e043904410442043204300020043704300020043f04350447043004420020043d04300020043f0440043e0431043d04380020044004300437043f0435044704300442043a0438002e002000200421044a04370434043004340435043d043804420435002000500044004600200434043e043a0443043c0435043d044204380020043c043e0433043004420020043404300020044104350020043e0442043204300440044f0442002004410020004100630072006f00620061007400200438002000410064006f00620065002000520065006100640065007200200035002e00300020043800200441043b0435043404320430044904380020043204350440044104380438002egt CHS ltFEFF4f7f75288fd94e9b8bbe5b9a521b5efa7684002000500044004600206587686353ef901a8fc7684c976262535370673a548c002000700072006f006f00660065007200208fdb884c9ad88d2891cf62535370300260a853ef4ee54f7f75280020004100630072006f0062006100740020548c002000410064006f00620065002000520065006100640065007200200035002e003000204ee553ca66f49ad87248672c676562535f00521b5efa768400200050004400460020658768633002gt CHT ltFEFF4f7f752890194e9b8a2d7f6e5efa7acb7684002000410064006f006200650020005000440046002065874ef653ef5728684c9762537088686a5f548c002000700072006f006f00660065007200204e0a73725f979ad854c18cea7684521753706548679c300260a853ef4ee54f7f75280020004100630072006f0062006100740020548c002000410064006f00620065002000520065006100640065007200200035002e003000204ee553ca66f49ad87248672c4f86958b555f5df25efa7acb76840020005000440046002065874ef63002gt CZE ltFEFF005400610074006f0020006e006100730074006100760065006e00ed00200070006f0075017e0069006a007400650020006b0020007600790074007600e101590065006e00ed00200064006f006b0075006d0065006e0074016f002000410064006f006200650020005000440046002000700072006f0020006b00760061006c00690074006e00ed0020007400690073006b0020006e0061002000730074006f006c006e00ed006300680020007400690073006b00e10072006e00e100630068002000610020006e00e1007400690073006b006f007600fd006300680020007a0061015900ed007a0065006e00ed00630068002e002000200056007900740076006f01590065006e00e900200064006f006b0075006d0065006e007400790020005000440046002000620075006400650020006d006f017e006e00e90020006f007400650076015900ed007400200076002000700072006f006700720061006d0065006300680020004100630072006f00620061007400200061002000410064006f00620065002000520065006100640065007200200035002e0030002000610020006e006f0076011b006a016100ed00630068002egt DAN ltFEFF004200720075006700200069006e0064007300740069006c006c0069006e006700650072006e0065002000740069006c0020006100740020006f007000720065007400740065002000410064006f006200650020005000440046002d0064006f006b0075006d0065006e007400650072002000740069006c0020006b00760061006c00690074006500740073007500640073006b007200690076006e0069006e006700200065006c006c006500720020006b006f007200720065006b007400750072006c00e60073006e0069006e0067002e0020004400650020006f007000720065007400740065006400650020005000440046002d0064006f006b0075006d0065006e0074006500720020006b0061006e002000e50062006e00650073002000690020004100630072006f00620061007400200065006c006c006500720020004100630072006f006200610074002000520065006100640065007200200035002e00300020006f00670020006e0079006500720065002egt DEU ltFEFF00560065007200770065006e00640065006e0020005300690065002000640069006500730065002000450069006e007300740065006c006c0075006e00670065006e0020007a0075006d002000450072007300740065006c006c0065006e00200076006f006e002000410064006f006200650020005000440046002d0044006f006b0075006d0065006e00740065006e002c00200076006f006e002000640065006e0065006e002000530069006500200068006f00630068007700650072007400690067006500200044007200750063006b006500200061007500660020004400650073006b0074006f0070002d0044007200750063006b00650072006e00200075006e0064002000500072006f006f0066002d00470065007200e400740065006e002000650072007a0065007500670065006e0020006d00f60063006800740065006e002e002000450072007300740065006c006c007400650020005000440046002d0044006f006b0075006d0065006e007400650020006b00f6006e006e0065006e0020006d006900740020004100630072006f00620061007400200075006e0064002000410064006f00620065002000520065006100640065007200200035002e00300020006f0064006500720020006800f600680065007200200067006500f600660066006e00650074002000770065007200640065006e002egt ESP ltFEFF005500740069006c0069006300650020006500730074006100200063006f006e0066006900670075007200610063006900f3006e0020007000610072006100200063007200650061007200200064006f00630075006d0065006e0074006f0073002000640065002000410064006f0062006500200050004400460020007000610072006100200063006f006e00730065006700750069007200200069006d0070007200650073006900f3006e002000640065002000630061006c006900640061006400200065006e00200069006d0070007200650073006f0072006100730020006400650020006500730063007200690074006f00720069006f00200079002000680065007200720061006d00690065006e00740061007300200064006500200063006f00720072006500630063006900f3006e002e002000530065002000700075006500640065006e00200061006200720069007200200064006f00630075006d0065006e0074006f00730020005000440046002000630072006500610064006f007300200063006f006e0020004100630072006f006200610074002c002000410064006f00620065002000520065006100640065007200200035002e003000200079002000760065007200730069006f006e0065007300200070006f00730074006500720069006f007200650073002egt ETI ltFEFF004b00610073007500740061006700650020006e0065006900640020007300e4007400740065006900640020006c006100750061002d0020006a00610020006b006f006e00740072006f006c006c007400f5006d006d006900730065007000720069006e0074006500720069007400650020006a0061006f006b00730020006b00760061006c006900740065006500740073006500740065002000410064006f006200650020005000440046002d0064006f006b0075006d0065006e00740069006400650020006c006f006f006d006900730065006b0073002e002e00200020004c006f006f0064007500640020005000440046002d0064006f006b0075006d0065006e00740065002000730061006100740065002000610076006100640061002000700072006f006700720061006d006d006900640065006700610020004100630072006f0062006100740020006e0069006e0067002000410064006f00620065002000520065006100640065007200200035002e00300020006a00610020007500750065006d006100740065002000760065007200730069006f006f006e00690064006500670061002e000d000agt FRA ltFEFF005500740069006c006900730065007a00200063006500730020006f007000740069006f006e00730020006100660069006e00200064006500200063007200e900650072002000640065007300200064006f00630075006d0065006e00740073002000410064006f00620065002000500044004600200070006f007500720020006400650073002000e90070007200650075007600650073002000650074002000640065007300200069006d007000720065007300730069006f006e00730020006400650020006800610075007400650020007100750061006c0069007400e90020007300750072002000640065007300200069006d007000720069006d0061006e0074006500730020006400650020006200750072006500610075002e0020004c0065007300200064006f00630075006d0065006e00740073002000500044004600200063007200e900e90073002000700065007500760065006e0074002000ea0074007200650020006f007500760065007200740073002000640061006e00730020004100630072006f006200610074002c002000610069006e00730069002000710075002700410064006f00620065002000520065006100640065007200200035002e0030002000650074002000760065007200730069006f006e007300200075006c007400e90072006900650075007200650073002egt GRE ltFEFF03a703c103b703c303b903bc03bf03c003bf03b903ae03c303c403b5002003b103c503c403ad03c2002003c403b903c2002003c103c503b803bc03af03c303b503b903c2002003b303b903b1002003bd03b1002003b403b703bc03b903bf03c503c103b303ae03c303b503c403b5002003ad03b303b303c103b103c603b1002000410064006f006200650020005000440046002003b303b903b1002003b503ba03c403cd03c003c903c303b7002003c003bf03b903cc03c403b703c403b103c2002003c303b5002003b503ba03c403c503c003c903c403ad03c2002003b303c103b103c603b503af03bf03c5002003ba03b103b9002003b403bf03ba03b903bc03b103c303c403ad03c2002e0020002003a403b10020005000440046002003ad03b303b303c103b103c603b1002003c003bf03c5002003ad03c703b503c403b5002003b403b703bc03b903bf03c503c103b303ae03c303b503b9002003bc03c003bf03c103bf03cd03bd002003bd03b1002003b103bd03bf03b903c703c403bf03cd03bd002003bc03b5002003c403bf0020004100630072006f006200610074002c002003c403bf002000410064006f006200650020005200650061006400650072002000200035002e0030002003ba03b103b9002003bc03b503c403b103b303b503bd03ad03c303c403b503c103b503c2002003b503ba03b403cc03c303b503b903c2002egt HEB ltFEFF05D405E905EA05DE05E905D5002005D105D405D205D305E805D505EA002005D005DC05D4002005DB05D305D9002005DC05D905E605D505E8002005DE05E105DE05DB05D9002000410064006F006200650020005000440046002005E205D105D505E8002005D405D305E405E105D4002005D005D905DB05D505EA05D905EA002005D105DE05D305E405E105D505EA002005E905D505DC05D705E005D905D505EA002005D505DB05DC05D9002005D405D205D405D4002E002005DE05E105DE05DB05D9002005D4002D005000440046002005E905E005D505E605E805D905DD002005E005D905EA05E005D905DD002005DC05E405EA05D905D705D4002005D105D005DE05E605E205D505EA0020004100630072006F006200610074002005D5002D00410064006F00620065002000520065006100640065007200200035002E0030002005D505D205E805E105D005D505EA002005DE05EA05E705D305DE05D505EA002005D905D505EA05E8002Egt HRV ltFEFF005a00610020007300740076006100720061006e006a0065002000410064006f00620065002000500044004600200064006f006b0075006d0065006e0061007400610020007a00610020006b00760061006c00690074006500740061006e0020006900730070006900730020006e006100200070006900730061010d0069006d006100200069006c0069002000700072006f006f006600650072002000750072006501110061006a0069006d0061002e00200020005300740076006f00720065006e0069002000500044004600200064006f006b0075006d0065006e007400690020006d006f006700750020007300650020006f00740076006f00720069007400690020004100630072006f00620061007400200069002000410064006f00620065002000520065006100640065007200200035002e0030002000690020006b00610073006e0069006a0069006d0020007600650072007a0069006a0061006d0061002egt HUN ltFEFF004d0069006e0151007300e9006700690020006e0079006f006d00610074006f006b0020006b00e90073007a00ed007400e9007300e900680065007a002000610073007a00740061006c00690020006e0079006f006d00740061007400f3006b006f006e002000e9007300200070007200f300620061006e0079006f006d00f3006b006f006e00200065007a0065006b006b0065006c0020006100200062006500e1006c006c00ed007400e10073006f006b006b0061006c002c00200068006f007a007a006f006e0020006c00e9007400720065002000410064006f00620065002000500044004600200064006f006b0075006d0065006e00740075006d006f006b00610074002e0020002000410020006c00e90074007200650068006f007a006f00740074002000500044004600200064006f006b0075006d0065006e00740075006d006f006b00200061007a0020004100630072006f006200610074002c00200061007a002000410064006f00620065002000520065006100640065007200200035002e0030002000e9007300200061007a002000610074007400f3006c0020006b00e9007301510062006200690020007600650072007a006900f3006b006b0061006c00200020006e00790069007400680061007400f3006b0020006d00650067002egt ITA ltFEFF005500740069006c0069007a007a006100720065002000710075006500730074006500200069006d0070006f007300740061007a0069006f006e00690020007000650072002000630072006500610072006500200064006f00630075006d0065006e00740069002000410064006f006200650020005000440046002000700065007200200075006e00610020007300740061006d007000610020006400690020007100750061006c0069007400e00020007300750020007300740061006d00700061006e0074006900200065002000700072006f006f0066006500720020006400650073006b0074006f0070002e0020004900200064006f00630075006d0065006e007400690020005000440046002000630072006500610074006900200070006f00730073006f006e006f0020006500730073006500720065002000610070006500720074006900200063006f006e0020004100630072006f00620061007400200065002000410064006f00620065002000520065006100640065007200200035002e003000200065002000760065007200730069006f006e006900200073007500630063006500730073006900760065002egt JPN ltFEFF9ad854c18cea51fa529b7528002000410064006f0062006500200050004400460020658766f8306e4f5c6210306b4f7f75283057307e30593002537052376642306e753b8cea3092670059279650306b4fdd306430533068304c3067304d307e3059300230c730b930af30c830c330d730d730ea30f330bf3067306e53705237307e305f306f30d730eb30fc30d57528306b9069305730663044307e305930023053306e8a2d5b9a30674f5c62103055308c305f0020005000440046002030d530a130a430eb306f3001004100630072006f0062006100740020304a30883073002000410064006f00620065002000520065006100640065007200200035002e003000204ee5964d3067958b304f30533068304c3067304d307e30593002gt KOR ltFEFFc7740020c124c815c7440020c0acc6a9d558c5ec0020b370c2a4d06cd0d10020d504b9b0d1300020bc0f0020ad50c815ae30c5d0c11c0020ace0d488c9c8b85c0020c778c1c4d560002000410064006f0062006500200050004400460020bb38c11cb97c0020c791c131d569b2c8b2e4002e0020c774b807ac8c0020c791c131b41c00200050004400460020bb38c11cb2940020004100630072006f0062006100740020bc0f002000410064006f00620065002000520065006100640065007200200035002e00300020c774c0c1c5d0c11c0020c5f40020c2180020c788c2b5b2c8b2e4002egt LTH ltFEFF004e006100750064006f006b0069007400650020016100690075006f007300200070006100720061006d006500740072007500730020006e006f0072011700640061006d00690020006b0075007200740069002000410064006f00620065002000500044004600200064006f006b0075006d0065006e007400750073002c0020006b007500720069006500200073006b00690072007400690020006b006f006b0079006200690161006b0061006900200073007000610075007300640069006e007400690020007300740061006c0069006e0069006100690073002000690072002000620061006e00640079006d006f00200073007000610075007300640069006e007400750076006100690073002e0020002000530075006b0075007200740069002000500044004600200064006f006b0075006d0065006e007400610069002000670061006c006900200062016b007400690020006100740069006400610072006f006d00690020004100630072006f006200610074002000690072002000410064006f00620065002000520065006100640065007200200035002e0030002000610072002000760117006c00650073006e0117006d00690073002000760065007200730069006a006f006d00690073002egt LVI ltFEFF0049007a006d0061006e0074006f006a00690065007400200161006f00730020006900650073007400610074012b006a0075006d00750073002c0020006c0061006900200069007a0076006500690064006f00740075002000410064006f00620065002000500044004600200064006f006b0075006d0065006e0074007500730020006b00760061006c0069007400610074012b0076006100690020006400720075006b010101610061006e00610069002000610072002000670061006c006400610020007000720069006e00740065007200690065006d00200075006e0020007000610072006100750067006e006f00760069006c006b0075006d0075002000690065007300700069006500640113006a00690065006d002e00200049007a0076006500690064006f006a006900650074002000500044004600200064006f006b0075006d0065006e007400750073002c0020006b006f002000760061007200200061007400760113007200740020006100720020004100630072006f00620061007400200075006e002000410064006f00620065002000520065006100640065007200200035002e0030002c0020006b0101002000610072012b00200074006f0020006a00610075006e0101006b0101006d002000760065007200730069006a0101006d002egt NLD (Gebruik deze instellingen om Adobe PDF-documenten te maken voor kwaliteitsafdrukken op desktopprinters en proofers De gemaakte PDF-documenten kunnen worden geopend met Acrobat en Adobe Reader 50 en hoger) NOR ltFEFF004200720075006b00200064006900730073006500200069006e006e007300740069006c006c0069006e00670065006e0065002000740069006c002000e50020006f0070007000720065007400740065002000410064006f006200650020005000440046002d0064006f006b0075006d0065006e00740065007200200066006f00720020007500740073006b00720069006600740020006100760020006800f800790020006b00760061006c00690074006500740020007000e500200062006f007200640073006b0072006900760065007200200065006c006c00650072002000700072006f006f006600650072002e0020005000440046002d0064006f006b0075006d0065006e00740065006e00650020006b0061006e002000e50070006e00650073002000690020004100630072006f00620061007400200065006c006c00650072002000410064006f00620065002000520065006100640065007200200035002e003000200065006c006c00650072002000730065006e006500720065002egt POL ltFEFF0055007300740061007700690065006e0069006100200064006f002000740077006f0072007a0065006e0069006100200064006f006b0075006d0065006e007400f3007700200050004400460020007a002000770079017c0073007a010500200072006f007a0064007a00690065006c0063007a006f015b0063006901050020006f006200720061007a006b00f30077002c0020007a0061007000650077006e00690061006a0105006301050020006c006500700073007a01050020006a0061006b006f015b0107002000770079006400720075006b00f30077002e00200044006f006b0075006d0065006e0074007900200050004400460020006d006f017c006e00610020006f007400770069006500720061010700200077002000700072006f006700720061006d006900650020004100630072006f00620061007400200069002000410064006f00620065002000520065006100640065007200200035002e0030002000690020006e006f00770073007a0079006d002egt PTB ltFEFF005500740069006c0069007a006500200065007300730061007300200063006f006e00660069006700750072006100e700f50065007300200064006500200066006f0072006d00610020006100200063007200690061007200200064006f00630075006d0065006e0074006f0073002000410064006f0062006500200050004400460020007000610072006100200069006d0070007200650073007300f5006500730020006400650020007100750061006c0069006400610064006500200065006d00200069006d00700072006500730073006f0072006100730020006400650073006b0074006f00700020006500200064006900730070006f00730069007400690076006f0073002000640065002000700072006f00760061002e0020004f007300200064006f00630075006d0065006e0074006f00730020005000440046002000630072006900610064006f007300200070006f00640065006d0020007300650072002000610062006500720074006f007300200063006f006d0020006f0020004100630072006f006200610074002000650020006f002000410064006f00620065002000520065006100640065007200200035002e0030002000650020007600650072007300f50065007300200070006f00730074006500720069006f007200650073002egt RUM ltFEFF005500740069006c0069007a00610163006900200061006300650073007400650020007300650074010300720069002000700065006e007400720075002000610020006300720065006100200064006f00630075006d0065006e00740065002000410064006f006200650020005000440046002000700065006e007400720075002000740069007001030072006900720065002000640065002000630061006c006900740061007400650020006c006100200069006d007000720069006d0061006e007400650020006400650073006b0074006f00700020015f0069002000700065006e0074007200750020007600650072006900660069006300610074006f00720069002e002000200044006f00630075006d0065006e00740065006c00650020005000440046002000630072006500610074006500200070006f00740020006600690020006400650073006300680069007300650020006300750020004100630072006f006200610074002c002000410064006f00620065002000520065006100640065007200200035002e00300020015f00690020007600650072007300690075006e0069006c006500200075006c0074006500720069006f006100720065002egt RUS ltFEFF04180441043f043e043b044c04370443043904420435002004340430043d043d044b04350020043d0430044104420440043e0439043a043800200434043b044f00200441043e043704340430043d0438044f00200434043e043a0443043c0435043d0442043e0432002000410064006f006200650020005000440046002c0020043f044004350434043d04300437043d043004470435043d043d044b044500200434043b044f0020043a0430044704350441044204320435043d043d043e04390020043f043504470430044204380020043d04300020043d043004410442043e043b044c043d044b04450020043f04400438043d044204350440043004450020043800200443044104420440043e04390441044204320430044500200434043b044f0020043f043e043b044304470435043d0438044f0020043f0440043e0431043d044b04450020043e0442044204380441043a043e0432002e002000200421043e043704340430043d043d044b04350020005000440046002d0434043e043a0443043c0435043d0442044b0020043c043e0436043d043e00200020043e0442043a0440044b043204300442044c002004410020043f043e043c043e0449044c044e0020004100630072006f00620061007400200438002000410064006f00620065002000520065006100640065007200200035002e00300020043800200431043e043b043504350020043f043e04370434043d043804450020043204350440044104380439002egt SKY ltFEFF0054006900650074006f0020006e006100730074006100760065006e0069006100200070006f0075017e0069007400650020006e00610020007600790074007600e100720061006e0069006500200064006f006b0075006d0065006e0074006f0076002000410064006f00620065002000500044004600200070007200650020006b00760061006c00690074006e00fa00200074006c0061010d0020006e0061002000730074006f006c006e00fd0063006800200074006c0061010d00690061007201480061006300680020006100200074006c0061010d006f007600fd006300680020007a006100720069006100640065006e0069006100630068002e00200056007900740076006f00720065006e00e900200064006f006b0075006d0065006e007400790020005000440046002000620075006400650020006d006f017e006e00e90020006f00740076006f00720069016500200076002000700072006f006700720061006d006f006300680020004100630072006f00620061007400200061002000410064006f00620065002000520065006100640065007200200035002e0030002000610020006e006f0076016100ed00630068002e000d000agt SLV ltFEFF005400650020006e006100730074006100760069007400760065002000750070006f0072006100620069007400650020007a00610020007500730074007600610072006a0061006e006a006500200064006f006b0075006d0065006e0074006f0076002000410064006f0062006500200050004400460020007a00610020006b0061006b006f0076006f00730074006e006f0020007400690073006b0061006e006a00650020006e00610020006e0061006d0069007a006e006900680020007400690073006b0061006c006e0069006b0069006800200069006e0020007000720065007600650072006a0061006c006e0069006b00690068002e00200020005500730074007600610072006a0065006e006500200064006f006b0075006d0065006e0074006500200050004400460020006a00650020006d006f0067006f010d00650020006f0064007000720065007400690020007a0020004100630072006f00620061007400200069006e002000410064006f00620065002000520065006100640065007200200035002e003000200069006e0020006e006f00760065006a01610069006d002egt SUO ltFEFF004b00e40079007400e40020006e00e40069007400e4002000610073006500740075006b007300690061002c0020006b0075006e0020006c0075006f0074002000410064006f0062006500200050004400460020002d0064006f006b0075006d0065006e007400740065006a00610020006c0061006100640075006b006100730074006100200074007900f6007000f60079007400e400740075006c006f0073007400750073007400610020006a00610020007600650064006f007300740075007300740061002000760061007200740065006e002e00200020004c0075006f0064007500740020005000440046002d0064006f006b0075006d0065006e00740069007400200076006f0069006400610061006e0020006100760061007400610020004100630072006f0062006100740069006c006c00610020006a0061002000410064006f00620065002000520065006100640065007200200035002e0030003a006c006c00610020006a006100200075007500640065006d006d0069006c006c0061002egt SVE ltFEFF0041006e007600e4006e00640020006400650020006800e4007200200069006e0073007400e4006c006c006e0069006e006700610072006e00610020006f006d002000640075002000760069006c006c00200073006b006100700061002000410064006f006200650020005000440046002d0064006f006b0075006d0065006e00740020006600f600720020006b00760061006c00690074006500740073007500740073006b0072006900660074006500720020007000e5002000760061006e006c00690067006100200073006b0072006900760061007200650020006f006300680020006600f600720020006b006f007200720065006b007400750072002e002000200053006b006100700061006400650020005000440046002d0064006f006b0075006d0065006e00740020006b0061006e002000f600700070006e00610073002000690020004100630072006f0062006100740020006f00630068002000410064006f00620065002000520065006100640065007200200035002e00300020006f00630068002000730065006e006100720065002egt TUR ltFEFF004d00610073006100fc0073007400fc002000790061007a013100630131006c006100720020007600650020006200610073006b01310020006d0061006b0069006e0065006c006500720069006e006400650020006b0061006c006900740065006c00690020006200610073006b013100200061006d0061006301310079006c0061002000410064006f006200650020005000440046002000620065006c00670065006c0065007200690020006f006c0075015f007400750072006d0061006b0020006900e70069006e00200062007500200061007900610072006c0061007201310020006b0075006c006c0061006e0131006e002e00200020004f006c0075015f0074007500720075006c0061006e0020005000440046002000620065006c00670065006c0065007200690020004100630072006f006200610074002000760065002000410064006f00620065002000520065006100640065007200200035002e003000200076006500200073006f006e0072006100730131006e00640061006b00690020007300fc007200fc006d006c00650072006c00650020006100e70131006c006100620069006c00690072002egt UKR ltFEFF04120438043a043e0440043804410442043e043204430439044204350020044604560020043f043004400430043c043504420440043800200434043b044f0020044104420432043e04400435043d043d044f00200434043e043a0443043c0435043d044204560432002000410064006f006200650020005000440046002c0020044f043a04560020043d04300439043a04400430044904350020043f045604340445043e0434044f0442044c00200434043b044f0020043204380441043e043a043e044f043a04560441043d043e0433043e0020043404400443043a04430020043d04300020043d0430044104420456043b044c043d043804450020043f04400438043d044204350440043004450020044204300020043f04400438044104420440043e044f044500200434043b044f0020043e044204400438043c0430043d043d044f0020043f0440043e0431043d0438044500200437043e04310440043004360435043d044c002e00200020042104420432043e04400435043d045600200434043e043a0443043c0435043d0442043800200050004400460020043c043e0436043d04300020043204560434043a0440043804420438002004430020004100630072006f006200610074002004420430002000410064006f00620065002000520065006100640065007200200035002e0030002004300431043e0020043f04560437043d04560448043e04570020043204350440044104560457002egt ENU ltFEFF005500730065002000740068006500730065002000730065007400740069006e0067007300200074006f0020006300720065006100740065002000410064006f0062006500200050004400460020006200610073006500640020006f006e0020007400680065002000640065006600610075006c0074002000580069006c0069006e007800200070006100720061006d0065007400650072002000730065007400740069006e0067007300200064006500660069006e00650064002000620079002000500053003000300034002e002000460069006c0065006e0061006d0065003a002000780069006c0069006e0078002e006a006f0062006f007000740069006f006e0073002e000d0043006f006d007000610074006100620069006c006900740079003a0020004100630072006f00620061007400200037002e0030002000280050004400460031002e00360029002e0020005500730065003a00200046006f00720020006300720065006100740069006e0067002000460069006e0061006c002c0020005000750062006c0069007300680065006400200050004400460073002e000d0043007200650061007400650064002000310030002f00310032002f003100310020006200790020006a0066006f007200730074002egt gtgt Namespace [ (Adobe) (Common) (10) ] OtherNamespaces [ ltlt AsReaderSpreads false CropImagesToFrames true ErrorControl WarnAndContinue FlattenerIgnoreSpreadOverrides false IncludeGuidesGrids false IncludeNonPrinting false IncludeSlug false Namespace [ (Adobe) (InDesign) (40) ] OmitPlacedBitmaps false OmitPlacedEPS false OmitPlacedPDF false SimulateOverprint Legacy gtgt ltlt AddBleedMarks false AddColorBars false AddCropMarks false AddPageInfo false AddRegMarks false ConvertColors NoConversion DestinationProfileName () DestinationProfileSelector NA Downsample16BitImages true FlattenerPreset ltlt PresetSelector MediumResolution gtgt FormElements false GenerateStructure true IncludeBookmarks false IncludeHyperlinks false IncludeInteractive false IncludeLayers false IncludeProfiles true MultimediaHandling UseObjectSettings Namespace [ (Adobe) (CreativeSuite) (20) ] PDFXOutputIntentProfileSelector NA PreserveEditing true UntaggedCMYKHandling LeaveUntagged UntaggedRGBHandling LeaveUntagged UseDocumentBleed false gtgt ]gtgt setdistillerparamsltlt HWResolution [1200 1200] PageSize [612000 792000]gtgt setpagedevice

Page 6: Xilinx UG883 Kintex-7 FPGA KC705 Evaluation Kit Getting ...€¦ · Updated step 2 and step 3 in Requirements to Get Started, page 14. ... KC705 Getting Started Guide 9 UG883 (v3.0)

6 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

KC705 Getting Started Guide wwwxilinxcom 7UG883 (v30) April 16 2013

Getting Started with the Kintex-7 FPGA KC705 Evaluation Kit(Vivado Design Suite 20131)

IntroductionThe Kintextrade-7 FPGA KC705 evaluation kit provides a comprehensive high-performance development and demonstration platform using the Kintex-7 FPGA family for high-bandwidth and high-performance applications in multiple market segments The kit enables designing with DDR3 IO expansion through FMC and common serial standards such as PCI Expressreg XAUI and proprietary serial standards through the SMA interface See the KC705 Evaluation Board for the Kintex-7 FPGA User Guide (UG810) and the KC705 support website at wwwxilinxcomkc705

The built-in self-test (BIST) and Kintex-7 FPGA Base Targeted Reference Design (TRD) are developed on this kit

This Getting Started Guide is divided into two sections

bull Basic Hardware Bring-up Enables hands-on operation of all the features in the BIST as well as evaluation of Analog Mixed Signal (AMS) using the AMS101 evaluation card

bull Advanced Operation Enables hands-on operation with the base TRD which features PCIe DDR3 memory and AXImdashall supported through a custom evaluation graphical user interface (GUI)

Kit ContentsThe Kintex-7 FPGA KC705 evaluation kit includes

bull KC705 base board including the XC7K325T-2FFG900CES FPGA

bull AMS101 evaluation card enabling evaluation of the AMS technology built into all 7 series FPGAs

bull Software and licenses

bull Vivadotrade Design Suite Installation DVD

bull Printed entitlement voucher Provides entitlement of the Vivado Design Suite Logic Edition device-locked to the XC7K325T-2FFG900 FPGA Follow the printed instructions on the voucher to redeem your software entitlement

bull Fedora 16 Live DVD to support the Base Targeted Reference Design

8 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Kit Contents

bull Designs

bull Targeted Reference Design Robust sub-system including PCIe Gen2 x4 Northwest Logic DMA multi-port virtual FIFO AXI and DDR3 memory controller

bull Additional Reference Designs Numerous additional reference designs available online and linked from the KC705 product page wwwxilinxcomkc705

bull Documentation

bull Kintex-7 FPGA KC705 Evaluation Kit Getting Started Guide (UG883mdashthis guide included in the box)

bull KC705 Evaluation Board for the Kintex-7 FPGA User Guide (UG810) (online on product page)

bull KC705 Reference Design User Guide (UG845) (online on product page)

bull Kintex-7 FPGA KC705 Base Targeted Reference Design User Guide (Vivado Design Suite 20124) (UG882) (online on product page)

bull Board design files including schematics Gerber files and BOM (online on product page)

bull Cables and power supply

bull Universal 12V power adapter and cords

bull Two USB cables (1x USB Type-AMini-B and 1x USB Type-AMicro-B) for download and debug

bull Ethernet crossover cable

bull HDMI cable

KC705 Getting Started Guide wwwxilinxcom 9UG883 (v30) April 16 2013

Basic Hardware Bring-up Using the BIST

Basic Hardware Bring-up Using the BISTThe built-in self-test (BIST) tests many of the features offered by the Kintex-7 FPGA KC705 evaluation kit The test is stored in the nonvolatile BPI Linear Flash memory and configures the FPGA when the mode and upper flash address pins on the board are set for Master BPI

Figure 1 provides an overview of the board features used by the BIST

Note For a diagram of all the features on the KC705 see KC705 Evaluation Board for the Kintex-7 FPGA User Guide (UG810)

Hardware Test Setup RequirementsThe prerequisites for testing the design in hardware are

bull KC705 Evaluation board with the Kintex-7 FPGA XC7K325T-2FFG900CES device

bull USB-to-Mini-B cable (for UART)

bull AC power adapter (12 VDC)

bull Terminal program [Ref 3]

Note The Tera Term Pro program is used for illustrative purposes Other programs can be used

bull USB-UART drivers from SiLabs [Ref 4]

Hardware Test Board Setup RequirementsThis section details the hardware setup and use of the terminal program for running the BIST application It contains step-by-step instructions for board bring-up

X-Ref Target - Figure 1

Figure 1 KC705 Board Features Used by the BIST

BPI Flash (U58)

USB-UART(J6)

UG883_01_040913

Power Switch(SW15)

Power (J49)

USB-JTAG(U59)

Ethernet(U37)

User Push Buttons

DDR3

Mode Switches(SW13)

Rotary Switch (SW8)Switch is underneath LCD screen

Prog

CPU Reset

User LEDsUser DIP (SW11)

10 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Hardware Test Board Setup Requirements

KC705 Evaluation Board Setup

1 Set the jumpers and switches on the KC705 board as follows

bull The mode switches (SW13) are set for Master BPI mode 010

bull The upper flash address switches (SW13) are set to 11

2 Verify the switch and jumper settings are set as shown in Table 1 and Figure 2

Note For this application the board should be set up as a stand-alone system with power coming from the 12V power adapter included with the KC705 evaluation kit

Table 1 Switch amp Jumper Settings

Switch Setting

SW15Board Power slide-switch

Off

SW11

User GPIO DIP switch

4 Off

3 Off

2 Off

1 Off

SW13

Configuration Mode switch

5 Off

4 On

3 Off

2 On

1 On

X-Ref Target - Figure 2

Figure 2 BIST Switch and Jumper Settings

UG883_02_040913

KC705 Getting Started Guide wwwxilinxcom 11UG883 (v30) April 16 2013

Hardware Test Board Setup Requirements

Hardware Bring-UpThis section details the steps for hardware bring-up

1 With the board switched off plug a USB-to-Mini-B cable into the UART port of the KC705 board and your PC (see Figure 3)

2 Install the power cable

3 Switch the KC705 board power to ON

Install the UART Driver

1 Run the downloaded executable UART-USB driver file listed in Hardware Test Setup Requirements page 9 This enables UART-USB communications with a host PC (see Figure 4)

X-Ref Target - Figure 3

Figure 3 KC705 with the UART and Power Cable Attached

UG883_03_011912

X-Ref Target - Figure 4

Figure 4 UART Cable Driver Installation

UG883_04_011512

12 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Hardware Test Board Setup Requirements

2 Set the USB-UART connection to a known port in the Device Manager as follows

bull Right-click My Computer and select Properties

bull Select the Hardware tab then click the Device Manager button

bull Find and right-click the Silicon Labs device in the list Then select Properties

bull Click the Port Settings tab and the Advancedhellip button

bull Select an open COM port between COM1 and COM4

Figure 5 shows the steps needed to set the USB-UART port

Note Steps and diagrams refer to use with a Windows host PC with the Windows XP or Windows 7 operating systemX-Ref Target - Figure 5

Figure 5 Port Selection on the Device Manager Screen

UG883_05_040913

KC705 Getting Started Guide wwwxilinxcom 13UG883 (v30) April 16 2013

AMS Bring-up with the AMS101 Evaluation Card

Run the BIST Application1 Start the installed terminal program

2 Press PROG (SW14) on the KC705 board and view the BIST output on the terminal window (see Figure 6)

3 Select the relevant tests to run and observe the results

For more information on the BIST software and additional tutorials including how to restore the default content of the onboard nonvolatile storage see the KC705 support website at httpwwwxilinxcomkc705 under the Docs amp Designs tab

AMS Bring-up with the AMS101 Evaluation CardThe Xilinxreg 7 series FPGAs each feature a 1 MSPS 12-bit analog-to-digital converter built into the FPGA for everything from simple analog monitoring to more signal processing intensive tasks like linearization calibration oversampling and filtering The Kintex-7 FPGA KC705 evaluation kit includes the hardware and software to evaluate this feature and determine its usefulness in the userrsquos end system

For evaluation of Xilinx Analog Mixed Signal (AMS) capability the following items from your kit are needed

bull Access to XADC header in your FPGA base board

bull AMS101 evaluation card (Figure 7)

bull FPGA design and software files downloaded from the Docs amp Designs tab at wwwxilinxcomkc705

bull USBUART drivers from Silicon Labs

bull AMS Evaluator tool

X-Ref Target - Figure 6

Figure 6 BIST Main Menu

UG883_06_040913

14 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

AMS Bring-up with the AMS101 Evaluation Card

Requirements to Get Started1 Open the USBUART as described in Install the UART Driver page 11

2 The AMS101 evaluation card requires a Windows host PC to install the AMS Evaluator tool which uses National Instruments LabView run-time engine Install the AMS Evaluator tool by unzipping the AMS Evaluator installer fileshttpssecurexilinxcomwebregclickthroughdocid=199651amplicense=RefDesLicenseampfilename=ams101_gui_installer_v1_1zip located in the Targeted Reference Designs section in the Docs amp Designs tab at wwwxilinxcomkc705 After opening the zip file folder click the setupexe file to begin the installation When loading the National Instruments LabView runtime engine Click OK to accept the license agreement Running the setup program loads the AMS101 Evaluator tool with the red Xilinx logo on the desktop

3 Download the AMS Targeted Reference Design files from the Docs amp Designs tab Kintex-7 FPGA KC705 Evaluation Kit - (7 Series FPGA AMS Targeted Reference Design User Guide (Vivado Design Suite 20124 and ISE Design Suite 144)) section at wwwxilinxcomkc705 Unzip the xadc_eval_design_kc705_V1_0zip files Open the ready_to_test folder Save the xadc_eval_designbit bitstream

X-Ref Target - Figure 7

Figure 7 AMS101 Evaluation Card

UG883_07_121112

KC705 Getting Started Guide wwwxilinxcom 15UG883 (v30) April 16 2013

AMS Bring-up with the AMS101 Evaluation Card

Evaluating AMS1 Connect and power the hardware

a Plug the AMS101 evaluation card into the XADC header on your FPGA base board

Note Ensure the notch on the XADC header lines up correctly with the AMS101 evaluation card (see Figure 8)

b Power up the FPGA base board

2 Download the design to the FPGA Installation of ISE Programming tools or Lab Tools is required for downloading the bitstream to the FPGA

Refer to the Vivado Design Suite User Guide Programming and Debugging (UG908) at httpwwwxilinxcomsupportdocumentationdt_vivadohtm to obtain information on downloading the design to the FPGA

a Open the xadc_eval_designbit file from the AMS Targeted Reference Design files

3 Run the AMS101 Evaluator LabVIEW GUI executable from your desktop After loading the AMS Evaluator installer files a red X with the AMS Evaluator program should reside on your desktop

Note The AMS bitstream can also be loaded with ChipScope analyzer

The AMS101 Evaluator GUI is shown in Figure 9

X-Ref Target - Figure 8

Figure 8 KC705 Base Board with AMS101 Evaluation Card Plugged into XADC Header

UG883_08_121112

16 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

AMS Bring-up with the AMS101 Evaluation Card

The AMS Evaluator Tool allows designers to quickly evaluate the analog signals in the time domain frequency domain display linearity verify the XADC register settings and measure the internal temperature sensor and supply voltages

For a more extensive explanation of the AMS101 evaluation card and the applicable files refer to AMS101 Evaluation Platform User Guide (UG886)

X-Ref Target - Figure 9

Figure 9 AMS101 Evaluator GUI

UG883_09_121112

Select COM Port Here

KC705 Getting Started Guide wwwxilinxcom 17UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

Advanced Bring-up Using the Base Targeted Reference DesignThe primary components of the Kintex-7 FPGA Base TRD are

bull Integrated Endpoint block for PCI Express (PCIe) See 7 Series FPGAs Integrated Block for PCI Express User Guide (UG477)

bull Northwest Logic Packet DMA [Ref 5]

bull Multiport Virtual FIFO

The TRD system can sustain up to 10 Gbs throughput end to end

Figure 10 provides an overview of the TRD

Note In Figure 10 the arrows indicate AXI interface directions (from master to slave) They do not indicate data flow directions

ComponentsThe Kintex-7 FPGA Base TRD features these components

bull Kintex-7 FPGA integrated Endpoint block for PCI Express

bull Configured with 4 lanes at a 5 Gbs link rate (Gen2) or 8 lanes at a 25 Gbs link rate (Gen1) for PCI Express v20

bull Provides a user interface compliant with AXI4-Stream interface protocol

bull Performance monitor tracks the integrated blockrsquos AXI4-Stream interface for PCIe transactions

bull Bus Mastering Scatter-Gather Packet DMA from Northwest Logic a multichannel DMA

bull Supports full-duplex operation with independent transmit and receive paths

X-Ref Target - Figure 10

Figure 10 Kintex-7 FPGA Base TRD Block Diagram

UG883_10_121112

Multiport Virtual FIFO

DD

R3

IO

Software

Multi-ChannelDMA for PCIe DDR3

Cha

nnel

-0C

2SS

2CC

hann

el-1

S2C

C2S

64 x1600 Mbs

PC

Ie x

4Gen

2 Li

nk

PC

Ie x

8Gen

1 Li

nk

VFIFOController

SoftwareDriver

Interface Blocks in FPGA Third Party IPXilinx IP On BoardCustom Logic

AXI-ST AXI-MM

Hardware

VFIFOController

VFIFOController

VFIFOController

Raw Packet Data Block

Checker

Generator

Loop

back

Loop

back

Raw Packet Data Block

Generator

Checker

User SpaceRegisters

Target InterfaceAXI Master

256 x200 MHz

64 x

250

MH

z

64 x250 MHz

64 x250 MHz

64 x250 MHz

64 x250 MHz

PerformanceMonitor

GU

I

GT

X T

rans

ceiv

er

Inte

grat

ed E

ndpo

int B

lock

for

PC

I Exp

ress

AX

I-S

T B

asic

Wra

pper

AXIMIG

AXIInterconnect

SI SI

SI SIM

I

18 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

bull Provides an AXI4-Stream interface on the back end

bull Monitors the performance of data transfers in receive and transmit directions

bull Provides an AXI4 memory-mapped target interface to access user-defined registers

Note The Northwest Logic Packet DMA shipped with the Base TRD is an evaluation version and expires after 12 hours of run time To get the full version contact Northwest Logic [Ref 7]

bull Multiport Virtual FIFO

bull DDR3 SDRAM SODIMM (64-bit 1600 Mbs 800 MHz) is used for buffering packets The memory controller delivered through the memory interface generator (MIG) tool interfaces to the DDR3 memory

bull AXI Interconnect IP along with the memory controller supports multiple ports on the memory

bull The Packetized Virtual FIFO controller controls addressing of the DDR3 memory for each port allowing DDR3 to be used as Virtual Packet FIFO

bull Software driver for a 32-bit Linux platform

bull Configures the hardware design parameters

bull Generates and consumes traffic

bull Provides a GUI to report status and performance statistics

The Kintex-7 FPGA integrated Endpoint block for PCI Express and the Packet DMA are responsible for data transfers from host system to Endpoint card (S2C) and Endpoint card to host system (C2S) Data to and from the host is stored in a Virtual FIFO built around the DDR3 memory This Multiport Virtual FIFO abstraction layer around the DDR3 memory allows the user to move traffic efficiently without the need to manage addressing and arbitration on the memory interface It also provides more depth than storage implemented using Block RAMs

The integrated Endpoint block for PCI Express Packet DMA and Multiport Virtual FIFO form the base system The base system can bridge the host to any user application running on the other end The raw data packet module is a dummy application that generates and consumes packets It can be replaced by any user specific protocol like Aurora or XAUI

The software driver runs on the host system It generates raw data traffic for transmit operations in the S2C direction It also consumes the data looped back or generated at the application end in the C2S direction

Hardware Test Setup RequirementsThese are the prerequisites for testing the design in hardware

bull KC705 Evaluation board with the Kintex-7 FPGA XC7K325T-2FFG900C device

bull Design files provided as a zipped collection under the Docs amp Designs tab at wwwxilinxcomkc705 include

bull Design source files

bull Device driver files

bull Board design files

bull Documentation

bull Vivado Design Suite

bull ISE Programming tools or LabTools

KC705 Getting Started Guide wwwxilinxcom 19UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

bull Micro USB cable

bull 4-pin to 6-pin PCIe adapter cable

bull Fedora 16 Live DVD [Ref 6]

bull PC with PCIe v20 slot For a complete list of machines tested and all known issues refer to the Kintex-7 FPGA Base Targeted Reference Design Release Notes and Known Issues Master Answer Record (httpwwwxilinxcomsupportanswers45679htm) This PC could also have Fedora Core 16 Linux OS installed on it

TRD Demonstration SetupThis section describes hardware setup and use of the application GUI to help the user get started quickly with the design in hardware It provides a step-by-step explanation of hardware bring-up and describes using the application GUI

When following the demonstration setup steps for the Kintex-7 FPGA Base TRD if the behavior is not as described refer to the known issues at wwwxilinxcomsupportanswers45679htm

Board Setup

This section describes how to set up the KC705 Evaluation board required to demonstrate the TRD

1 Set the KC705 Jumpers and Switches Verify that the KC705 Evaluation board jumpers and switches are set as shown in Table 2 and Figure 11

Table 2 Switch and Jumper Settings

Jumper Function Setting

J32 PCIe configuration width mdash 4 lane design Jump 3-4

Switch Function or Type Setting

SW15 Board power slide-switch Off

SW11 User GPIO DIP switch

4 Off

3 Off

2 Off

1 Off

S13 DIP switch SW13 positions 1 and 2 control the setting of address bits of the flashDIP switch SW13 positions 3 4 and 5 control which configuration mode

5 (M0) M2 =0 M1=1 M0=0 ndash Master BPIM2 =0 M1=0 M0=1 ndash Master SPIM2 =1 M1=0 M0=1 ndash JTAG

Off

4 (M1) On

3 (M2) Off

2 Off

1 Off

20 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

Hardware Bring-Up

This section presents steps for hardware bring-up

1 With the host system switched off insert the KC705 board in the PCIe slot through the PCI Express x8 or x16 edge connector (Figure 12)

The TRD programmed on the KC705 board has a 4-lane PCIe v20 configuration running at a 5 Gbs link rate per lane The PCI Express specification allows for a smaller lane width Endpoint to be installed into a larger lane width PCIe connector

2 Figure 13 shows the 12V power connection Connect the 12V ATX power supplyrsquos available 4-pin connector to the board (J49) via a 4-pin to 6-pin PCIe adapter cable Toggle the Power switch SW15 to the ON position

X-Ref Target - Figure 11

Figure 11 Switch and Jumper Settings

UG883_11_040913

J27 J28

J29 J30SW13

J32

SW15SW11

X-Ref Target - Figure 12if

Figure 12 KC705 Board Plugged into a PCIe x16 Slot

UG883_12_040913

KC705 Getting Started Guide wwwxilinxcom 21UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

3 Make sure the connections are tight and then power on the PC system

Note If the user wishes to boot Linux from the Fedora 16 Live DVD place the DVD in the PCrsquos DVD-ROM drive as soon as the PC system is powered on

4 Verify the status of the design on the KC705 LEDs The design provides status on the GPIO LEDs on the upper right of the KC705 board (Figure 14) After the PC system is powered on and the TRD has successfully configured status LEDs from right to left should indicate

bull LED 0 mdash ON if the PCIe link is up

bull LED 1 mdash Flashes if the PCIe user clock is present

bull LED 2 mdash ON if lane width is what is expected else it flashes (for a 4 lane design the expected lane width is 4 for an 8 lane design the expected lane width is 8)

bull LED 3 mdash ON if memory calibration is done

bull LED 4 to LED 7 mdash Not connected

X-Ref Target - Figure 13

Figure 13 Power Supply Connection

UG883_13_121112

SW15

J49 6-pinConnector

12V ATX PowerSupply Pluggedinto the 4-pinConnector

22 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

Install the Linux Driver

1 If Fedora 16 is installed on the PC systemrsquos hard disk boot as a root-privileged user and skip to step 3 page 23

2 To boot from the Fedora 16 Live DVD provided in the kit place the DVD in the PCrsquos DVD-ROM drive The Fedora 16 Live Media is for Intel-compatible PCs The DVD contains a complete bootable 32-bit Fedora 16 environment with the proper packages installed for the TRD demonstration environment For more details see Fedora Information page 2 The PC boots from the DVD-ROM drive and logs into a liveuser account This account has kernel development root privileges required to install and remove device driver modules

Note Users might have to adjust BIOS boot order settings to make sure that the DVD-ROM drive is the first drive in the boot order To enter the BIOS menu to set the boot order press the DEL or F2 key when the system is powered on Set the boot order and save the changes (The DEL or F2 key is used by most PC systems to enter the BIOS setup Some PCs might have a different way to enter the BIOS setup)

The PC should boot from the DVD-ROM drive The images in Figure 15 are seen on the monitor during boot up

X-Ref Target - Figure 14

Figure 14 Location of GPIO Status LEDs (Indicates TRD Status)

UG883_14_121112

KC705 Getting Started Guide wwwxilinxcom 23UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

3 After Fedora 16 Core boots open a terminal window (click Activities click Application scroll down and click the Terminal icon) To find out if the PCIe Endpoint is detected at the terminal command line type

$ lspci

The lspci command displays the devices in the PCI and PCI Express buses of the PC On the bus of the KC705 card slot is the message

Communication controller Xilinx Corporation Device 7042

This message confirms that the design programmed into the KC705 board has been found by the BIOS and the Fedora 16 OS The bus number varies depending on which PC motherboard and slot are used Figure 16 shows a lspci output for an example system Xilinx device 7042 has been found by the BIOS on bus number 2 (02000 - busdevfunction)

X-Ref Target - Figure 15

Figure 15 Fedora 16 Live DVD BootingFirst Screen Last Boot Screen Booted

UG883 15 040913

X-Ref Target - Figure 16

Figure 16 PCI and PCI Express Bus Devices

UG883_16_040913

24 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

4 Download the reference design from the Docs amp Designs tab at wwwxilinxcomkc705 and copy the k7_pcie_dma_ddr3_base folder into any directory

5 To set up and run the TRD demonstration the software driver should be installed on the PC system Software driver installation involves

a Building the kernel objects and the GUI

b Inserting the driver modules into the kernel

After the driver modules are loaded the application GUI can be invoked The user can set parameters through the GUI and run the TRD

When the user is done running the TRD the application GUI can be closed and the drivers can be removed

A script is provided to execute all the above actions so that the user can quickly start the TRD

The k7_trd_lin_quickstart script is available in the k7_pcie_dma_ddr3_base folder Right click the script select properties go to the permissions tab check the box Allow executing file as programmdashthis makes the script executable Close the window

To run the script double-click k7_trd_lin_quickstart in the k7_pcie_dma_ddr3_base folder (Figure 17) The window prompt in Figure 18 appears

X-Ref Target - Figure 17

Figure 17 Load Driver and Launch Application GUI

UG883_17_121112

KC705 Getting Started Guide wwwxilinxcom 25UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

Click Run in Terminal to proceed The application GUI is invoked

Proceed to the next section Using the Application GUI to set design parameters and run the TRD

X-Ref Target - Figure 18

Figure 18 Run in Terminal

UG883_18_040913

26 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

Using the Application GUI

When the drivers are loaded and the Performance Monitor GUI is invoked the user can configure the sending and receiving of data The GUI allows the user to observe the collected statistics and other status information

1 Click the System Status tab to verify the status of the KC705 board and the PCIe link (see Figure 19 and Table 3)

X-Ref Target - Figure 19

Figure 19 Verify Board Status in the Performance Monitor

UG883_19_121112

KC705 Getting Started Guide wwwxilinxcom 27UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

2 To start data traffic on the two data paths

a Click Start Test on Raw Data Path0 as shown in Figure 20 This enables the driver to start generating the data for Raw Data Path0

b Click Start Test on Raw Data Path1 as shown in Figure 20 This enables the driver to start generating the data for Raw Data Path1

Table 3 KC705 Board Status Field Explanations

Field Status Explanation

Link Status Up This confirms that the PCIe link is up and a PCIe connection is established between the Kintex-7 FPGA Endpoint for PCI Express and the PC motherboard chipset

Link Speed 50 Gbs This confirms that the PCIe link is operating at line rate speed per PCI Express v20

Link Width x4 This confirms that the PCIe link is trained as a x4 link

28 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

3 Verify TRD operations through the status information provided by the GUI (see Figure 21)

a Verify the PCIe throughput

b Verify the DMA channel throughput for the Raw Data Path0

c Verify the DMA channel throughput for the Raw Data Path1

d Verify there are no buffer descriptor errors for error-free operation

X-Ref Target - Figure 20

Figure 20 Start Data Traffic from the Performance Monitor

UG883_20_121112

KC705 Getting Started Guide wwwxilinxcom 29UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

The Kintex-7 FPGA PCIe-DMA TRD is now set up and running Close the Application GUI to unload the software drivers and stop traffic flow

X-Ref Target - Figure 21

Figure 21 Verify Error-Free Operation in the Performance Monitor

UG883_21_121112

30 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

Evaluating the Kintex-7 FPGA Base TRDThe Kintex-7 FPGA Base TRD provides a Performance and Status monitor application and GUI The application enables customers to evaluate different system parameters This section demonstrates performance variances for the PCI Express and DMA interfaces based on the parameters set

To evaluate the Kintex-7 FPGA Base TRD

1 Launch the Performance Monitor for the Kintex-7 FPGA Base TRD

a Navigate to the k7_pcie_dma_ddr3_base folder

b Double-click k7_lin_trd_quickstart (Figure 22)mdashmake sure that the script has executable permissionmdashto launch the Performance Monitor and Status GUI

c A window prompt appears as shown in Figure 23 Click Run in Terminal to proceed

2 Set up the test parameters in the Performance Monitor

a Two data paths are available Raw Data Path0 and Raw Data Path1 On each path set the Packet Size to a value between 64 ndash 32768 bytes

X-Ref Target - Figure 22

Figure 22 Launch the Performance Monitor and Status GUI

UG883_22_121112

X-Ref Target - Figure 23

Figure 23 Run k7_lin_trd_quickstart

UG883_23_121112

KC705 Getting Started Guide wwwxilinxcom 31UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

3 Execute the test and view payload statistics in the Performance and Status Monitor (see Figure 24)

a Click Start Test to start the performance test

b Click the Payload Statistics tab to view data transfers on the DMA channels

c Click Stop Test to stop data traffic

d Vary the Packet Size parameters for the Raw Data Paths (see Figure 25) and click Start Test Then view the payload statistics to review data transfer rate on the DMA channels With a decrease in packet size the performance drops

Note Before changing packet size click Stop Test change the size and then click Start Test

X-Ref Target - Figure 24

Figure 24 Payload Statistics

UG883_24_121112

32 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

Note For packet sizes equal to 64 or 128 bytes the throughput is reduced and might not be visible on the Payload Statistics tab The exact values can be viewed on the System Status tab

4 Click the PCIe Statistics tab to view data transfer numbers with varying packet sizes on the PCIe interface (Figure 26)

X-Ref Target - Figure 25

Figure 25 Effect of Varying Packet Sizes on Performance

UG883_25_121112

KC705 Getting Started Guide wwwxilinxcom 33UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

The system performance of the Kintex-7 FPGA Base TRD has now been evaluated using the pre-built demonstration design bit file

Now that the Kintex-7 FPGA Base TRD demonstration has been set up and evaluated the design can be modified Before the design can be modified make sure to install the Vivado Design Suite on a PC It is not required that tools be installed on the PC system in which the KC705 evaluation board is plugged in by way of the PCIe edge connector

X-Ref Target - Figure 26

Figure 26 PCIe Statistics in the Performance Monitor

UG883_26_121112

34 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

KC705 Getting Started Guide wwwxilinxcom 35UG883 (v30) April 16 2013

Appendix A

Additional Resources

Xilinx ResourcesFor support resources such as Answers Documentation Downloads and Forums see the Xilinx Support website at

wwwxilinxcomsupport

For continual updates add the Answer Record to your myAlerts

wwwxilinxcomsupportmyalerts

For a glossary of technical terms used in Xilinx documentation see

wwwxilinxcomcompanytermshtm

Solution CentersSee the Xilinx Solution Centers for support on devices software tools and intellectual property at all stages of the design cycle Topics include design assistance advisories and troubleshooting tips

Further ResourcesThe most up to date information related to the KC705 board and its documentation is available on the following websites

The Kintex-7 FPGA KC705 Evaluation Kit Product Page

wwwxilinxcomkc705

The Kintex-7 FPGA KC705 Evaluation Kit Master Answer Record

wwwxilinxcomsupportanswers47787htm

Design advisories by software release for the Kintex-7 FPGA KC705 Evaluation Kit

wwwxilinxcomsupportnav=sd-nav-link-179661amptab=tab-bk

Kintex-7 FPGA Base Targeted Reference Design Release Notes and Known Issues Master Answer Record

wwwxilinxcomsupportanswers45679htm

These documents provide supplemental material useful with this user guide

UG810 KC705 Evaluation Board for the Kintex-7 FPGA User Guide

UG845 KC705 Reference Design User Guide

36 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Appendix A Additional Resources

UG798 Xilinx Design Tools Installation and Licensing Guide Vivado Design Suite and ISE Design Suite

UG908 Vivado Design Suite User Guide Programming and Debugging

UG882 Kintex-7 FPGA KC705 Base Targeted Reference Design User Guide (Vivado Design Suite 20124)

UG886 AMS101 Evaluation Card User Guide

UG477 7 Series FPGAs Integrated Block for PCI Express User Guide

ReferencesThe following websites provide supplemental material useful with this guide

1 LabVIEW 32-bit Run-Time Enginehttpjoulenicomniducdsviewpid2534langen

2 LabVIEW 64-bit Run-Time Engine httpjoulenicomniducdsviewpid2536langen

3 Tera Term Pro program httphpvectorcojpauthorsVA002416teratermhtml

4 Drivers on the Silicon Labs site httpwwwsilabscomSupport20DocumentsSoftwareCP210x_VCP_Win_XP_S2K3_Vista_7exe

5 Northwest Logic DMA back end core httpwwwnwlogiccompacketdma

6 Fedora project httpfedoraprojectorg

Fedora is a Linux-based operating system used in the development of this TRD

7 The GTK+ project API documentation httpwwwgtkorgdocumentationphp

GTK+ is a toolkit for creating graphical user interfaces (GUI)

KC705 Getting Started Guide wwwxilinxcom 37UG883 (v30) April 16 2013

Appendix B

Warranty

THIS LIMITED WARRANTY applies solely to standard hardware development boards and standard hardware programming cables manufactured by or on behalf of Xilinx (ldquoDevelopment Systemsrdquo) Subject to the limitations herein Xilinx warrants that Development Systems when delivered by Xilinx or its authorized distributor for ninety (90) days following the delivery date will be free from defects in material and workmanship and will substantially conform to Xilinx publicly available specifications for such products in effect at the time of delivery This limited warranty excludes (i) engineering samples or beta versions of Development Systems (which are provided ldquoAS ISrdquo without warranty) (ii) design defects or errors known as ldquoerratardquo (iii) Development Systems procured through unauthorized third parties and (iv) Development Systems that have been subject to misuse mishandling accident alteration neglect unauthorized repair or installation Furthermore this limited warranty shall not apply to the use of covered products in an application or environment that is not within Xilinx specifications or in the event of any act error neglect or default of Customer For any breach by Xilinx of this limited warranty the exclusive remedy of Customer and the sole liability of Xilinx shall be at the option of Xilinx to replace or repair the affected products or to refund to Customer the price of the affected products The availability of replacement products is subject to product discontinuation policies at Xilinx Customer may not return product without first obtaining a customer return material authorization (RMA) number from XilinxTHE WARRANTIES SET FORTH HEREIN ARE EXCLUSIVE XILINX DISCLAIMS ALL OTHER WARRANTIES WHETHER EXPRESS IMPLIED OR STATUTORY INCLUDING WITHOUT LIMITATION ANY WARRANTY OF MERCHANTABILITY FITNESS FOR A PARTICULAR PURPOSE OR NON-INFRINGEMENT AND ANY WARRANTY THAT MAY ARISE FROM COURSE OF DEALING COURSE OF PERFORMANCE OR USAGE OF TRADE (200810)

Do not throw Xilinx products marked with the ldquocrossed out wheeled binrdquo in the trash Directive 200296EC on waste electrical and electronic equipment (WEEE) requires the separate collection of WEEE Your cooperation is essential in ensuring the proper management of WEEE and the protection of the environment and human health from potential effects arising from the presence of hazardous substances in WEEE Return the marked products to Xilinx for proper disposal Further information and instructions for free-of-charge return available at httpwwwxilinxcomehsweeehtm

38 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Appendix B Warranty

  • Kintex-7 FPGA KC705 Evaluation Kit (Vivado Design Suite 20131)
    • Revision History
    • Table of Contents
    • Getting Started with the Kintex-7 FPGA KC705 Evaluation Kit (Vivado Design Suite 20131)
      • Introduction
      • Kit Contents
      • Basic Hardware Bring-up Using the BIST
        • Hardware Test Setup Requirements
          • Hardware Test Board Setup Requirements
            • Hardware Bring-Up
            • Run the BIST Application
              • AMS Bring-up with the AMS101 Evaluation Card
                • Requirements to Get Started
                • Evaluating AMS
                  • Advanced Bring-up Using the Base Targeted Reference Design
                    • Components
                    • Hardware Test Setup Requirements
                    • TRD Demonstration Setup
                    • Evaluating the Kintex-7 FPGA Base TRD
                        • Additional Resources
                          • Xilinx Resources
                          • Solution Centers
                          • Further Resources
                          • References
                            • Warranty
                                • ltlt ASCII85EncodePages false AllowTransparency false AutoPositionEPSFiles true AutoRotatePages All Binding Left CalGrayProfile (Dot Gain 20) CalRGBProfile (sRGB IEC61966-21) CalCMYKProfile (US Web Coated 050SWOP051 v2) sRGBProfile (sRGB IEC61966-21) CannotEmbedFontPolicy Warning CompatibilityLevel 16 CompressObjects Tags CompressPages true ConvertImagesToIndexed true PassThroughJPEGImages true CreateJobTicket false DefaultRenderingIntent Default DetectBlends true DetectCurves 00000 ColorConversionStrategy LeaveColorUnchanged DoThumbnails false EmbedAllFonts true EmbedOpenType false ParseICCProfilesInComments true EmbedJobOptions true DSCReportingLevel 0 EmitDSCWarnings false EndPage -1 ImageMemory 1048576 LockDistillerParams true MaxSubsetPct 100 Optimize true OPM 1 ParseDSCComments true ParseDSCCommentsForDocInfo true PreserveCopyPage true PreserveDICMYKValues true PreserveEPSInfo true PreserveFlatness true PreserveHalftoneInfo false PreserveOPIComments false PreserveOverprintSettings true StartPage 1 SubsetFonts true TransferFunctionInfo Apply UCRandBGInfo Preserve UsePrologue false ColorSettingsFile () AlwaysEmbed [ true ] NeverEmbed [ true ] AntiAliasColorImages false CropColorImages true ColorImageMinResolution 300 ColorImageMinResolutionPolicy OK DownsampleColorImages false ColorImageDownsampleType Bicubic ColorImageResolution 300 ColorImageDepth -1 ColorImageMinDownsampleDepth 1 ColorImageDownsampleThreshold 150000 EncodeColorImages true ColorImageFilter DCTEncode AutoFilterColorImages true ColorImageAutoFilterStrategy JPEG ColorACSImageDict ltlt QFactor 040 HSamples [1 1 1 1] VSamples [1 1 1 1] gtgt ColorImageDict ltlt QFactor 015 HSamples [1 1 1 1] VSamples [1 1 1 1] gtgt JPEG2000ColorACSImageDict ltlt TileWidth 256 TileHeight 256 Quality 30 gtgt JPEG2000ColorImageDict ltlt TileWidth 256 TileHeight 256 Quality 30 gtgt AntiAliasGrayImages false CropGrayImages true GrayImageMinResolution 300 GrayImageMinResolutionPolicy OK DownsampleGrayImages false GrayImageDownsampleType Bicubic GrayImageResolution 300 GrayImageDepth -1 GrayImageMinDownsampleDepth 2 GrayImageDownsampleThreshold 150000 EncodeGrayImages true GrayImageFilter DCTEncode AutoFilterGrayImages true GrayImageAutoFilterStrategy JPEG GrayACSImageDict ltlt QFactor 040 HSamples [1 1 1 1] VSamples [1 1 1 1] gtgt GrayImageDict ltlt QFactor 015 HSamples [1 1 1 1] VSamples [1 1 1 1] gtgt JPEG2000GrayACSImageDict ltlt TileWidth 256 TileHeight 256 Quality 30 gtgt JPEG2000GrayImageDict ltlt TileWidth 256 TileHeight 256 Quality 30 gtgt AntiAliasMonoImages false CropMonoImages true MonoImageMinResolution 1200 MonoImageMinResolutionPolicy OK DownsampleMonoImages false MonoImageDownsampleType Bicubic MonoImageResolution 1200 MonoImageDepth -1 MonoImageDownsampleThreshold 150000 EncodeMonoImages true MonoImageFilter CCITTFaxEncode MonoImageDict ltlt K -1 gtgt AllowPSXObjects false CheckCompliance [ None ] PDFX1aCheck false PDFX3Check false PDFXCompliantPDFOnly false PDFXNoTrimBoxError true PDFXTrimBoxToMediaBoxOffset [ 000000 000000 000000 000000 ] PDFXSetBleedBoxToMediaBox true PDFXBleedBoxToTrimBoxOffset [ 000000 000000 000000 000000 ] PDFXOutputIntentProfile (None) PDFXOutputConditionIdentifier () PDFXOutputCondition () PDFXRegistryName () PDFXTrapped False CreateJDFFile false Description ltlt ARA ltFEFF06270633062A062E062F0645002006470630064700200627064406250639062F0627062F0627062A002006440625064606340627062100200648062B062706260642002000410064006F00620065002000500044004600200645062A0648062706410642062900200644064406370628062706390629002006300627062A002006270644062C0648062F0629002006270644063906270644064A06290020064506460020062E06440627064400200627064406370627062806390627062A00200627064406450643062A0628064A062900200623064800200623062C06470632062900200625062C06310627062100200627064406280631064806410627062A061B0020064A06450643064600200641062A062D00200648062B0627062606420020005000440046002006270644064506460634062306290020062806270633062A062E062F062706450020004100630072006F0062006100740020064800410064006F006200650020005200650061006400650072002006250635062F0627063100200035002E0030002006480627064406250635062F062706310627062A0020062706440623062D062F062B002E0020064506390020005000440046002F0041060C0020062706440631062C062706210020064506310627062C063906290020062F0644064A0644002006450633062A062E062F06450020004100630072006F006200610074061B0020064A06450643064600200641062A062D00200648062B0627062606420020005000440046002006270644064506460634062306290020062806270633062A062E062F062706450020004100630072006F0062006100740020064800410064006F006200650020005200650061006400650072002006250635062F0627063100200035002E0030002006480627064406250635062F062706310627062A0020062706440623062D062F062B002Egt BGR ltFEFF04180437043f043e043b043704320430043904420435002004420435043704380020043d0430044104420440043e0439043a0438002c00200437043000200434043000200441044a0437043404300432043004420435002000410064006f00620065002000500044004600200434043e043a0443043c0435043d044204380020043704300020043a0430044704350441044204320435043d0020043f04350447043004420020043d04300020043d043004410442043e043b043d04380020043f04400438043d04420435044004380020043800200443044104420440043e043904410442043204300020043704300020043f04350447043004420020043d04300020043f0440043e0431043d04380020044004300437043f0435044704300442043a0438002e002000200421044a04370434043004340435043d043804420435002000500044004600200434043e043a0443043c0435043d044204380020043c043e0433043004420020043404300020044104350020043e0442043204300440044f0442002004410020004100630072006f00620061007400200438002000410064006f00620065002000520065006100640065007200200035002e00300020043800200441043b0435043404320430044904380020043204350440044104380438002egt CHS ltFEFF4f7f75288fd94e9b8bbe5b9a521b5efa7684002000500044004600206587686353ef901a8fc7684c976262535370673a548c002000700072006f006f00660065007200208fdb884c9ad88d2891cf62535370300260a853ef4ee54f7f75280020004100630072006f0062006100740020548c002000410064006f00620065002000520065006100640065007200200035002e003000204ee553ca66f49ad87248672c676562535f00521b5efa768400200050004400460020658768633002gt CHT ltFEFF4f7f752890194e9b8a2d7f6e5efa7acb7684002000410064006f006200650020005000440046002065874ef653ef5728684c9762537088686a5f548c002000700072006f006f00660065007200204e0a73725f979ad854c18cea7684521753706548679c300260a853ef4ee54f7f75280020004100630072006f0062006100740020548c002000410064006f00620065002000520065006100640065007200200035002e003000204ee553ca66f49ad87248672c4f86958b555f5df25efa7acb76840020005000440046002065874ef63002gt CZE ltFEFF005400610074006f0020006e006100730074006100760065006e00ed00200070006f0075017e0069006a007400650020006b0020007600790074007600e101590065006e00ed00200064006f006b0075006d0065006e0074016f002000410064006f006200650020005000440046002000700072006f0020006b00760061006c00690074006e00ed0020007400690073006b0020006e0061002000730074006f006c006e00ed006300680020007400690073006b00e10072006e00e100630068002000610020006e00e1007400690073006b006f007600fd006300680020007a0061015900ed007a0065006e00ed00630068002e002000200056007900740076006f01590065006e00e900200064006f006b0075006d0065006e007400790020005000440046002000620075006400650020006d006f017e006e00e90020006f007400650076015900ed007400200076002000700072006f006700720061006d0065006300680020004100630072006f00620061007400200061002000410064006f00620065002000520065006100640065007200200035002e0030002000610020006e006f0076011b006a016100ed00630068002egt DAN ltFEFF004200720075006700200069006e0064007300740069006c006c0069006e006700650072006e0065002000740069006c0020006100740020006f007000720065007400740065002000410064006f006200650020005000440046002d0064006f006b0075006d0065006e007400650072002000740069006c0020006b00760061006c00690074006500740073007500640073006b007200690076006e0069006e006700200065006c006c006500720020006b006f007200720065006b007400750072006c00e60073006e0069006e0067002e0020004400650020006f007000720065007400740065006400650020005000440046002d0064006f006b0075006d0065006e0074006500720020006b0061006e002000e50062006e00650073002000690020004100630072006f00620061007400200065006c006c006500720020004100630072006f006200610074002000520065006100640065007200200035002e00300020006f00670020006e0079006500720065002egt DEU ltFEFF00560065007200770065006e00640065006e0020005300690065002000640069006500730065002000450069006e007300740065006c006c0075006e00670065006e0020007a0075006d002000450072007300740065006c006c0065006e00200076006f006e002000410064006f006200650020005000440046002d0044006f006b0075006d0065006e00740065006e002c00200076006f006e002000640065006e0065006e002000530069006500200068006f00630068007700650072007400690067006500200044007200750063006b006500200061007500660020004400650073006b0074006f0070002d0044007200750063006b00650072006e00200075006e0064002000500072006f006f0066002d00470065007200e400740065006e002000650072007a0065007500670065006e0020006d00f60063006800740065006e002e002000450072007300740065006c006c007400650020005000440046002d0044006f006b0075006d0065006e007400650020006b00f6006e006e0065006e0020006d006900740020004100630072006f00620061007400200075006e0064002000410064006f00620065002000520065006100640065007200200035002e00300020006f0064006500720020006800f600680065007200200067006500f600660066006e00650074002000770065007200640065006e002egt ESP ltFEFF005500740069006c0069006300650020006500730074006100200063006f006e0066006900670075007200610063006900f3006e0020007000610072006100200063007200650061007200200064006f00630075006d0065006e0074006f0073002000640065002000410064006f0062006500200050004400460020007000610072006100200063006f006e00730065006700750069007200200069006d0070007200650073006900f3006e002000640065002000630061006c006900640061006400200065006e00200069006d0070007200650073006f0072006100730020006400650020006500730063007200690074006f00720069006f00200079002000680065007200720061006d00690065006e00740061007300200064006500200063006f00720072006500630063006900f3006e002e002000530065002000700075006500640065006e00200061006200720069007200200064006f00630075006d0065006e0074006f00730020005000440046002000630072006500610064006f007300200063006f006e0020004100630072006f006200610074002c002000410064006f00620065002000520065006100640065007200200035002e003000200079002000760065007200730069006f006e0065007300200070006f00730074006500720069006f007200650073002egt ETI ltFEFF004b00610073007500740061006700650020006e0065006900640020007300e4007400740065006900640020006c006100750061002d0020006a00610020006b006f006e00740072006f006c006c007400f5006d006d006900730065007000720069006e0074006500720069007400650020006a0061006f006b00730020006b00760061006c006900740065006500740073006500740065002000410064006f006200650020005000440046002d0064006f006b0075006d0065006e00740069006400650020006c006f006f006d006900730065006b0073002e002e00200020004c006f006f0064007500640020005000440046002d0064006f006b0075006d0065006e00740065002000730061006100740065002000610076006100640061002000700072006f006700720061006d006d006900640065006700610020004100630072006f0062006100740020006e0069006e0067002000410064006f00620065002000520065006100640065007200200035002e00300020006a00610020007500750065006d006100740065002000760065007200730069006f006f006e00690064006500670061002e000d000agt FRA ltFEFF005500740069006c006900730065007a00200063006500730020006f007000740069006f006e00730020006100660069006e00200064006500200063007200e900650072002000640065007300200064006f00630075006d0065006e00740073002000410064006f00620065002000500044004600200070006f007500720020006400650073002000e90070007200650075007600650073002000650074002000640065007300200069006d007000720065007300730069006f006e00730020006400650020006800610075007400650020007100750061006c0069007400e90020007300750072002000640065007300200069006d007000720069006d0061006e0074006500730020006400650020006200750072006500610075002e0020004c0065007300200064006f00630075006d0065006e00740073002000500044004600200063007200e900e90073002000700065007500760065006e0074002000ea0074007200650020006f007500760065007200740073002000640061006e00730020004100630072006f006200610074002c002000610069006e00730069002000710075002700410064006f00620065002000520065006100640065007200200035002e0030002000650074002000760065007200730069006f006e007300200075006c007400e90072006900650075007200650073002egt GRE ltFEFF03a703c103b703c303b903bc03bf03c003bf03b903ae03c303c403b5002003b103c503c403ad03c2002003c403b903c2002003c103c503b803bc03af03c303b503b903c2002003b303b903b1002003bd03b1002003b403b703bc03b903bf03c503c103b303ae03c303b503c403b5002003ad03b303b303c103b103c603b1002000410064006f006200650020005000440046002003b303b903b1002003b503ba03c403cd03c003c903c303b7002003c003bf03b903cc03c403b703c403b103c2002003c303b5002003b503ba03c403c503c003c903c403ad03c2002003b303c103b103c603b503af03bf03c5002003ba03b103b9002003b403bf03ba03b903bc03b103c303c403ad03c2002e0020002003a403b10020005000440046002003ad03b303b303c103b103c603b1002003c003bf03c5002003ad03c703b503c403b5002003b403b703bc03b903bf03c503c103b303ae03c303b503b9002003bc03c003bf03c103bf03cd03bd002003bd03b1002003b103bd03bf03b903c703c403bf03cd03bd002003bc03b5002003c403bf0020004100630072006f006200610074002c002003c403bf002000410064006f006200650020005200650061006400650072002000200035002e0030002003ba03b103b9002003bc03b503c403b103b303b503bd03ad03c303c403b503c103b503c2002003b503ba03b403cc03c303b503b903c2002egt HEB ltFEFF05D405E905EA05DE05E905D5002005D105D405D205D305E805D505EA002005D005DC05D4002005DB05D305D9002005DC05D905E605D505E8002005DE05E105DE05DB05D9002000410064006F006200650020005000440046002005E205D105D505E8002005D405D305E405E105D4002005D005D905DB05D505EA05D905EA002005D105DE05D305E405E105D505EA002005E905D505DC05D705E005D905D505EA002005D505DB05DC05D9002005D405D205D405D4002E002005DE05E105DE05DB05D9002005D4002D005000440046002005E905E005D505E605E805D905DD002005E005D905EA05E005D905DD002005DC05E405EA05D905D705D4002005D105D005DE05E605E205D505EA0020004100630072006F006200610074002005D5002D00410064006F00620065002000520065006100640065007200200035002E0030002005D505D205E805E105D005D505EA002005DE05EA05E705D305DE05D505EA002005D905D505EA05E8002Egt HRV ltFEFF005a00610020007300740076006100720061006e006a0065002000410064006f00620065002000500044004600200064006f006b0075006d0065006e0061007400610020007a00610020006b00760061006c00690074006500740061006e0020006900730070006900730020006e006100200070006900730061010d0069006d006100200069006c0069002000700072006f006f006600650072002000750072006501110061006a0069006d0061002e00200020005300740076006f00720065006e0069002000500044004600200064006f006b0075006d0065006e007400690020006d006f006700750020007300650020006f00740076006f00720069007400690020004100630072006f00620061007400200069002000410064006f00620065002000520065006100640065007200200035002e0030002000690020006b00610073006e0069006a0069006d0020007600650072007a0069006a0061006d0061002egt HUN ltFEFF004d0069006e0151007300e9006700690020006e0079006f006d00610074006f006b0020006b00e90073007a00ed007400e9007300e900680065007a002000610073007a00740061006c00690020006e0079006f006d00740061007400f3006b006f006e002000e9007300200070007200f300620061006e0079006f006d00f3006b006f006e00200065007a0065006b006b0065006c0020006100200062006500e1006c006c00ed007400e10073006f006b006b0061006c002c00200068006f007a007a006f006e0020006c00e9007400720065002000410064006f00620065002000500044004600200064006f006b0075006d0065006e00740075006d006f006b00610074002e0020002000410020006c00e90074007200650068006f007a006f00740074002000500044004600200064006f006b0075006d0065006e00740075006d006f006b00200061007a0020004100630072006f006200610074002c00200061007a002000410064006f00620065002000520065006100640065007200200035002e0030002000e9007300200061007a002000610074007400f3006c0020006b00e9007301510062006200690020007600650072007a006900f3006b006b0061006c00200020006e00790069007400680061007400f3006b0020006d00650067002egt ITA ltFEFF005500740069006c0069007a007a006100720065002000710075006500730074006500200069006d0070006f007300740061007a0069006f006e00690020007000650072002000630072006500610072006500200064006f00630075006d0065006e00740069002000410064006f006200650020005000440046002000700065007200200075006e00610020007300740061006d007000610020006400690020007100750061006c0069007400e00020007300750020007300740061006d00700061006e0074006900200065002000700072006f006f0066006500720020006400650073006b0074006f0070002e0020004900200064006f00630075006d0065006e007400690020005000440046002000630072006500610074006900200070006f00730073006f006e006f0020006500730073006500720065002000610070006500720074006900200063006f006e0020004100630072006f00620061007400200065002000410064006f00620065002000520065006100640065007200200035002e003000200065002000760065007200730069006f006e006900200073007500630063006500730073006900760065002egt JPN ltFEFF9ad854c18cea51fa529b7528002000410064006f0062006500200050004400460020658766f8306e4f5c6210306b4f7f75283057307e30593002537052376642306e753b8cea3092670059279650306b4fdd306430533068304c3067304d307e3059300230c730b930af30c830c330d730d730ea30f330bf3067306e53705237307e305f306f30d730eb30fc30d57528306b9069305730663044307e305930023053306e8a2d5b9a30674f5c62103055308c305f0020005000440046002030d530a130a430eb306f3001004100630072006f0062006100740020304a30883073002000410064006f00620065002000520065006100640065007200200035002e003000204ee5964d3067958b304f30533068304c3067304d307e30593002gt KOR ltFEFFc7740020c124c815c7440020c0acc6a9d558c5ec0020b370c2a4d06cd0d10020d504b9b0d1300020bc0f0020ad50c815ae30c5d0c11c0020ace0d488c9c8b85c0020c778c1c4d560002000410064006f0062006500200050004400460020bb38c11cb97c0020c791c131d569b2c8b2e4002e0020c774b807ac8c0020c791c131b41c00200050004400460020bb38c11cb2940020004100630072006f0062006100740020bc0f002000410064006f00620065002000520065006100640065007200200035002e00300020c774c0c1c5d0c11c0020c5f40020c2180020c788c2b5b2c8b2e4002egt LTH ltFEFF004e006100750064006f006b0069007400650020016100690075006f007300200070006100720061006d006500740072007500730020006e006f0072011700640061006d00690020006b0075007200740069002000410064006f00620065002000500044004600200064006f006b0075006d0065006e007400750073002c0020006b007500720069006500200073006b00690072007400690020006b006f006b0079006200690161006b0061006900200073007000610075007300640069006e007400690020007300740061006c0069006e0069006100690073002000690072002000620061006e00640079006d006f00200073007000610075007300640069006e007400750076006100690073002e0020002000530075006b0075007200740069002000500044004600200064006f006b0075006d0065006e007400610069002000670061006c006900200062016b007400690020006100740069006400610072006f006d00690020004100630072006f006200610074002000690072002000410064006f00620065002000520065006100640065007200200035002e0030002000610072002000760117006c00650073006e0117006d00690073002000760065007200730069006a006f006d00690073002egt LVI ltFEFF0049007a006d0061006e0074006f006a00690065007400200161006f00730020006900650073007400610074012b006a0075006d00750073002c0020006c0061006900200069007a0076006500690064006f00740075002000410064006f00620065002000500044004600200064006f006b0075006d0065006e0074007500730020006b00760061006c0069007400610074012b0076006100690020006400720075006b010101610061006e00610069002000610072002000670061006c006400610020007000720069006e00740065007200690065006d00200075006e0020007000610072006100750067006e006f00760069006c006b0075006d0075002000690065007300700069006500640113006a00690065006d002e00200049007a0076006500690064006f006a006900650074002000500044004600200064006f006b0075006d0065006e007400750073002c0020006b006f002000760061007200200061007400760113007200740020006100720020004100630072006f00620061007400200075006e002000410064006f00620065002000520065006100640065007200200035002e0030002c0020006b0101002000610072012b00200074006f0020006a00610075006e0101006b0101006d002000760065007200730069006a0101006d002egt NLD (Gebruik deze instellingen om Adobe PDF-documenten te maken voor kwaliteitsafdrukken op desktopprinters en proofers De gemaakte PDF-documenten kunnen worden geopend met Acrobat en Adobe Reader 50 en hoger) NOR ltFEFF004200720075006b00200064006900730073006500200069006e006e007300740069006c006c0069006e00670065006e0065002000740069006c002000e50020006f0070007000720065007400740065002000410064006f006200650020005000440046002d0064006f006b0075006d0065006e00740065007200200066006f00720020007500740073006b00720069006600740020006100760020006800f800790020006b00760061006c00690074006500740020007000e500200062006f007200640073006b0072006900760065007200200065006c006c00650072002000700072006f006f006600650072002e0020005000440046002d0064006f006b0075006d0065006e00740065006e00650020006b0061006e002000e50070006e00650073002000690020004100630072006f00620061007400200065006c006c00650072002000410064006f00620065002000520065006100640065007200200035002e003000200065006c006c00650072002000730065006e006500720065002egt POL ltFEFF0055007300740061007700690065006e0069006100200064006f002000740077006f0072007a0065006e0069006100200064006f006b0075006d0065006e007400f3007700200050004400460020007a002000770079017c0073007a010500200072006f007a0064007a00690065006c0063007a006f015b0063006901050020006f006200720061007a006b00f30077002c0020007a0061007000650077006e00690061006a0105006301050020006c006500700073007a01050020006a0061006b006f015b0107002000770079006400720075006b00f30077002e00200044006f006b0075006d0065006e0074007900200050004400460020006d006f017c006e00610020006f007400770069006500720061010700200077002000700072006f006700720061006d006900650020004100630072006f00620061007400200069002000410064006f00620065002000520065006100640065007200200035002e0030002000690020006e006f00770073007a0079006d002egt PTB ltFEFF005500740069006c0069007a006500200065007300730061007300200063006f006e00660069006700750072006100e700f50065007300200064006500200066006f0072006d00610020006100200063007200690061007200200064006f00630075006d0065006e0074006f0073002000410064006f0062006500200050004400460020007000610072006100200069006d0070007200650073007300f5006500730020006400650020007100750061006c0069006400610064006500200065006d00200069006d00700072006500730073006f0072006100730020006400650073006b0074006f00700020006500200064006900730070006f00730069007400690076006f0073002000640065002000700072006f00760061002e0020004f007300200064006f00630075006d0065006e0074006f00730020005000440046002000630072006900610064006f007300200070006f00640065006d0020007300650072002000610062006500720074006f007300200063006f006d0020006f0020004100630072006f006200610074002000650020006f002000410064006f00620065002000520065006100640065007200200035002e0030002000650020007600650072007300f50065007300200070006f00730074006500720069006f007200650073002egt RUM ltFEFF005500740069006c0069007a00610163006900200061006300650073007400650020007300650074010300720069002000700065006e007400720075002000610020006300720065006100200064006f00630075006d0065006e00740065002000410064006f006200650020005000440046002000700065006e007400720075002000740069007001030072006900720065002000640065002000630061006c006900740061007400650020006c006100200069006d007000720069006d0061006e007400650020006400650073006b0074006f00700020015f0069002000700065006e0074007200750020007600650072006900660069006300610074006f00720069002e002000200044006f00630075006d0065006e00740065006c00650020005000440046002000630072006500610074006500200070006f00740020006600690020006400650073006300680069007300650020006300750020004100630072006f006200610074002c002000410064006f00620065002000520065006100640065007200200035002e00300020015f00690020007600650072007300690075006e0069006c006500200075006c0074006500720069006f006100720065002egt RUS ltFEFF04180441043f043e043b044c04370443043904420435002004340430043d043d044b04350020043d0430044104420440043e0439043a043800200434043b044f00200441043e043704340430043d0438044f00200434043e043a0443043c0435043d0442043e0432002000410064006f006200650020005000440046002c0020043f044004350434043d04300437043d043004470435043d043d044b044500200434043b044f0020043a0430044704350441044204320435043d043d043e04390020043f043504470430044204380020043d04300020043d043004410442043e043b044c043d044b04450020043f04400438043d044204350440043004450020043800200443044104420440043e04390441044204320430044500200434043b044f0020043f043e043b044304470435043d0438044f0020043f0440043e0431043d044b04450020043e0442044204380441043a043e0432002e002000200421043e043704340430043d043d044b04350020005000440046002d0434043e043a0443043c0435043d0442044b0020043c043e0436043d043e00200020043e0442043a0440044b043204300442044c002004410020043f043e043c043e0449044c044e0020004100630072006f00620061007400200438002000410064006f00620065002000520065006100640065007200200035002e00300020043800200431043e043b043504350020043f043e04370434043d043804450020043204350440044104380439002egt SKY ltFEFF0054006900650074006f0020006e006100730074006100760065006e0069006100200070006f0075017e0069007400650020006e00610020007600790074007600e100720061006e0069006500200064006f006b0075006d0065006e0074006f0076002000410064006f00620065002000500044004600200070007200650020006b00760061006c00690074006e00fa00200074006c0061010d0020006e0061002000730074006f006c006e00fd0063006800200074006c0061010d00690061007201480061006300680020006100200074006c0061010d006f007600fd006300680020007a006100720069006100640065006e0069006100630068002e00200056007900740076006f00720065006e00e900200064006f006b0075006d0065006e007400790020005000440046002000620075006400650020006d006f017e006e00e90020006f00740076006f00720069016500200076002000700072006f006700720061006d006f006300680020004100630072006f00620061007400200061002000410064006f00620065002000520065006100640065007200200035002e0030002000610020006e006f0076016100ed00630068002e000d000agt SLV ltFEFF005400650020006e006100730074006100760069007400760065002000750070006f0072006100620069007400650020007a00610020007500730074007600610072006a0061006e006a006500200064006f006b0075006d0065006e0074006f0076002000410064006f0062006500200050004400460020007a00610020006b0061006b006f0076006f00730074006e006f0020007400690073006b0061006e006a00650020006e00610020006e0061006d0069007a006e006900680020007400690073006b0061006c006e0069006b0069006800200069006e0020007000720065007600650072006a0061006c006e0069006b00690068002e00200020005500730074007600610072006a0065006e006500200064006f006b0075006d0065006e0074006500200050004400460020006a00650020006d006f0067006f010d00650020006f0064007000720065007400690020007a0020004100630072006f00620061007400200069006e002000410064006f00620065002000520065006100640065007200200035002e003000200069006e0020006e006f00760065006a01610069006d002egt SUO ltFEFF004b00e40079007400e40020006e00e40069007400e4002000610073006500740075006b007300690061002c0020006b0075006e0020006c0075006f0074002000410064006f0062006500200050004400460020002d0064006f006b0075006d0065006e007400740065006a00610020006c0061006100640075006b006100730074006100200074007900f6007000f60079007400e400740075006c006f0073007400750073007400610020006a00610020007600650064006f007300740075007300740061002000760061007200740065006e002e00200020004c0075006f0064007500740020005000440046002d0064006f006b0075006d0065006e00740069007400200076006f0069006400610061006e0020006100760061007400610020004100630072006f0062006100740069006c006c00610020006a0061002000410064006f00620065002000520065006100640065007200200035002e0030003a006c006c00610020006a006100200075007500640065006d006d0069006c006c0061002egt SVE ltFEFF0041006e007600e4006e00640020006400650020006800e4007200200069006e0073007400e4006c006c006e0069006e006700610072006e00610020006f006d002000640075002000760069006c006c00200073006b006100700061002000410064006f006200650020005000440046002d0064006f006b0075006d0065006e00740020006600f600720020006b00760061006c00690074006500740073007500740073006b0072006900660074006500720020007000e5002000760061006e006c00690067006100200073006b0072006900760061007200650020006f006300680020006600f600720020006b006f007200720065006b007400750072002e002000200053006b006100700061006400650020005000440046002d0064006f006b0075006d0065006e00740020006b0061006e002000f600700070006e00610073002000690020004100630072006f0062006100740020006f00630068002000410064006f00620065002000520065006100640065007200200035002e00300020006f00630068002000730065006e006100720065002egt TUR ltFEFF004d00610073006100fc0073007400fc002000790061007a013100630131006c006100720020007600650020006200610073006b01310020006d0061006b0069006e0065006c006500720069006e006400650020006b0061006c006900740065006c00690020006200610073006b013100200061006d0061006301310079006c0061002000410064006f006200650020005000440046002000620065006c00670065006c0065007200690020006f006c0075015f007400750072006d0061006b0020006900e70069006e00200062007500200061007900610072006c0061007201310020006b0075006c006c0061006e0131006e002e00200020004f006c0075015f0074007500720075006c0061006e0020005000440046002000620065006c00670065006c0065007200690020004100630072006f006200610074002000760065002000410064006f00620065002000520065006100640065007200200035002e003000200076006500200073006f006e0072006100730131006e00640061006b00690020007300fc007200fc006d006c00650072006c00650020006100e70131006c006100620069006c00690072002egt UKR ltFEFF04120438043a043e0440043804410442043e043204430439044204350020044604560020043f043004400430043c043504420440043800200434043b044f0020044104420432043e04400435043d043d044f00200434043e043a0443043c0435043d044204560432002000410064006f006200650020005000440046002c0020044f043a04560020043d04300439043a04400430044904350020043f045604340445043e0434044f0442044c00200434043b044f0020043204380441043e043a043e044f043a04560441043d043e0433043e0020043404400443043a04430020043d04300020043d0430044104420456043b044c043d043804450020043f04400438043d044204350440043004450020044204300020043f04400438044104420440043e044f044500200434043b044f0020043e044204400438043c0430043d043d044f0020043f0440043e0431043d0438044500200437043e04310440043004360435043d044c002e00200020042104420432043e04400435043d045600200434043e043a0443043c0435043d0442043800200050004400460020043c043e0436043d04300020043204560434043a0440043804420438002004430020004100630072006f006200610074002004420430002000410064006f00620065002000520065006100640065007200200035002e0030002004300431043e0020043f04560437043d04560448043e04570020043204350440044104560457002egt ENU ltFEFF005500730065002000740068006500730065002000730065007400740069006e0067007300200074006f0020006300720065006100740065002000410064006f0062006500200050004400460020006200610073006500640020006f006e0020007400680065002000640065006600610075006c0074002000580069006c0069006e007800200070006100720061006d0065007400650072002000730065007400740069006e0067007300200064006500660069006e00650064002000620079002000500053003000300034002e002000460069006c0065006e0061006d0065003a002000780069006c0069006e0078002e006a006f0062006f007000740069006f006e0073002e000d0043006f006d007000610074006100620069006c006900740079003a0020004100630072006f00620061007400200037002e0030002000280050004400460031002e00360029002e0020005500730065003a00200046006f00720020006300720065006100740069006e0067002000460069006e0061006c002c0020005000750062006c0069007300680065006400200050004400460073002e000d0043007200650061007400650064002000310030002f00310032002f003100310020006200790020006a0066006f007200730074002egt gtgt Namespace [ (Adobe) (Common) (10) ] OtherNamespaces [ ltlt AsReaderSpreads false CropImagesToFrames true ErrorControl WarnAndContinue FlattenerIgnoreSpreadOverrides false IncludeGuidesGrids false IncludeNonPrinting false IncludeSlug false Namespace [ (Adobe) (InDesign) (40) ] OmitPlacedBitmaps false OmitPlacedEPS false OmitPlacedPDF false SimulateOverprint Legacy gtgt ltlt AddBleedMarks false AddColorBars false AddCropMarks false AddPageInfo false AddRegMarks false ConvertColors NoConversion DestinationProfileName () DestinationProfileSelector NA Downsample16BitImages true FlattenerPreset ltlt PresetSelector MediumResolution gtgt FormElements false GenerateStructure true IncludeBookmarks false IncludeHyperlinks false IncludeInteractive false IncludeLayers false IncludeProfiles true MultimediaHandling UseObjectSettings Namespace [ (Adobe) (CreativeSuite) (20) ] PDFXOutputIntentProfileSelector NA PreserveEditing true UntaggedCMYKHandling LeaveUntagged UntaggedRGBHandling LeaveUntagged UseDocumentBleed false gtgt ]gtgt setdistillerparamsltlt HWResolution [1200 1200] PageSize [612000 792000]gtgt setpagedevice

Page 7: Xilinx UG883 Kintex-7 FPGA KC705 Evaluation Kit Getting ...€¦ · Updated step 2 and step 3 in Requirements to Get Started, page 14. ... KC705 Getting Started Guide 9 UG883 (v3.0)

KC705 Getting Started Guide wwwxilinxcom 7UG883 (v30) April 16 2013

Getting Started with the Kintex-7 FPGA KC705 Evaluation Kit(Vivado Design Suite 20131)

IntroductionThe Kintextrade-7 FPGA KC705 evaluation kit provides a comprehensive high-performance development and demonstration platform using the Kintex-7 FPGA family for high-bandwidth and high-performance applications in multiple market segments The kit enables designing with DDR3 IO expansion through FMC and common serial standards such as PCI Expressreg XAUI and proprietary serial standards through the SMA interface See the KC705 Evaluation Board for the Kintex-7 FPGA User Guide (UG810) and the KC705 support website at wwwxilinxcomkc705

The built-in self-test (BIST) and Kintex-7 FPGA Base Targeted Reference Design (TRD) are developed on this kit

This Getting Started Guide is divided into two sections

bull Basic Hardware Bring-up Enables hands-on operation of all the features in the BIST as well as evaluation of Analog Mixed Signal (AMS) using the AMS101 evaluation card

bull Advanced Operation Enables hands-on operation with the base TRD which features PCIe DDR3 memory and AXImdashall supported through a custom evaluation graphical user interface (GUI)

Kit ContentsThe Kintex-7 FPGA KC705 evaluation kit includes

bull KC705 base board including the XC7K325T-2FFG900CES FPGA

bull AMS101 evaluation card enabling evaluation of the AMS technology built into all 7 series FPGAs

bull Software and licenses

bull Vivadotrade Design Suite Installation DVD

bull Printed entitlement voucher Provides entitlement of the Vivado Design Suite Logic Edition device-locked to the XC7K325T-2FFG900 FPGA Follow the printed instructions on the voucher to redeem your software entitlement

bull Fedora 16 Live DVD to support the Base Targeted Reference Design

8 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Kit Contents

bull Designs

bull Targeted Reference Design Robust sub-system including PCIe Gen2 x4 Northwest Logic DMA multi-port virtual FIFO AXI and DDR3 memory controller

bull Additional Reference Designs Numerous additional reference designs available online and linked from the KC705 product page wwwxilinxcomkc705

bull Documentation

bull Kintex-7 FPGA KC705 Evaluation Kit Getting Started Guide (UG883mdashthis guide included in the box)

bull KC705 Evaluation Board for the Kintex-7 FPGA User Guide (UG810) (online on product page)

bull KC705 Reference Design User Guide (UG845) (online on product page)

bull Kintex-7 FPGA KC705 Base Targeted Reference Design User Guide (Vivado Design Suite 20124) (UG882) (online on product page)

bull Board design files including schematics Gerber files and BOM (online on product page)

bull Cables and power supply

bull Universal 12V power adapter and cords

bull Two USB cables (1x USB Type-AMini-B and 1x USB Type-AMicro-B) for download and debug

bull Ethernet crossover cable

bull HDMI cable

KC705 Getting Started Guide wwwxilinxcom 9UG883 (v30) April 16 2013

Basic Hardware Bring-up Using the BIST

Basic Hardware Bring-up Using the BISTThe built-in self-test (BIST) tests many of the features offered by the Kintex-7 FPGA KC705 evaluation kit The test is stored in the nonvolatile BPI Linear Flash memory and configures the FPGA when the mode and upper flash address pins on the board are set for Master BPI

Figure 1 provides an overview of the board features used by the BIST

Note For a diagram of all the features on the KC705 see KC705 Evaluation Board for the Kintex-7 FPGA User Guide (UG810)

Hardware Test Setup RequirementsThe prerequisites for testing the design in hardware are

bull KC705 Evaluation board with the Kintex-7 FPGA XC7K325T-2FFG900CES device

bull USB-to-Mini-B cable (for UART)

bull AC power adapter (12 VDC)

bull Terminal program [Ref 3]

Note The Tera Term Pro program is used for illustrative purposes Other programs can be used

bull USB-UART drivers from SiLabs [Ref 4]

Hardware Test Board Setup RequirementsThis section details the hardware setup and use of the terminal program for running the BIST application It contains step-by-step instructions for board bring-up

X-Ref Target - Figure 1

Figure 1 KC705 Board Features Used by the BIST

BPI Flash (U58)

USB-UART(J6)

UG883_01_040913

Power Switch(SW15)

Power (J49)

USB-JTAG(U59)

Ethernet(U37)

User Push Buttons

DDR3

Mode Switches(SW13)

Rotary Switch (SW8)Switch is underneath LCD screen

Prog

CPU Reset

User LEDsUser DIP (SW11)

10 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Hardware Test Board Setup Requirements

KC705 Evaluation Board Setup

1 Set the jumpers and switches on the KC705 board as follows

bull The mode switches (SW13) are set for Master BPI mode 010

bull The upper flash address switches (SW13) are set to 11

2 Verify the switch and jumper settings are set as shown in Table 1 and Figure 2

Note For this application the board should be set up as a stand-alone system with power coming from the 12V power adapter included with the KC705 evaluation kit

Table 1 Switch amp Jumper Settings

Switch Setting

SW15Board Power slide-switch

Off

SW11

User GPIO DIP switch

4 Off

3 Off

2 Off

1 Off

SW13

Configuration Mode switch

5 Off

4 On

3 Off

2 On

1 On

X-Ref Target - Figure 2

Figure 2 BIST Switch and Jumper Settings

UG883_02_040913

KC705 Getting Started Guide wwwxilinxcom 11UG883 (v30) April 16 2013

Hardware Test Board Setup Requirements

Hardware Bring-UpThis section details the steps for hardware bring-up

1 With the board switched off plug a USB-to-Mini-B cable into the UART port of the KC705 board and your PC (see Figure 3)

2 Install the power cable

3 Switch the KC705 board power to ON

Install the UART Driver

1 Run the downloaded executable UART-USB driver file listed in Hardware Test Setup Requirements page 9 This enables UART-USB communications with a host PC (see Figure 4)

X-Ref Target - Figure 3

Figure 3 KC705 with the UART and Power Cable Attached

UG883_03_011912

X-Ref Target - Figure 4

Figure 4 UART Cable Driver Installation

UG883_04_011512

12 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Hardware Test Board Setup Requirements

2 Set the USB-UART connection to a known port in the Device Manager as follows

bull Right-click My Computer and select Properties

bull Select the Hardware tab then click the Device Manager button

bull Find and right-click the Silicon Labs device in the list Then select Properties

bull Click the Port Settings tab and the Advancedhellip button

bull Select an open COM port between COM1 and COM4

Figure 5 shows the steps needed to set the USB-UART port

Note Steps and diagrams refer to use with a Windows host PC with the Windows XP or Windows 7 operating systemX-Ref Target - Figure 5

Figure 5 Port Selection on the Device Manager Screen

UG883_05_040913

KC705 Getting Started Guide wwwxilinxcom 13UG883 (v30) April 16 2013

AMS Bring-up with the AMS101 Evaluation Card

Run the BIST Application1 Start the installed terminal program

2 Press PROG (SW14) on the KC705 board and view the BIST output on the terminal window (see Figure 6)

3 Select the relevant tests to run and observe the results

For more information on the BIST software and additional tutorials including how to restore the default content of the onboard nonvolatile storage see the KC705 support website at httpwwwxilinxcomkc705 under the Docs amp Designs tab

AMS Bring-up with the AMS101 Evaluation CardThe Xilinxreg 7 series FPGAs each feature a 1 MSPS 12-bit analog-to-digital converter built into the FPGA for everything from simple analog monitoring to more signal processing intensive tasks like linearization calibration oversampling and filtering The Kintex-7 FPGA KC705 evaluation kit includes the hardware and software to evaluate this feature and determine its usefulness in the userrsquos end system

For evaluation of Xilinx Analog Mixed Signal (AMS) capability the following items from your kit are needed

bull Access to XADC header in your FPGA base board

bull AMS101 evaluation card (Figure 7)

bull FPGA design and software files downloaded from the Docs amp Designs tab at wwwxilinxcomkc705

bull USBUART drivers from Silicon Labs

bull AMS Evaluator tool

X-Ref Target - Figure 6

Figure 6 BIST Main Menu

UG883_06_040913

14 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

AMS Bring-up with the AMS101 Evaluation Card

Requirements to Get Started1 Open the USBUART as described in Install the UART Driver page 11

2 The AMS101 evaluation card requires a Windows host PC to install the AMS Evaluator tool which uses National Instruments LabView run-time engine Install the AMS Evaluator tool by unzipping the AMS Evaluator installer fileshttpssecurexilinxcomwebregclickthroughdocid=199651amplicense=RefDesLicenseampfilename=ams101_gui_installer_v1_1zip located in the Targeted Reference Designs section in the Docs amp Designs tab at wwwxilinxcomkc705 After opening the zip file folder click the setupexe file to begin the installation When loading the National Instruments LabView runtime engine Click OK to accept the license agreement Running the setup program loads the AMS101 Evaluator tool with the red Xilinx logo on the desktop

3 Download the AMS Targeted Reference Design files from the Docs amp Designs tab Kintex-7 FPGA KC705 Evaluation Kit - (7 Series FPGA AMS Targeted Reference Design User Guide (Vivado Design Suite 20124 and ISE Design Suite 144)) section at wwwxilinxcomkc705 Unzip the xadc_eval_design_kc705_V1_0zip files Open the ready_to_test folder Save the xadc_eval_designbit bitstream

X-Ref Target - Figure 7

Figure 7 AMS101 Evaluation Card

UG883_07_121112

KC705 Getting Started Guide wwwxilinxcom 15UG883 (v30) April 16 2013

AMS Bring-up with the AMS101 Evaluation Card

Evaluating AMS1 Connect and power the hardware

a Plug the AMS101 evaluation card into the XADC header on your FPGA base board

Note Ensure the notch on the XADC header lines up correctly with the AMS101 evaluation card (see Figure 8)

b Power up the FPGA base board

2 Download the design to the FPGA Installation of ISE Programming tools or Lab Tools is required for downloading the bitstream to the FPGA

Refer to the Vivado Design Suite User Guide Programming and Debugging (UG908) at httpwwwxilinxcomsupportdocumentationdt_vivadohtm to obtain information on downloading the design to the FPGA

a Open the xadc_eval_designbit file from the AMS Targeted Reference Design files

3 Run the AMS101 Evaluator LabVIEW GUI executable from your desktop After loading the AMS Evaluator installer files a red X with the AMS Evaluator program should reside on your desktop

Note The AMS bitstream can also be loaded with ChipScope analyzer

The AMS101 Evaluator GUI is shown in Figure 9

X-Ref Target - Figure 8

Figure 8 KC705 Base Board with AMS101 Evaluation Card Plugged into XADC Header

UG883_08_121112

16 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

AMS Bring-up with the AMS101 Evaluation Card

The AMS Evaluator Tool allows designers to quickly evaluate the analog signals in the time domain frequency domain display linearity verify the XADC register settings and measure the internal temperature sensor and supply voltages

For a more extensive explanation of the AMS101 evaluation card and the applicable files refer to AMS101 Evaluation Platform User Guide (UG886)

X-Ref Target - Figure 9

Figure 9 AMS101 Evaluator GUI

UG883_09_121112

Select COM Port Here

KC705 Getting Started Guide wwwxilinxcom 17UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

Advanced Bring-up Using the Base Targeted Reference DesignThe primary components of the Kintex-7 FPGA Base TRD are

bull Integrated Endpoint block for PCI Express (PCIe) See 7 Series FPGAs Integrated Block for PCI Express User Guide (UG477)

bull Northwest Logic Packet DMA [Ref 5]

bull Multiport Virtual FIFO

The TRD system can sustain up to 10 Gbs throughput end to end

Figure 10 provides an overview of the TRD

Note In Figure 10 the arrows indicate AXI interface directions (from master to slave) They do not indicate data flow directions

ComponentsThe Kintex-7 FPGA Base TRD features these components

bull Kintex-7 FPGA integrated Endpoint block for PCI Express

bull Configured with 4 lanes at a 5 Gbs link rate (Gen2) or 8 lanes at a 25 Gbs link rate (Gen1) for PCI Express v20

bull Provides a user interface compliant with AXI4-Stream interface protocol

bull Performance monitor tracks the integrated blockrsquos AXI4-Stream interface for PCIe transactions

bull Bus Mastering Scatter-Gather Packet DMA from Northwest Logic a multichannel DMA

bull Supports full-duplex operation with independent transmit and receive paths

X-Ref Target - Figure 10

Figure 10 Kintex-7 FPGA Base TRD Block Diagram

UG883_10_121112

Multiport Virtual FIFO

DD

R3

IO

Software

Multi-ChannelDMA for PCIe DDR3

Cha

nnel

-0C

2SS

2CC

hann

el-1

S2C

C2S

64 x1600 Mbs

PC

Ie x

4Gen

2 Li

nk

PC

Ie x

8Gen

1 Li

nk

VFIFOController

SoftwareDriver

Interface Blocks in FPGA Third Party IPXilinx IP On BoardCustom Logic

AXI-ST AXI-MM

Hardware

VFIFOController

VFIFOController

VFIFOController

Raw Packet Data Block

Checker

Generator

Loop

back

Loop

back

Raw Packet Data Block

Generator

Checker

User SpaceRegisters

Target InterfaceAXI Master

256 x200 MHz

64 x

250

MH

z

64 x250 MHz

64 x250 MHz

64 x250 MHz

64 x250 MHz

PerformanceMonitor

GU

I

GT

X T

rans

ceiv

er

Inte

grat

ed E

ndpo

int B

lock

for

PC

I Exp

ress

AX

I-S

T B

asic

Wra

pper

AXIMIG

AXIInterconnect

SI SI

SI SIM

I

18 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

bull Provides an AXI4-Stream interface on the back end

bull Monitors the performance of data transfers in receive and transmit directions

bull Provides an AXI4 memory-mapped target interface to access user-defined registers

Note The Northwest Logic Packet DMA shipped with the Base TRD is an evaluation version and expires after 12 hours of run time To get the full version contact Northwest Logic [Ref 7]

bull Multiport Virtual FIFO

bull DDR3 SDRAM SODIMM (64-bit 1600 Mbs 800 MHz) is used for buffering packets The memory controller delivered through the memory interface generator (MIG) tool interfaces to the DDR3 memory

bull AXI Interconnect IP along with the memory controller supports multiple ports on the memory

bull The Packetized Virtual FIFO controller controls addressing of the DDR3 memory for each port allowing DDR3 to be used as Virtual Packet FIFO

bull Software driver for a 32-bit Linux platform

bull Configures the hardware design parameters

bull Generates and consumes traffic

bull Provides a GUI to report status and performance statistics

The Kintex-7 FPGA integrated Endpoint block for PCI Express and the Packet DMA are responsible for data transfers from host system to Endpoint card (S2C) and Endpoint card to host system (C2S) Data to and from the host is stored in a Virtual FIFO built around the DDR3 memory This Multiport Virtual FIFO abstraction layer around the DDR3 memory allows the user to move traffic efficiently without the need to manage addressing and arbitration on the memory interface It also provides more depth than storage implemented using Block RAMs

The integrated Endpoint block for PCI Express Packet DMA and Multiport Virtual FIFO form the base system The base system can bridge the host to any user application running on the other end The raw data packet module is a dummy application that generates and consumes packets It can be replaced by any user specific protocol like Aurora or XAUI

The software driver runs on the host system It generates raw data traffic for transmit operations in the S2C direction It also consumes the data looped back or generated at the application end in the C2S direction

Hardware Test Setup RequirementsThese are the prerequisites for testing the design in hardware

bull KC705 Evaluation board with the Kintex-7 FPGA XC7K325T-2FFG900C device

bull Design files provided as a zipped collection under the Docs amp Designs tab at wwwxilinxcomkc705 include

bull Design source files

bull Device driver files

bull Board design files

bull Documentation

bull Vivado Design Suite

bull ISE Programming tools or LabTools

KC705 Getting Started Guide wwwxilinxcom 19UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

bull Micro USB cable

bull 4-pin to 6-pin PCIe adapter cable

bull Fedora 16 Live DVD [Ref 6]

bull PC with PCIe v20 slot For a complete list of machines tested and all known issues refer to the Kintex-7 FPGA Base Targeted Reference Design Release Notes and Known Issues Master Answer Record (httpwwwxilinxcomsupportanswers45679htm) This PC could also have Fedora Core 16 Linux OS installed on it

TRD Demonstration SetupThis section describes hardware setup and use of the application GUI to help the user get started quickly with the design in hardware It provides a step-by-step explanation of hardware bring-up and describes using the application GUI

When following the demonstration setup steps for the Kintex-7 FPGA Base TRD if the behavior is not as described refer to the known issues at wwwxilinxcomsupportanswers45679htm

Board Setup

This section describes how to set up the KC705 Evaluation board required to demonstrate the TRD

1 Set the KC705 Jumpers and Switches Verify that the KC705 Evaluation board jumpers and switches are set as shown in Table 2 and Figure 11

Table 2 Switch and Jumper Settings

Jumper Function Setting

J32 PCIe configuration width mdash 4 lane design Jump 3-4

Switch Function or Type Setting

SW15 Board power slide-switch Off

SW11 User GPIO DIP switch

4 Off

3 Off

2 Off

1 Off

S13 DIP switch SW13 positions 1 and 2 control the setting of address bits of the flashDIP switch SW13 positions 3 4 and 5 control which configuration mode

5 (M0) M2 =0 M1=1 M0=0 ndash Master BPIM2 =0 M1=0 M0=1 ndash Master SPIM2 =1 M1=0 M0=1 ndash JTAG

Off

4 (M1) On

3 (M2) Off

2 Off

1 Off

20 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

Hardware Bring-Up

This section presents steps for hardware bring-up

1 With the host system switched off insert the KC705 board in the PCIe slot through the PCI Express x8 or x16 edge connector (Figure 12)

The TRD programmed on the KC705 board has a 4-lane PCIe v20 configuration running at a 5 Gbs link rate per lane The PCI Express specification allows for a smaller lane width Endpoint to be installed into a larger lane width PCIe connector

2 Figure 13 shows the 12V power connection Connect the 12V ATX power supplyrsquos available 4-pin connector to the board (J49) via a 4-pin to 6-pin PCIe adapter cable Toggle the Power switch SW15 to the ON position

X-Ref Target - Figure 11

Figure 11 Switch and Jumper Settings

UG883_11_040913

J27 J28

J29 J30SW13

J32

SW15SW11

X-Ref Target - Figure 12if

Figure 12 KC705 Board Plugged into a PCIe x16 Slot

UG883_12_040913

KC705 Getting Started Guide wwwxilinxcom 21UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

3 Make sure the connections are tight and then power on the PC system

Note If the user wishes to boot Linux from the Fedora 16 Live DVD place the DVD in the PCrsquos DVD-ROM drive as soon as the PC system is powered on

4 Verify the status of the design on the KC705 LEDs The design provides status on the GPIO LEDs on the upper right of the KC705 board (Figure 14) After the PC system is powered on and the TRD has successfully configured status LEDs from right to left should indicate

bull LED 0 mdash ON if the PCIe link is up

bull LED 1 mdash Flashes if the PCIe user clock is present

bull LED 2 mdash ON if lane width is what is expected else it flashes (for a 4 lane design the expected lane width is 4 for an 8 lane design the expected lane width is 8)

bull LED 3 mdash ON if memory calibration is done

bull LED 4 to LED 7 mdash Not connected

X-Ref Target - Figure 13

Figure 13 Power Supply Connection

UG883_13_121112

SW15

J49 6-pinConnector

12V ATX PowerSupply Pluggedinto the 4-pinConnector

22 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

Install the Linux Driver

1 If Fedora 16 is installed on the PC systemrsquos hard disk boot as a root-privileged user and skip to step 3 page 23

2 To boot from the Fedora 16 Live DVD provided in the kit place the DVD in the PCrsquos DVD-ROM drive The Fedora 16 Live Media is for Intel-compatible PCs The DVD contains a complete bootable 32-bit Fedora 16 environment with the proper packages installed for the TRD demonstration environment For more details see Fedora Information page 2 The PC boots from the DVD-ROM drive and logs into a liveuser account This account has kernel development root privileges required to install and remove device driver modules

Note Users might have to adjust BIOS boot order settings to make sure that the DVD-ROM drive is the first drive in the boot order To enter the BIOS menu to set the boot order press the DEL or F2 key when the system is powered on Set the boot order and save the changes (The DEL or F2 key is used by most PC systems to enter the BIOS setup Some PCs might have a different way to enter the BIOS setup)

The PC should boot from the DVD-ROM drive The images in Figure 15 are seen on the monitor during boot up

X-Ref Target - Figure 14

Figure 14 Location of GPIO Status LEDs (Indicates TRD Status)

UG883_14_121112

KC705 Getting Started Guide wwwxilinxcom 23UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

3 After Fedora 16 Core boots open a terminal window (click Activities click Application scroll down and click the Terminal icon) To find out if the PCIe Endpoint is detected at the terminal command line type

$ lspci

The lspci command displays the devices in the PCI and PCI Express buses of the PC On the bus of the KC705 card slot is the message

Communication controller Xilinx Corporation Device 7042

This message confirms that the design programmed into the KC705 board has been found by the BIOS and the Fedora 16 OS The bus number varies depending on which PC motherboard and slot are used Figure 16 shows a lspci output for an example system Xilinx device 7042 has been found by the BIOS on bus number 2 (02000 - busdevfunction)

X-Ref Target - Figure 15

Figure 15 Fedora 16 Live DVD BootingFirst Screen Last Boot Screen Booted

UG883 15 040913

X-Ref Target - Figure 16

Figure 16 PCI and PCI Express Bus Devices

UG883_16_040913

24 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

4 Download the reference design from the Docs amp Designs tab at wwwxilinxcomkc705 and copy the k7_pcie_dma_ddr3_base folder into any directory

5 To set up and run the TRD demonstration the software driver should be installed on the PC system Software driver installation involves

a Building the kernel objects and the GUI

b Inserting the driver modules into the kernel

After the driver modules are loaded the application GUI can be invoked The user can set parameters through the GUI and run the TRD

When the user is done running the TRD the application GUI can be closed and the drivers can be removed

A script is provided to execute all the above actions so that the user can quickly start the TRD

The k7_trd_lin_quickstart script is available in the k7_pcie_dma_ddr3_base folder Right click the script select properties go to the permissions tab check the box Allow executing file as programmdashthis makes the script executable Close the window

To run the script double-click k7_trd_lin_quickstart in the k7_pcie_dma_ddr3_base folder (Figure 17) The window prompt in Figure 18 appears

X-Ref Target - Figure 17

Figure 17 Load Driver and Launch Application GUI

UG883_17_121112

KC705 Getting Started Guide wwwxilinxcom 25UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

Click Run in Terminal to proceed The application GUI is invoked

Proceed to the next section Using the Application GUI to set design parameters and run the TRD

X-Ref Target - Figure 18

Figure 18 Run in Terminal

UG883_18_040913

26 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

Using the Application GUI

When the drivers are loaded and the Performance Monitor GUI is invoked the user can configure the sending and receiving of data The GUI allows the user to observe the collected statistics and other status information

1 Click the System Status tab to verify the status of the KC705 board and the PCIe link (see Figure 19 and Table 3)

X-Ref Target - Figure 19

Figure 19 Verify Board Status in the Performance Monitor

UG883_19_121112

KC705 Getting Started Guide wwwxilinxcom 27UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

2 To start data traffic on the two data paths

a Click Start Test on Raw Data Path0 as shown in Figure 20 This enables the driver to start generating the data for Raw Data Path0

b Click Start Test on Raw Data Path1 as shown in Figure 20 This enables the driver to start generating the data for Raw Data Path1

Table 3 KC705 Board Status Field Explanations

Field Status Explanation

Link Status Up This confirms that the PCIe link is up and a PCIe connection is established between the Kintex-7 FPGA Endpoint for PCI Express and the PC motherboard chipset

Link Speed 50 Gbs This confirms that the PCIe link is operating at line rate speed per PCI Express v20

Link Width x4 This confirms that the PCIe link is trained as a x4 link

28 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

3 Verify TRD operations through the status information provided by the GUI (see Figure 21)

a Verify the PCIe throughput

b Verify the DMA channel throughput for the Raw Data Path0

c Verify the DMA channel throughput for the Raw Data Path1

d Verify there are no buffer descriptor errors for error-free operation

X-Ref Target - Figure 20

Figure 20 Start Data Traffic from the Performance Monitor

UG883_20_121112

KC705 Getting Started Guide wwwxilinxcom 29UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

The Kintex-7 FPGA PCIe-DMA TRD is now set up and running Close the Application GUI to unload the software drivers and stop traffic flow

X-Ref Target - Figure 21

Figure 21 Verify Error-Free Operation in the Performance Monitor

UG883_21_121112

30 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

Evaluating the Kintex-7 FPGA Base TRDThe Kintex-7 FPGA Base TRD provides a Performance and Status monitor application and GUI The application enables customers to evaluate different system parameters This section demonstrates performance variances for the PCI Express and DMA interfaces based on the parameters set

To evaluate the Kintex-7 FPGA Base TRD

1 Launch the Performance Monitor for the Kintex-7 FPGA Base TRD

a Navigate to the k7_pcie_dma_ddr3_base folder

b Double-click k7_lin_trd_quickstart (Figure 22)mdashmake sure that the script has executable permissionmdashto launch the Performance Monitor and Status GUI

c A window prompt appears as shown in Figure 23 Click Run in Terminal to proceed

2 Set up the test parameters in the Performance Monitor

a Two data paths are available Raw Data Path0 and Raw Data Path1 On each path set the Packet Size to a value between 64 ndash 32768 bytes

X-Ref Target - Figure 22

Figure 22 Launch the Performance Monitor and Status GUI

UG883_22_121112

X-Ref Target - Figure 23

Figure 23 Run k7_lin_trd_quickstart

UG883_23_121112

KC705 Getting Started Guide wwwxilinxcom 31UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

3 Execute the test and view payload statistics in the Performance and Status Monitor (see Figure 24)

a Click Start Test to start the performance test

b Click the Payload Statistics tab to view data transfers on the DMA channels

c Click Stop Test to stop data traffic

d Vary the Packet Size parameters for the Raw Data Paths (see Figure 25) and click Start Test Then view the payload statistics to review data transfer rate on the DMA channels With a decrease in packet size the performance drops

Note Before changing packet size click Stop Test change the size and then click Start Test

X-Ref Target - Figure 24

Figure 24 Payload Statistics

UG883_24_121112

32 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

Note For packet sizes equal to 64 or 128 bytes the throughput is reduced and might not be visible on the Payload Statistics tab The exact values can be viewed on the System Status tab

4 Click the PCIe Statistics tab to view data transfer numbers with varying packet sizes on the PCIe interface (Figure 26)

X-Ref Target - Figure 25

Figure 25 Effect of Varying Packet Sizes on Performance

UG883_25_121112

KC705 Getting Started Guide wwwxilinxcom 33UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

The system performance of the Kintex-7 FPGA Base TRD has now been evaluated using the pre-built demonstration design bit file

Now that the Kintex-7 FPGA Base TRD demonstration has been set up and evaluated the design can be modified Before the design can be modified make sure to install the Vivado Design Suite on a PC It is not required that tools be installed on the PC system in which the KC705 evaluation board is plugged in by way of the PCIe edge connector

X-Ref Target - Figure 26

Figure 26 PCIe Statistics in the Performance Monitor

UG883_26_121112

34 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

KC705 Getting Started Guide wwwxilinxcom 35UG883 (v30) April 16 2013

Appendix A

Additional Resources

Xilinx ResourcesFor support resources such as Answers Documentation Downloads and Forums see the Xilinx Support website at

wwwxilinxcomsupport

For continual updates add the Answer Record to your myAlerts

wwwxilinxcomsupportmyalerts

For a glossary of technical terms used in Xilinx documentation see

wwwxilinxcomcompanytermshtm

Solution CentersSee the Xilinx Solution Centers for support on devices software tools and intellectual property at all stages of the design cycle Topics include design assistance advisories and troubleshooting tips

Further ResourcesThe most up to date information related to the KC705 board and its documentation is available on the following websites

The Kintex-7 FPGA KC705 Evaluation Kit Product Page

wwwxilinxcomkc705

The Kintex-7 FPGA KC705 Evaluation Kit Master Answer Record

wwwxilinxcomsupportanswers47787htm

Design advisories by software release for the Kintex-7 FPGA KC705 Evaluation Kit

wwwxilinxcomsupportnav=sd-nav-link-179661amptab=tab-bk

Kintex-7 FPGA Base Targeted Reference Design Release Notes and Known Issues Master Answer Record

wwwxilinxcomsupportanswers45679htm

These documents provide supplemental material useful with this user guide

UG810 KC705 Evaluation Board for the Kintex-7 FPGA User Guide

UG845 KC705 Reference Design User Guide

36 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Appendix A Additional Resources

UG798 Xilinx Design Tools Installation and Licensing Guide Vivado Design Suite and ISE Design Suite

UG908 Vivado Design Suite User Guide Programming and Debugging

UG882 Kintex-7 FPGA KC705 Base Targeted Reference Design User Guide (Vivado Design Suite 20124)

UG886 AMS101 Evaluation Card User Guide

UG477 7 Series FPGAs Integrated Block for PCI Express User Guide

ReferencesThe following websites provide supplemental material useful with this guide

1 LabVIEW 32-bit Run-Time Enginehttpjoulenicomniducdsviewpid2534langen

2 LabVIEW 64-bit Run-Time Engine httpjoulenicomniducdsviewpid2536langen

3 Tera Term Pro program httphpvectorcojpauthorsVA002416teratermhtml

4 Drivers on the Silicon Labs site httpwwwsilabscomSupport20DocumentsSoftwareCP210x_VCP_Win_XP_S2K3_Vista_7exe

5 Northwest Logic DMA back end core httpwwwnwlogiccompacketdma

6 Fedora project httpfedoraprojectorg

Fedora is a Linux-based operating system used in the development of this TRD

7 The GTK+ project API documentation httpwwwgtkorgdocumentationphp

GTK+ is a toolkit for creating graphical user interfaces (GUI)

KC705 Getting Started Guide wwwxilinxcom 37UG883 (v30) April 16 2013

Appendix B

Warranty

THIS LIMITED WARRANTY applies solely to standard hardware development boards and standard hardware programming cables manufactured by or on behalf of Xilinx (ldquoDevelopment Systemsrdquo) Subject to the limitations herein Xilinx warrants that Development Systems when delivered by Xilinx or its authorized distributor for ninety (90) days following the delivery date will be free from defects in material and workmanship and will substantially conform to Xilinx publicly available specifications for such products in effect at the time of delivery This limited warranty excludes (i) engineering samples or beta versions of Development Systems (which are provided ldquoAS ISrdquo without warranty) (ii) design defects or errors known as ldquoerratardquo (iii) Development Systems procured through unauthorized third parties and (iv) Development Systems that have been subject to misuse mishandling accident alteration neglect unauthorized repair or installation Furthermore this limited warranty shall not apply to the use of covered products in an application or environment that is not within Xilinx specifications or in the event of any act error neglect or default of Customer For any breach by Xilinx of this limited warranty the exclusive remedy of Customer and the sole liability of Xilinx shall be at the option of Xilinx to replace or repair the affected products or to refund to Customer the price of the affected products The availability of replacement products is subject to product discontinuation policies at Xilinx Customer may not return product without first obtaining a customer return material authorization (RMA) number from XilinxTHE WARRANTIES SET FORTH HEREIN ARE EXCLUSIVE XILINX DISCLAIMS ALL OTHER WARRANTIES WHETHER EXPRESS IMPLIED OR STATUTORY INCLUDING WITHOUT LIMITATION ANY WARRANTY OF MERCHANTABILITY FITNESS FOR A PARTICULAR PURPOSE OR NON-INFRINGEMENT AND ANY WARRANTY THAT MAY ARISE FROM COURSE OF DEALING COURSE OF PERFORMANCE OR USAGE OF TRADE (200810)

Do not throw Xilinx products marked with the ldquocrossed out wheeled binrdquo in the trash Directive 200296EC on waste electrical and electronic equipment (WEEE) requires the separate collection of WEEE Your cooperation is essential in ensuring the proper management of WEEE and the protection of the environment and human health from potential effects arising from the presence of hazardous substances in WEEE Return the marked products to Xilinx for proper disposal Further information and instructions for free-of-charge return available at httpwwwxilinxcomehsweeehtm

38 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Appendix B Warranty

  • Kintex-7 FPGA KC705 Evaluation Kit (Vivado Design Suite 20131)
    • Revision History
    • Table of Contents
    • Getting Started with the Kintex-7 FPGA KC705 Evaluation Kit (Vivado Design Suite 20131)
      • Introduction
      • Kit Contents
      • Basic Hardware Bring-up Using the BIST
        • Hardware Test Setup Requirements
          • Hardware Test Board Setup Requirements
            • Hardware Bring-Up
            • Run the BIST Application
              • AMS Bring-up with the AMS101 Evaluation Card
                • Requirements to Get Started
                • Evaluating AMS
                  • Advanced Bring-up Using the Base Targeted Reference Design
                    • Components
                    • Hardware Test Setup Requirements
                    • TRD Demonstration Setup
                    • Evaluating the Kintex-7 FPGA Base TRD
                        • Additional Resources
                          • Xilinx Resources
                          • Solution Centers
                          • Further Resources
                          • References
                            • Warranty
                                • ltlt ASCII85EncodePages false AllowTransparency false AutoPositionEPSFiles true AutoRotatePages All Binding Left CalGrayProfile (Dot Gain 20) CalRGBProfile (sRGB IEC61966-21) CalCMYKProfile (US Web Coated 050SWOP051 v2) sRGBProfile (sRGB IEC61966-21) CannotEmbedFontPolicy Warning CompatibilityLevel 16 CompressObjects Tags CompressPages true ConvertImagesToIndexed true PassThroughJPEGImages true CreateJobTicket false DefaultRenderingIntent Default DetectBlends true DetectCurves 00000 ColorConversionStrategy LeaveColorUnchanged DoThumbnails false EmbedAllFonts true EmbedOpenType false ParseICCProfilesInComments true EmbedJobOptions true DSCReportingLevel 0 EmitDSCWarnings false EndPage -1 ImageMemory 1048576 LockDistillerParams true MaxSubsetPct 100 Optimize true OPM 1 ParseDSCComments true ParseDSCCommentsForDocInfo true PreserveCopyPage true PreserveDICMYKValues true PreserveEPSInfo true PreserveFlatness true PreserveHalftoneInfo false PreserveOPIComments false PreserveOverprintSettings true StartPage 1 SubsetFonts true TransferFunctionInfo Apply UCRandBGInfo Preserve UsePrologue false ColorSettingsFile () AlwaysEmbed [ true ] NeverEmbed [ true ] AntiAliasColorImages false CropColorImages true ColorImageMinResolution 300 ColorImageMinResolutionPolicy OK DownsampleColorImages false ColorImageDownsampleType Bicubic ColorImageResolution 300 ColorImageDepth -1 ColorImageMinDownsampleDepth 1 ColorImageDownsampleThreshold 150000 EncodeColorImages true ColorImageFilter DCTEncode AutoFilterColorImages true ColorImageAutoFilterStrategy JPEG ColorACSImageDict ltlt QFactor 040 HSamples [1 1 1 1] VSamples [1 1 1 1] gtgt ColorImageDict ltlt QFactor 015 HSamples [1 1 1 1] VSamples [1 1 1 1] gtgt JPEG2000ColorACSImageDict ltlt TileWidth 256 TileHeight 256 Quality 30 gtgt JPEG2000ColorImageDict ltlt TileWidth 256 TileHeight 256 Quality 30 gtgt AntiAliasGrayImages false CropGrayImages true GrayImageMinResolution 300 GrayImageMinResolutionPolicy OK DownsampleGrayImages false GrayImageDownsampleType Bicubic GrayImageResolution 300 GrayImageDepth -1 GrayImageMinDownsampleDepth 2 GrayImageDownsampleThreshold 150000 EncodeGrayImages true GrayImageFilter DCTEncode AutoFilterGrayImages true GrayImageAutoFilterStrategy JPEG GrayACSImageDict ltlt QFactor 040 HSamples [1 1 1 1] VSamples [1 1 1 1] gtgt GrayImageDict ltlt QFactor 015 HSamples [1 1 1 1] VSamples [1 1 1 1] gtgt JPEG2000GrayACSImageDict ltlt TileWidth 256 TileHeight 256 Quality 30 gtgt JPEG2000GrayImageDict ltlt TileWidth 256 TileHeight 256 Quality 30 gtgt AntiAliasMonoImages false CropMonoImages true MonoImageMinResolution 1200 MonoImageMinResolutionPolicy OK DownsampleMonoImages false MonoImageDownsampleType Bicubic MonoImageResolution 1200 MonoImageDepth -1 MonoImageDownsampleThreshold 150000 EncodeMonoImages true MonoImageFilter CCITTFaxEncode MonoImageDict ltlt K -1 gtgt AllowPSXObjects false CheckCompliance [ None ] PDFX1aCheck false PDFX3Check false PDFXCompliantPDFOnly false PDFXNoTrimBoxError true PDFXTrimBoxToMediaBoxOffset [ 000000 000000 000000 000000 ] PDFXSetBleedBoxToMediaBox true PDFXBleedBoxToTrimBoxOffset [ 000000 000000 000000 000000 ] PDFXOutputIntentProfile (None) PDFXOutputConditionIdentifier () PDFXOutputCondition () PDFXRegistryName () PDFXTrapped False CreateJDFFile false Description ltlt ARA ltFEFF06270633062A062E062F0645002006470630064700200627064406250639062F0627062F0627062A002006440625064606340627062100200648062B062706260642002000410064006F00620065002000500044004600200645062A0648062706410642062900200644064406370628062706390629002006300627062A002006270644062C0648062F0629002006270644063906270644064A06290020064506460020062E06440627064400200627064406370627062806390627062A00200627064406450643062A0628064A062900200623064800200623062C06470632062900200625062C06310627062100200627064406280631064806410627062A061B0020064A06450643064600200641062A062D00200648062B0627062606420020005000440046002006270644064506460634062306290020062806270633062A062E062F062706450020004100630072006F0062006100740020064800410064006F006200650020005200650061006400650072002006250635062F0627063100200035002E0030002006480627064406250635062F062706310627062A0020062706440623062D062F062B002E0020064506390020005000440046002F0041060C0020062706440631062C062706210020064506310627062C063906290020062F0644064A0644002006450633062A062E062F06450020004100630072006F006200610074061B0020064A06450643064600200641062A062D00200648062B0627062606420020005000440046002006270644064506460634062306290020062806270633062A062E062F062706450020004100630072006F0062006100740020064800410064006F006200650020005200650061006400650072002006250635062F0627063100200035002E0030002006480627064406250635062F062706310627062A0020062706440623062D062F062B002Egt BGR ltFEFF04180437043f043e043b043704320430043904420435002004420435043704380020043d0430044104420440043e0439043a0438002c00200437043000200434043000200441044a0437043404300432043004420435002000410064006f00620065002000500044004600200434043e043a0443043c0435043d044204380020043704300020043a0430044704350441044204320435043d0020043f04350447043004420020043d04300020043d043004410442043e043b043d04380020043f04400438043d04420435044004380020043800200443044104420440043e043904410442043204300020043704300020043f04350447043004420020043d04300020043f0440043e0431043d04380020044004300437043f0435044704300442043a0438002e002000200421044a04370434043004340435043d043804420435002000500044004600200434043e043a0443043c0435043d044204380020043c043e0433043004420020043404300020044104350020043e0442043204300440044f0442002004410020004100630072006f00620061007400200438002000410064006f00620065002000520065006100640065007200200035002e00300020043800200441043b0435043404320430044904380020043204350440044104380438002egt CHS ltFEFF4f7f75288fd94e9b8bbe5b9a521b5efa7684002000500044004600206587686353ef901a8fc7684c976262535370673a548c002000700072006f006f00660065007200208fdb884c9ad88d2891cf62535370300260a853ef4ee54f7f75280020004100630072006f0062006100740020548c002000410064006f00620065002000520065006100640065007200200035002e003000204ee553ca66f49ad87248672c676562535f00521b5efa768400200050004400460020658768633002gt CHT ltFEFF4f7f752890194e9b8a2d7f6e5efa7acb7684002000410064006f006200650020005000440046002065874ef653ef5728684c9762537088686a5f548c002000700072006f006f00660065007200204e0a73725f979ad854c18cea7684521753706548679c300260a853ef4ee54f7f75280020004100630072006f0062006100740020548c002000410064006f00620065002000520065006100640065007200200035002e003000204ee553ca66f49ad87248672c4f86958b555f5df25efa7acb76840020005000440046002065874ef63002gt CZE ltFEFF005400610074006f0020006e006100730074006100760065006e00ed00200070006f0075017e0069006a007400650020006b0020007600790074007600e101590065006e00ed00200064006f006b0075006d0065006e0074016f002000410064006f006200650020005000440046002000700072006f0020006b00760061006c00690074006e00ed0020007400690073006b0020006e0061002000730074006f006c006e00ed006300680020007400690073006b00e10072006e00e100630068002000610020006e00e1007400690073006b006f007600fd006300680020007a0061015900ed007a0065006e00ed00630068002e002000200056007900740076006f01590065006e00e900200064006f006b0075006d0065006e007400790020005000440046002000620075006400650020006d006f017e006e00e90020006f007400650076015900ed007400200076002000700072006f006700720061006d0065006300680020004100630072006f00620061007400200061002000410064006f00620065002000520065006100640065007200200035002e0030002000610020006e006f0076011b006a016100ed00630068002egt DAN ltFEFF004200720075006700200069006e0064007300740069006c006c0069006e006700650072006e0065002000740069006c0020006100740020006f007000720065007400740065002000410064006f006200650020005000440046002d0064006f006b0075006d0065006e007400650072002000740069006c0020006b00760061006c00690074006500740073007500640073006b007200690076006e0069006e006700200065006c006c006500720020006b006f007200720065006b007400750072006c00e60073006e0069006e0067002e0020004400650020006f007000720065007400740065006400650020005000440046002d0064006f006b0075006d0065006e0074006500720020006b0061006e002000e50062006e00650073002000690020004100630072006f00620061007400200065006c006c006500720020004100630072006f006200610074002000520065006100640065007200200035002e00300020006f00670020006e0079006500720065002egt DEU ltFEFF00560065007200770065006e00640065006e0020005300690065002000640069006500730065002000450069006e007300740065006c006c0075006e00670065006e0020007a0075006d002000450072007300740065006c006c0065006e00200076006f006e002000410064006f006200650020005000440046002d0044006f006b0075006d0065006e00740065006e002c00200076006f006e002000640065006e0065006e002000530069006500200068006f00630068007700650072007400690067006500200044007200750063006b006500200061007500660020004400650073006b0074006f0070002d0044007200750063006b00650072006e00200075006e0064002000500072006f006f0066002d00470065007200e400740065006e002000650072007a0065007500670065006e0020006d00f60063006800740065006e002e002000450072007300740065006c006c007400650020005000440046002d0044006f006b0075006d0065006e007400650020006b00f6006e006e0065006e0020006d006900740020004100630072006f00620061007400200075006e0064002000410064006f00620065002000520065006100640065007200200035002e00300020006f0064006500720020006800f600680065007200200067006500f600660066006e00650074002000770065007200640065006e002egt ESP ltFEFF005500740069006c0069006300650020006500730074006100200063006f006e0066006900670075007200610063006900f3006e0020007000610072006100200063007200650061007200200064006f00630075006d0065006e0074006f0073002000640065002000410064006f0062006500200050004400460020007000610072006100200063006f006e00730065006700750069007200200069006d0070007200650073006900f3006e002000640065002000630061006c006900640061006400200065006e00200069006d0070007200650073006f0072006100730020006400650020006500730063007200690074006f00720069006f00200079002000680065007200720061006d00690065006e00740061007300200064006500200063006f00720072006500630063006900f3006e002e002000530065002000700075006500640065006e00200061006200720069007200200064006f00630075006d0065006e0074006f00730020005000440046002000630072006500610064006f007300200063006f006e0020004100630072006f006200610074002c002000410064006f00620065002000520065006100640065007200200035002e003000200079002000760065007200730069006f006e0065007300200070006f00730074006500720069006f007200650073002egt ETI ltFEFF004b00610073007500740061006700650020006e0065006900640020007300e4007400740065006900640020006c006100750061002d0020006a00610020006b006f006e00740072006f006c006c007400f5006d006d006900730065007000720069006e0074006500720069007400650020006a0061006f006b00730020006b00760061006c006900740065006500740073006500740065002000410064006f006200650020005000440046002d0064006f006b0075006d0065006e00740069006400650020006c006f006f006d006900730065006b0073002e002e00200020004c006f006f0064007500640020005000440046002d0064006f006b0075006d0065006e00740065002000730061006100740065002000610076006100640061002000700072006f006700720061006d006d006900640065006700610020004100630072006f0062006100740020006e0069006e0067002000410064006f00620065002000520065006100640065007200200035002e00300020006a00610020007500750065006d006100740065002000760065007200730069006f006f006e00690064006500670061002e000d000agt FRA ltFEFF005500740069006c006900730065007a00200063006500730020006f007000740069006f006e00730020006100660069006e00200064006500200063007200e900650072002000640065007300200064006f00630075006d0065006e00740073002000410064006f00620065002000500044004600200070006f007500720020006400650073002000e90070007200650075007600650073002000650074002000640065007300200069006d007000720065007300730069006f006e00730020006400650020006800610075007400650020007100750061006c0069007400e90020007300750072002000640065007300200069006d007000720069006d0061006e0074006500730020006400650020006200750072006500610075002e0020004c0065007300200064006f00630075006d0065006e00740073002000500044004600200063007200e900e90073002000700065007500760065006e0074002000ea0074007200650020006f007500760065007200740073002000640061006e00730020004100630072006f006200610074002c002000610069006e00730069002000710075002700410064006f00620065002000520065006100640065007200200035002e0030002000650074002000760065007200730069006f006e007300200075006c007400e90072006900650075007200650073002egt GRE ltFEFF03a703c103b703c303b903bc03bf03c003bf03b903ae03c303c403b5002003b103c503c403ad03c2002003c403b903c2002003c103c503b803bc03af03c303b503b903c2002003b303b903b1002003bd03b1002003b403b703bc03b903bf03c503c103b303ae03c303b503c403b5002003ad03b303b303c103b103c603b1002000410064006f006200650020005000440046002003b303b903b1002003b503ba03c403cd03c003c903c303b7002003c003bf03b903cc03c403b703c403b103c2002003c303b5002003b503ba03c403c503c003c903c403ad03c2002003b303c103b103c603b503af03bf03c5002003ba03b103b9002003b403bf03ba03b903bc03b103c303c403ad03c2002e0020002003a403b10020005000440046002003ad03b303b303c103b103c603b1002003c003bf03c5002003ad03c703b503c403b5002003b403b703bc03b903bf03c503c103b303ae03c303b503b9002003bc03c003bf03c103bf03cd03bd002003bd03b1002003b103bd03bf03b903c703c403bf03cd03bd002003bc03b5002003c403bf0020004100630072006f006200610074002c002003c403bf002000410064006f006200650020005200650061006400650072002000200035002e0030002003ba03b103b9002003bc03b503c403b103b303b503bd03ad03c303c403b503c103b503c2002003b503ba03b403cc03c303b503b903c2002egt HEB ltFEFF05D405E905EA05DE05E905D5002005D105D405D205D305E805D505EA002005D005DC05D4002005DB05D305D9002005DC05D905E605D505E8002005DE05E105DE05DB05D9002000410064006F006200650020005000440046002005E205D105D505E8002005D405D305E405E105D4002005D005D905DB05D505EA05D905EA002005D105DE05D305E405E105D505EA002005E905D505DC05D705E005D905D505EA002005D505DB05DC05D9002005D405D205D405D4002E002005DE05E105DE05DB05D9002005D4002D005000440046002005E905E005D505E605E805D905DD002005E005D905EA05E005D905DD002005DC05E405EA05D905D705D4002005D105D005DE05E605E205D505EA0020004100630072006F006200610074002005D5002D00410064006F00620065002000520065006100640065007200200035002E0030002005D505D205E805E105D005D505EA002005DE05EA05E705D305DE05D505EA002005D905D505EA05E8002Egt HRV ltFEFF005a00610020007300740076006100720061006e006a0065002000410064006f00620065002000500044004600200064006f006b0075006d0065006e0061007400610020007a00610020006b00760061006c00690074006500740061006e0020006900730070006900730020006e006100200070006900730061010d0069006d006100200069006c0069002000700072006f006f006600650072002000750072006501110061006a0069006d0061002e00200020005300740076006f00720065006e0069002000500044004600200064006f006b0075006d0065006e007400690020006d006f006700750020007300650020006f00740076006f00720069007400690020004100630072006f00620061007400200069002000410064006f00620065002000520065006100640065007200200035002e0030002000690020006b00610073006e0069006a0069006d0020007600650072007a0069006a0061006d0061002egt HUN ltFEFF004d0069006e0151007300e9006700690020006e0079006f006d00610074006f006b0020006b00e90073007a00ed007400e9007300e900680065007a002000610073007a00740061006c00690020006e0079006f006d00740061007400f3006b006f006e002000e9007300200070007200f300620061006e0079006f006d00f3006b006f006e00200065007a0065006b006b0065006c0020006100200062006500e1006c006c00ed007400e10073006f006b006b0061006c002c00200068006f007a007a006f006e0020006c00e9007400720065002000410064006f00620065002000500044004600200064006f006b0075006d0065006e00740075006d006f006b00610074002e0020002000410020006c00e90074007200650068006f007a006f00740074002000500044004600200064006f006b0075006d0065006e00740075006d006f006b00200061007a0020004100630072006f006200610074002c00200061007a002000410064006f00620065002000520065006100640065007200200035002e0030002000e9007300200061007a002000610074007400f3006c0020006b00e9007301510062006200690020007600650072007a006900f3006b006b0061006c00200020006e00790069007400680061007400f3006b0020006d00650067002egt ITA ltFEFF005500740069006c0069007a007a006100720065002000710075006500730074006500200069006d0070006f007300740061007a0069006f006e00690020007000650072002000630072006500610072006500200064006f00630075006d0065006e00740069002000410064006f006200650020005000440046002000700065007200200075006e00610020007300740061006d007000610020006400690020007100750061006c0069007400e00020007300750020007300740061006d00700061006e0074006900200065002000700072006f006f0066006500720020006400650073006b0074006f0070002e0020004900200064006f00630075006d0065006e007400690020005000440046002000630072006500610074006900200070006f00730073006f006e006f0020006500730073006500720065002000610070006500720074006900200063006f006e0020004100630072006f00620061007400200065002000410064006f00620065002000520065006100640065007200200035002e003000200065002000760065007200730069006f006e006900200073007500630063006500730073006900760065002egt JPN ltFEFF9ad854c18cea51fa529b7528002000410064006f0062006500200050004400460020658766f8306e4f5c6210306b4f7f75283057307e30593002537052376642306e753b8cea3092670059279650306b4fdd306430533068304c3067304d307e3059300230c730b930af30c830c330d730d730ea30f330bf3067306e53705237307e305f306f30d730eb30fc30d57528306b9069305730663044307e305930023053306e8a2d5b9a30674f5c62103055308c305f0020005000440046002030d530a130a430eb306f3001004100630072006f0062006100740020304a30883073002000410064006f00620065002000520065006100640065007200200035002e003000204ee5964d3067958b304f30533068304c3067304d307e30593002gt KOR ltFEFFc7740020c124c815c7440020c0acc6a9d558c5ec0020b370c2a4d06cd0d10020d504b9b0d1300020bc0f0020ad50c815ae30c5d0c11c0020ace0d488c9c8b85c0020c778c1c4d560002000410064006f0062006500200050004400460020bb38c11cb97c0020c791c131d569b2c8b2e4002e0020c774b807ac8c0020c791c131b41c00200050004400460020bb38c11cb2940020004100630072006f0062006100740020bc0f002000410064006f00620065002000520065006100640065007200200035002e00300020c774c0c1c5d0c11c0020c5f40020c2180020c788c2b5b2c8b2e4002egt LTH ltFEFF004e006100750064006f006b0069007400650020016100690075006f007300200070006100720061006d006500740072007500730020006e006f0072011700640061006d00690020006b0075007200740069002000410064006f00620065002000500044004600200064006f006b0075006d0065006e007400750073002c0020006b007500720069006500200073006b00690072007400690020006b006f006b0079006200690161006b0061006900200073007000610075007300640069006e007400690020007300740061006c0069006e0069006100690073002000690072002000620061006e00640079006d006f00200073007000610075007300640069006e007400750076006100690073002e0020002000530075006b0075007200740069002000500044004600200064006f006b0075006d0065006e007400610069002000670061006c006900200062016b007400690020006100740069006400610072006f006d00690020004100630072006f006200610074002000690072002000410064006f00620065002000520065006100640065007200200035002e0030002000610072002000760117006c00650073006e0117006d00690073002000760065007200730069006a006f006d00690073002egt LVI ltFEFF0049007a006d0061006e0074006f006a00690065007400200161006f00730020006900650073007400610074012b006a0075006d00750073002c0020006c0061006900200069007a0076006500690064006f00740075002000410064006f00620065002000500044004600200064006f006b0075006d0065006e0074007500730020006b00760061006c0069007400610074012b0076006100690020006400720075006b010101610061006e00610069002000610072002000670061006c006400610020007000720069006e00740065007200690065006d00200075006e0020007000610072006100750067006e006f00760069006c006b0075006d0075002000690065007300700069006500640113006a00690065006d002e00200049007a0076006500690064006f006a006900650074002000500044004600200064006f006b0075006d0065006e007400750073002c0020006b006f002000760061007200200061007400760113007200740020006100720020004100630072006f00620061007400200075006e002000410064006f00620065002000520065006100640065007200200035002e0030002c0020006b0101002000610072012b00200074006f0020006a00610075006e0101006b0101006d002000760065007200730069006a0101006d002egt NLD (Gebruik deze instellingen om Adobe PDF-documenten te maken voor kwaliteitsafdrukken op desktopprinters en proofers De gemaakte PDF-documenten kunnen worden geopend met Acrobat en Adobe Reader 50 en hoger) NOR ltFEFF004200720075006b00200064006900730073006500200069006e006e007300740069006c006c0069006e00670065006e0065002000740069006c002000e50020006f0070007000720065007400740065002000410064006f006200650020005000440046002d0064006f006b0075006d0065006e00740065007200200066006f00720020007500740073006b00720069006600740020006100760020006800f800790020006b00760061006c00690074006500740020007000e500200062006f007200640073006b0072006900760065007200200065006c006c00650072002000700072006f006f006600650072002e0020005000440046002d0064006f006b0075006d0065006e00740065006e00650020006b0061006e002000e50070006e00650073002000690020004100630072006f00620061007400200065006c006c00650072002000410064006f00620065002000520065006100640065007200200035002e003000200065006c006c00650072002000730065006e006500720065002egt POL ltFEFF0055007300740061007700690065006e0069006100200064006f002000740077006f0072007a0065006e0069006100200064006f006b0075006d0065006e007400f3007700200050004400460020007a002000770079017c0073007a010500200072006f007a0064007a00690065006c0063007a006f015b0063006901050020006f006200720061007a006b00f30077002c0020007a0061007000650077006e00690061006a0105006301050020006c006500700073007a01050020006a0061006b006f015b0107002000770079006400720075006b00f30077002e00200044006f006b0075006d0065006e0074007900200050004400460020006d006f017c006e00610020006f007400770069006500720061010700200077002000700072006f006700720061006d006900650020004100630072006f00620061007400200069002000410064006f00620065002000520065006100640065007200200035002e0030002000690020006e006f00770073007a0079006d002egt PTB ltFEFF005500740069006c0069007a006500200065007300730061007300200063006f006e00660069006700750072006100e700f50065007300200064006500200066006f0072006d00610020006100200063007200690061007200200064006f00630075006d0065006e0074006f0073002000410064006f0062006500200050004400460020007000610072006100200069006d0070007200650073007300f5006500730020006400650020007100750061006c0069006400610064006500200065006d00200069006d00700072006500730073006f0072006100730020006400650073006b0074006f00700020006500200064006900730070006f00730069007400690076006f0073002000640065002000700072006f00760061002e0020004f007300200064006f00630075006d0065006e0074006f00730020005000440046002000630072006900610064006f007300200070006f00640065006d0020007300650072002000610062006500720074006f007300200063006f006d0020006f0020004100630072006f006200610074002000650020006f002000410064006f00620065002000520065006100640065007200200035002e0030002000650020007600650072007300f50065007300200070006f00730074006500720069006f007200650073002egt RUM ltFEFF005500740069006c0069007a00610163006900200061006300650073007400650020007300650074010300720069002000700065006e007400720075002000610020006300720065006100200064006f00630075006d0065006e00740065002000410064006f006200650020005000440046002000700065006e007400720075002000740069007001030072006900720065002000640065002000630061006c006900740061007400650020006c006100200069006d007000720069006d0061006e007400650020006400650073006b0074006f00700020015f0069002000700065006e0074007200750020007600650072006900660069006300610074006f00720069002e002000200044006f00630075006d0065006e00740065006c00650020005000440046002000630072006500610074006500200070006f00740020006600690020006400650073006300680069007300650020006300750020004100630072006f006200610074002c002000410064006f00620065002000520065006100640065007200200035002e00300020015f00690020007600650072007300690075006e0069006c006500200075006c0074006500720069006f006100720065002egt RUS ltFEFF04180441043f043e043b044c04370443043904420435002004340430043d043d044b04350020043d0430044104420440043e0439043a043800200434043b044f00200441043e043704340430043d0438044f00200434043e043a0443043c0435043d0442043e0432002000410064006f006200650020005000440046002c0020043f044004350434043d04300437043d043004470435043d043d044b044500200434043b044f0020043a0430044704350441044204320435043d043d043e04390020043f043504470430044204380020043d04300020043d043004410442043e043b044c043d044b04450020043f04400438043d044204350440043004450020043800200443044104420440043e04390441044204320430044500200434043b044f0020043f043e043b044304470435043d0438044f0020043f0440043e0431043d044b04450020043e0442044204380441043a043e0432002e002000200421043e043704340430043d043d044b04350020005000440046002d0434043e043a0443043c0435043d0442044b0020043c043e0436043d043e00200020043e0442043a0440044b043204300442044c002004410020043f043e043c043e0449044c044e0020004100630072006f00620061007400200438002000410064006f00620065002000520065006100640065007200200035002e00300020043800200431043e043b043504350020043f043e04370434043d043804450020043204350440044104380439002egt SKY ltFEFF0054006900650074006f0020006e006100730074006100760065006e0069006100200070006f0075017e0069007400650020006e00610020007600790074007600e100720061006e0069006500200064006f006b0075006d0065006e0074006f0076002000410064006f00620065002000500044004600200070007200650020006b00760061006c00690074006e00fa00200074006c0061010d0020006e0061002000730074006f006c006e00fd0063006800200074006c0061010d00690061007201480061006300680020006100200074006c0061010d006f007600fd006300680020007a006100720069006100640065006e0069006100630068002e00200056007900740076006f00720065006e00e900200064006f006b0075006d0065006e007400790020005000440046002000620075006400650020006d006f017e006e00e90020006f00740076006f00720069016500200076002000700072006f006700720061006d006f006300680020004100630072006f00620061007400200061002000410064006f00620065002000520065006100640065007200200035002e0030002000610020006e006f0076016100ed00630068002e000d000agt SLV ltFEFF005400650020006e006100730074006100760069007400760065002000750070006f0072006100620069007400650020007a00610020007500730074007600610072006a0061006e006a006500200064006f006b0075006d0065006e0074006f0076002000410064006f0062006500200050004400460020007a00610020006b0061006b006f0076006f00730074006e006f0020007400690073006b0061006e006a00650020006e00610020006e0061006d0069007a006e006900680020007400690073006b0061006c006e0069006b0069006800200069006e0020007000720065007600650072006a0061006c006e0069006b00690068002e00200020005500730074007600610072006a0065006e006500200064006f006b0075006d0065006e0074006500200050004400460020006a00650020006d006f0067006f010d00650020006f0064007000720065007400690020007a0020004100630072006f00620061007400200069006e002000410064006f00620065002000520065006100640065007200200035002e003000200069006e0020006e006f00760065006a01610069006d002egt SUO ltFEFF004b00e40079007400e40020006e00e40069007400e4002000610073006500740075006b007300690061002c0020006b0075006e0020006c0075006f0074002000410064006f0062006500200050004400460020002d0064006f006b0075006d0065006e007400740065006a00610020006c0061006100640075006b006100730074006100200074007900f6007000f60079007400e400740075006c006f0073007400750073007400610020006a00610020007600650064006f007300740075007300740061002000760061007200740065006e002e00200020004c0075006f0064007500740020005000440046002d0064006f006b0075006d0065006e00740069007400200076006f0069006400610061006e0020006100760061007400610020004100630072006f0062006100740069006c006c00610020006a0061002000410064006f00620065002000520065006100640065007200200035002e0030003a006c006c00610020006a006100200075007500640065006d006d0069006c006c0061002egt SVE ltFEFF0041006e007600e4006e00640020006400650020006800e4007200200069006e0073007400e4006c006c006e0069006e006700610072006e00610020006f006d002000640075002000760069006c006c00200073006b006100700061002000410064006f006200650020005000440046002d0064006f006b0075006d0065006e00740020006600f600720020006b00760061006c00690074006500740073007500740073006b0072006900660074006500720020007000e5002000760061006e006c00690067006100200073006b0072006900760061007200650020006f006300680020006600f600720020006b006f007200720065006b007400750072002e002000200053006b006100700061006400650020005000440046002d0064006f006b0075006d0065006e00740020006b0061006e002000f600700070006e00610073002000690020004100630072006f0062006100740020006f00630068002000410064006f00620065002000520065006100640065007200200035002e00300020006f00630068002000730065006e006100720065002egt TUR ltFEFF004d00610073006100fc0073007400fc002000790061007a013100630131006c006100720020007600650020006200610073006b01310020006d0061006b0069006e0065006c006500720069006e006400650020006b0061006c006900740065006c00690020006200610073006b013100200061006d0061006301310079006c0061002000410064006f006200650020005000440046002000620065006c00670065006c0065007200690020006f006c0075015f007400750072006d0061006b0020006900e70069006e00200062007500200061007900610072006c0061007201310020006b0075006c006c0061006e0131006e002e00200020004f006c0075015f0074007500720075006c0061006e0020005000440046002000620065006c00670065006c0065007200690020004100630072006f006200610074002000760065002000410064006f00620065002000520065006100640065007200200035002e003000200076006500200073006f006e0072006100730131006e00640061006b00690020007300fc007200fc006d006c00650072006c00650020006100e70131006c006100620069006c00690072002egt UKR ltFEFF04120438043a043e0440043804410442043e043204430439044204350020044604560020043f043004400430043c043504420440043800200434043b044f0020044104420432043e04400435043d043d044f00200434043e043a0443043c0435043d044204560432002000410064006f006200650020005000440046002c0020044f043a04560020043d04300439043a04400430044904350020043f045604340445043e0434044f0442044c00200434043b044f0020043204380441043e043a043e044f043a04560441043d043e0433043e0020043404400443043a04430020043d04300020043d0430044104420456043b044c043d043804450020043f04400438043d044204350440043004450020044204300020043f04400438044104420440043e044f044500200434043b044f0020043e044204400438043c0430043d043d044f0020043f0440043e0431043d0438044500200437043e04310440043004360435043d044c002e00200020042104420432043e04400435043d045600200434043e043a0443043c0435043d0442043800200050004400460020043c043e0436043d04300020043204560434043a0440043804420438002004430020004100630072006f006200610074002004420430002000410064006f00620065002000520065006100640065007200200035002e0030002004300431043e0020043f04560437043d04560448043e04570020043204350440044104560457002egt ENU ltFEFF005500730065002000740068006500730065002000730065007400740069006e0067007300200074006f0020006300720065006100740065002000410064006f0062006500200050004400460020006200610073006500640020006f006e0020007400680065002000640065006600610075006c0074002000580069006c0069006e007800200070006100720061006d0065007400650072002000730065007400740069006e0067007300200064006500660069006e00650064002000620079002000500053003000300034002e002000460069006c0065006e0061006d0065003a002000780069006c0069006e0078002e006a006f0062006f007000740069006f006e0073002e000d0043006f006d007000610074006100620069006c006900740079003a0020004100630072006f00620061007400200037002e0030002000280050004400460031002e00360029002e0020005500730065003a00200046006f00720020006300720065006100740069006e0067002000460069006e0061006c002c0020005000750062006c0069007300680065006400200050004400460073002e000d0043007200650061007400650064002000310030002f00310032002f003100310020006200790020006a0066006f007200730074002egt gtgt Namespace [ (Adobe) (Common) (10) ] OtherNamespaces [ ltlt AsReaderSpreads false CropImagesToFrames true ErrorControl WarnAndContinue FlattenerIgnoreSpreadOverrides false IncludeGuidesGrids false IncludeNonPrinting false IncludeSlug false Namespace [ (Adobe) (InDesign) (40) ] OmitPlacedBitmaps false OmitPlacedEPS false OmitPlacedPDF false SimulateOverprint Legacy gtgt ltlt AddBleedMarks false AddColorBars false AddCropMarks false AddPageInfo false AddRegMarks false ConvertColors NoConversion DestinationProfileName () DestinationProfileSelector NA Downsample16BitImages true FlattenerPreset ltlt PresetSelector MediumResolution gtgt FormElements false GenerateStructure true IncludeBookmarks false IncludeHyperlinks false IncludeInteractive false IncludeLayers false IncludeProfiles true MultimediaHandling UseObjectSettings Namespace [ (Adobe) (CreativeSuite) (20) ] PDFXOutputIntentProfileSelector NA PreserveEditing true UntaggedCMYKHandling LeaveUntagged UntaggedRGBHandling LeaveUntagged UseDocumentBleed false gtgt ]gtgt setdistillerparamsltlt HWResolution [1200 1200] PageSize [612000 792000]gtgt setpagedevice

Page 8: Xilinx UG883 Kintex-7 FPGA KC705 Evaluation Kit Getting ...€¦ · Updated step 2 and step 3 in Requirements to Get Started, page 14. ... KC705 Getting Started Guide 9 UG883 (v3.0)

8 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Kit Contents

bull Designs

bull Targeted Reference Design Robust sub-system including PCIe Gen2 x4 Northwest Logic DMA multi-port virtual FIFO AXI and DDR3 memory controller

bull Additional Reference Designs Numerous additional reference designs available online and linked from the KC705 product page wwwxilinxcomkc705

bull Documentation

bull Kintex-7 FPGA KC705 Evaluation Kit Getting Started Guide (UG883mdashthis guide included in the box)

bull KC705 Evaluation Board for the Kintex-7 FPGA User Guide (UG810) (online on product page)

bull KC705 Reference Design User Guide (UG845) (online on product page)

bull Kintex-7 FPGA KC705 Base Targeted Reference Design User Guide (Vivado Design Suite 20124) (UG882) (online on product page)

bull Board design files including schematics Gerber files and BOM (online on product page)

bull Cables and power supply

bull Universal 12V power adapter and cords

bull Two USB cables (1x USB Type-AMini-B and 1x USB Type-AMicro-B) for download and debug

bull Ethernet crossover cable

bull HDMI cable

KC705 Getting Started Guide wwwxilinxcom 9UG883 (v30) April 16 2013

Basic Hardware Bring-up Using the BIST

Basic Hardware Bring-up Using the BISTThe built-in self-test (BIST) tests many of the features offered by the Kintex-7 FPGA KC705 evaluation kit The test is stored in the nonvolatile BPI Linear Flash memory and configures the FPGA when the mode and upper flash address pins on the board are set for Master BPI

Figure 1 provides an overview of the board features used by the BIST

Note For a diagram of all the features on the KC705 see KC705 Evaluation Board for the Kintex-7 FPGA User Guide (UG810)

Hardware Test Setup RequirementsThe prerequisites for testing the design in hardware are

bull KC705 Evaluation board with the Kintex-7 FPGA XC7K325T-2FFG900CES device

bull USB-to-Mini-B cable (for UART)

bull AC power adapter (12 VDC)

bull Terminal program [Ref 3]

Note The Tera Term Pro program is used for illustrative purposes Other programs can be used

bull USB-UART drivers from SiLabs [Ref 4]

Hardware Test Board Setup RequirementsThis section details the hardware setup and use of the terminal program for running the BIST application It contains step-by-step instructions for board bring-up

X-Ref Target - Figure 1

Figure 1 KC705 Board Features Used by the BIST

BPI Flash (U58)

USB-UART(J6)

UG883_01_040913

Power Switch(SW15)

Power (J49)

USB-JTAG(U59)

Ethernet(U37)

User Push Buttons

DDR3

Mode Switches(SW13)

Rotary Switch (SW8)Switch is underneath LCD screen

Prog

CPU Reset

User LEDsUser DIP (SW11)

10 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Hardware Test Board Setup Requirements

KC705 Evaluation Board Setup

1 Set the jumpers and switches on the KC705 board as follows

bull The mode switches (SW13) are set for Master BPI mode 010

bull The upper flash address switches (SW13) are set to 11

2 Verify the switch and jumper settings are set as shown in Table 1 and Figure 2

Note For this application the board should be set up as a stand-alone system with power coming from the 12V power adapter included with the KC705 evaluation kit

Table 1 Switch amp Jumper Settings

Switch Setting

SW15Board Power slide-switch

Off

SW11

User GPIO DIP switch

4 Off

3 Off

2 Off

1 Off

SW13

Configuration Mode switch

5 Off

4 On

3 Off

2 On

1 On

X-Ref Target - Figure 2

Figure 2 BIST Switch and Jumper Settings

UG883_02_040913

KC705 Getting Started Guide wwwxilinxcom 11UG883 (v30) April 16 2013

Hardware Test Board Setup Requirements

Hardware Bring-UpThis section details the steps for hardware bring-up

1 With the board switched off plug a USB-to-Mini-B cable into the UART port of the KC705 board and your PC (see Figure 3)

2 Install the power cable

3 Switch the KC705 board power to ON

Install the UART Driver

1 Run the downloaded executable UART-USB driver file listed in Hardware Test Setup Requirements page 9 This enables UART-USB communications with a host PC (see Figure 4)

X-Ref Target - Figure 3

Figure 3 KC705 with the UART and Power Cable Attached

UG883_03_011912

X-Ref Target - Figure 4

Figure 4 UART Cable Driver Installation

UG883_04_011512

12 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Hardware Test Board Setup Requirements

2 Set the USB-UART connection to a known port in the Device Manager as follows

bull Right-click My Computer and select Properties

bull Select the Hardware tab then click the Device Manager button

bull Find and right-click the Silicon Labs device in the list Then select Properties

bull Click the Port Settings tab and the Advancedhellip button

bull Select an open COM port between COM1 and COM4

Figure 5 shows the steps needed to set the USB-UART port

Note Steps and diagrams refer to use with a Windows host PC with the Windows XP or Windows 7 operating systemX-Ref Target - Figure 5

Figure 5 Port Selection on the Device Manager Screen

UG883_05_040913

KC705 Getting Started Guide wwwxilinxcom 13UG883 (v30) April 16 2013

AMS Bring-up with the AMS101 Evaluation Card

Run the BIST Application1 Start the installed terminal program

2 Press PROG (SW14) on the KC705 board and view the BIST output on the terminal window (see Figure 6)

3 Select the relevant tests to run and observe the results

For more information on the BIST software and additional tutorials including how to restore the default content of the onboard nonvolatile storage see the KC705 support website at httpwwwxilinxcomkc705 under the Docs amp Designs tab

AMS Bring-up with the AMS101 Evaluation CardThe Xilinxreg 7 series FPGAs each feature a 1 MSPS 12-bit analog-to-digital converter built into the FPGA for everything from simple analog monitoring to more signal processing intensive tasks like linearization calibration oversampling and filtering The Kintex-7 FPGA KC705 evaluation kit includes the hardware and software to evaluate this feature and determine its usefulness in the userrsquos end system

For evaluation of Xilinx Analog Mixed Signal (AMS) capability the following items from your kit are needed

bull Access to XADC header in your FPGA base board

bull AMS101 evaluation card (Figure 7)

bull FPGA design and software files downloaded from the Docs amp Designs tab at wwwxilinxcomkc705

bull USBUART drivers from Silicon Labs

bull AMS Evaluator tool

X-Ref Target - Figure 6

Figure 6 BIST Main Menu

UG883_06_040913

14 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

AMS Bring-up with the AMS101 Evaluation Card

Requirements to Get Started1 Open the USBUART as described in Install the UART Driver page 11

2 The AMS101 evaluation card requires a Windows host PC to install the AMS Evaluator tool which uses National Instruments LabView run-time engine Install the AMS Evaluator tool by unzipping the AMS Evaluator installer fileshttpssecurexilinxcomwebregclickthroughdocid=199651amplicense=RefDesLicenseampfilename=ams101_gui_installer_v1_1zip located in the Targeted Reference Designs section in the Docs amp Designs tab at wwwxilinxcomkc705 After opening the zip file folder click the setupexe file to begin the installation When loading the National Instruments LabView runtime engine Click OK to accept the license agreement Running the setup program loads the AMS101 Evaluator tool with the red Xilinx logo on the desktop

3 Download the AMS Targeted Reference Design files from the Docs amp Designs tab Kintex-7 FPGA KC705 Evaluation Kit - (7 Series FPGA AMS Targeted Reference Design User Guide (Vivado Design Suite 20124 and ISE Design Suite 144)) section at wwwxilinxcomkc705 Unzip the xadc_eval_design_kc705_V1_0zip files Open the ready_to_test folder Save the xadc_eval_designbit bitstream

X-Ref Target - Figure 7

Figure 7 AMS101 Evaluation Card

UG883_07_121112

KC705 Getting Started Guide wwwxilinxcom 15UG883 (v30) April 16 2013

AMS Bring-up with the AMS101 Evaluation Card

Evaluating AMS1 Connect and power the hardware

a Plug the AMS101 evaluation card into the XADC header on your FPGA base board

Note Ensure the notch on the XADC header lines up correctly with the AMS101 evaluation card (see Figure 8)

b Power up the FPGA base board

2 Download the design to the FPGA Installation of ISE Programming tools or Lab Tools is required for downloading the bitstream to the FPGA

Refer to the Vivado Design Suite User Guide Programming and Debugging (UG908) at httpwwwxilinxcomsupportdocumentationdt_vivadohtm to obtain information on downloading the design to the FPGA

a Open the xadc_eval_designbit file from the AMS Targeted Reference Design files

3 Run the AMS101 Evaluator LabVIEW GUI executable from your desktop After loading the AMS Evaluator installer files a red X with the AMS Evaluator program should reside on your desktop

Note The AMS bitstream can also be loaded with ChipScope analyzer

The AMS101 Evaluator GUI is shown in Figure 9

X-Ref Target - Figure 8

Figure 8 KC705 Base Board with AMS101 Evaluation Card Plugged into XADC Header

UG883_08_121112

16 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

AMS Bring-up with the AMS101 Evaluation Card

The AMS Evaluator Tool allows designers to quickly evaluate the analog signals in the time domain frequency domain display linearity verify the XADC register settings and measure the internal temperature sensor and supply voltages

For a more extensive explanation of the AMS101 evaluation card and the applicable files refer to AMS101 Evaluation Platform User Guide (UG886)

X-Ref Target - Figure 9

Figure 9 AMS101 Evaluator GUI

UG883_09_121112

Select COM Port Here

KC705 Getting Started Guide wwwxilinxcom 17UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

Advanced Bring-up Using the Base Targeted Reference DesignThe primary components of the Kintex-7 FPGA Base TRD are

bull Integrated Endpoint block for PCI Express (PCIe) See 7 Series FPGAs Integrated Block for PCI Express User Guide (UG477)

bull Northwest Logic Packet DMA [Ref 5]

bull Multiport Virtual FIFO

The TRD system can sustain up to 10 Gbs throughput end to end

Figure 10 provides an overview of the TRD

Note In Figure 10 the arrows indicate AXI interface directions (from master to slave) They do not indicate data flow directions

ComponentsThe Kintex-7 FPGA Base TRD features these components

bull Kintex-7 FPGA integrated Endpoint block for PCI Express

bull Configured with 4 lanes at a 5 Gbs link rate (Gen2) or 8 lanes at a 25 Gbs link rate (Gen1) for PCI Express v20

bull Provides a user interface compliant with AXI4-Stream interface protocol

bull Performance monitor tracks the integrated blockrsquos AXI4-Stream interface for PCIe transactions

bull Bus Mastering Scatter-Gather Packet DMA from Northwest Logic a multichannel DMA

bull Supports full-duplex operation with independent transmit and receive paths

X-Ref Target - Figure 10

Figure 10 Kintex-7 FPGA Base TRD Block Diagram

UG883_10_121112

Multiport Virtual FIFO

DD

R3

IO

Software

Multi-ChannelDMA for PCIe DDR3

Cha

nnel

-0C

2SS

2CC

hann

el-1

S2C

C2S

64 x1600 Mbs

PC

Ie x

4Gen

2 Li

nk

PC

Ie x

8Gen

1 Li

nk

VFIFOController

SoftwareDriver

Interface Blocks in FPGA Third Party IPXilinx IP On BoardCustom Logic

AXI-ST AXI-MM

Hardware

VFIFOController

VFIFOController

VFIFOController

Raw Packet Data Block

Checker

Generator

Loop

back

Loop

back

Raw Packet Data Block

Generator

Checker

User SpaceRegisters

Target InterfaceAXI Master

256 x200 MHz

64 x

250

MH

z

64 x250 MHz

64 x250 MHz

64 x250 MHz

64 x250 MHz

PerformanceMonitor

GU

I

GT

X T

rans

ceiv

er

Inte

grat

ed E

ndpo

int B

lock

for

PC

I Exp

ress

AX

I-S

T B

asic

Wra

pper

AXIMIG

AXIInterconnect

SI SI

SI SIM

I

18 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

bull Provides an AXI4-Stream interface on the back end

bull Monitors the performance of data transfers in receive and transmit directions

bull Provides an AXI4 memory-mapped target interface to access user-defined registers

Note The Northwest Logic Packet DMA shipped with the Base TRD is an evaluation version and expires after 12 hours of run time To get the full version contact Northwest Logic [Ref 7]

bull Multiport Virtual FIFO

bull DDR3 SDRAM SODIMM (64-bit 1600 Mbs 800 MHz) is used for buffering packets The memory controller delivered through the memory interface generator (MIG) tool interfaces to the DDR3 memory

bull AXI Interconnect IP along with the memory controller supports multiple ports on the memory

bull The Packetized Virtual FIFO controller controls addressing of the DDR3 memory for each port allowing DDR3 to be used as Virtual Packet FIFO

bull Software driver for a 32-bit Linux platform

bull Configures the hardware design parameters

bull Generates and consumes traffic

bull Provides a GUI to report status and performance statistics

The Kintex-7 FPGA integrated Endpoint block for PCI Express and the Packet DMA are responsible for data transfers from host system to Endpoint card (S2C) and Endpoint card to host system (C2S) Data to and from the host is stored in a Virtual FIFO built around the DDR3 memory This Multiport Virtual FIFO abstraction layer around the DDR3 memory allows the user to move traffic efficiently without the need to manage addressing and arbitration on the memory interface It also provides more depth than storage implemented using Block RAMs

The integrated Endpoint block for PCI Express Packet DMA and Multiport Virtual FIFO form the base system The base system can bridge the host to any user application running on the other end The raw data packet module is a dummy application that generates and consumes packets It can be replaced by any user specific protocol like Aurora or XAUI

The software driver runs on the host system It generates raw data traffic for transmit operations in the S2C direction It also consumes the data looped back or generated at the application end in the C2S direction

Hardware Test Setup RequirementsThese are the prerequisites for testing the design in hardware

bull KC705 Evaluation board with the Kintex-7 FPGA XC7K325T-2FFG900C device

bull Design files provided as a zipped collection under the Docs amp Designs tab at wwwxilinxcomkc705 include

bull Design source files

bull Device driver files

bull Board design files

bull Documentation

bull Vivado Design Suite

bull ISE Programming tools or LabTools

KC705 Getting Started Guide wwwxilinxcom 19UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

bull Micro USB cable

bull 4-pin to 6-pin PCIe adapter cable

bull Fedora 16 Live DVD [Ref 6]

bull PC with PCIe v20 slot For a complete list of machines tested and all known issues refer to the Kintex-7 FPGA Base Targeted Reference Design Release Notes and Known Issues Master Answer Record (httpwwwxilinxcomsupportanswers45679htm) This PC could also have Fedora Core 16 Linux OS installed on it

TRD Demonstration SetupThis section describes hardware setup and use of the application GUI to help the user get started quickly with the design in hardware It provides a step-by-step explanation of hardware bring-up and describes using the application GUI

When following the demonstration setup steps for the Kintex-7 FPGA Base TRD if the behavior is not as described refer to the known issues at wwwxilinxcomsupportanswers45679htm

Board Setup

This section describes how to set up the KC705 Evaluation board required to demonstrate the TRD

1 Set the KC705 Jumpers and Switches Verify that the KC705 Evaluation board jumpers and switches are set as shown in Table 2 and Figure 11

Table 2 Switch and Jumper Settings

Jumper Function Setting

J32 PCIe configuration width mdash 4 lane design Jump 3-4

Switch Function or Type Setting

SW15 Board power slide-switch Off

SW11 User GPIO DIP switch

4 Off

3 Off

2 Off

1 Off

S13 DIP switch SW13 positions 1 and 2 control the setting of address bits of the flashDIP switch SW13 positions 3 4 and 5 control which configuration mode

5 (M0) M2 =0 M1=1 M0=0 ndash Master BPIM2 =0 M1=0 M0=1 ndash Master SPIM2 =1 M1=0 M0=1 ndash JTAG

Off

4 (M1) On

3 (M2) Off

2 Off

1 Off

20 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

Hardware Bring-Up

This section presents steps for hardware bring-up

1 With the host system switched off insert the KC705 board in the PCIe slot through the PCI Express x8 or x16 edge connector (Figure 12)

The TRD programmed on the KC705 board has a 4-lane PCIe v20 configuration running at a 5 Gbs link rate per lane The PCI Express specification allows for a smaller lane width Endpoint to be installed into a larger lane width PCIe connector

2 Figure 13 shows the 12V power connection Connect the 12V ATX power supplyrsquos available 4-pin connector to the board (J49) via a 4-pin to 6-pin PCIe adapter cable Toggle the Power switch SW15 to the ON position

X-Ref Target - Figure 11

Figure 11 Switch and Jumper Settings

UG883_11_040913

J27 J28

J29 J30SW13

J32

SW15SW11

X-Ref Target - Figure 12if

Figure 12 KC705 Board Plugged into a PCIe x16 Slot

UG883_12_040913

KC705 Getting Started Guide wwwxilinxcom 21UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

3 Make sure the connections are tight and then power on the PC system

Note If the user wishes to boot Linux from the Fedora 16 Live DVD place the DVD in the PCrsquos DVD-ROM drive as soon as the PC system is powered on

4 Verify the status of the design on the KC705 LEDs The design provides status on the GPIO LEDs on the upper right of the KC705 board (Figure 14) After the PC system is powered on and the TRD has successfully configured status LEDs from right to left should indicate

bull LED 0 mdash ON if the PCIe link is up

bull LED 1 mdash Flashes if the PCIe user clock is present

bull LED 2 mdash ON if lane width is what is expected else it flashes (for a 4 lane design the expected lane width is 4 for an 8 lane design the expected lane width is 8)

bull LED 3 mdash ON if memory calibration is done

bull LED 4 to LED 7 mdash Not connected

X-Ref Target - Figure 13

Figure 13 Power Supply Connection

UG883_13_121112

SW15

J49 6-pinConnector

12V ATX PowerSupply Pluggedinto the 4-pinConnector

22 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

Install the Linux Driver

1 If Fedora 16 is installed on the PC systemrsquos hard disk boot as a root-privileged user and skip to step 3 page 23

2 To boot from the Fedora 16 Live DVD provided in the kit place the DVD in the PCrsquos DVD-ROM drive The Fedora 16 Live Media is for Intel-compatible PCs The DVD contains a complete bootable 32-bit Fedora 16 environment with the proper packages installed for the TRD demonstration environment For more details see Fedora Information page 2 The PC boots from the DVD-ROM drive and logs into a liveuser account This account has kernel development root privileges required to install and remove device driver modules

Note Users might have to adjust BIOS boot order settings to make sure that the DVD-ROM drive is the first drive in the boot order To enter the BIOS menu to set the boot order press the DEL or F2 key when the system is powered on Set the boot order and save the changes (The DEL or F2 key is used by most PC systems to enter the BIOS setup Some PCs might have a different way to enter the BIOS setup)

The PC should boot from the DVD-ROM drive The images in Figure 15 are seen on the monitor during boot up

X-Ref Target - Figure 14

Figure 14 Location of GPIO Status LEDs (Indicates TRD Status)

UG883_14_121112

KC705 Getting Started Guide wwwxilinxcom 23UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

3 After Fedora 16 Core boots open a terminal window (click Activities click Application scroll down and click the Terminal icon) To find out if the PCIe Endpoint is detected at the terminal command line type

$ lspci

The lspci command displays the devices in the PCI and PCI Express buses of the PC On the bus of the KC705 card slot is the message

Communication controller Xilinx Corporation Device 7042

This message confirms that the design programmed into the KC705 board has been found by the BIOS and the Fedora 16 OS The bus number varies depending on which PC motherboard and slot are used Figure 16 shows a lspci output for an example system Xilinx device 7042 has been found by the BIOS on bus number 2 (02000 - busdevfunction)

X-Ref Target - Figure 15

Figure 15 Fedora 16 Live DVD BootingFirst Screen Last Boot Screen Booted

UG883 15 040913

X-Ref Target - Figure 16

Figure 16 PCI and PCI Express Bus Devices

UG883_16_040913

24 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

4 Download the reference design from the Docs amp Designs tab at wwwxilinxcomkc705 and copy the k7_pcie_dma_ddr3_base folder into any directory

5 To set up and run the TRD demonstration the software driver should be installed on the PC system Software driver installation involves

a Building the kernel objects and the GUI

b Inserting the driver modules into the kernel

After the driver modules are loaded the application GUI can be invoked The user can set parameters through the GUI and run the TRD

When the user is done running the TRD the application GUI can be closed and the drivers can be removed

A script is provided to execute all the above actions so that the user can quickly start the TRD

The k7_trd_lin_quickstart script is available in the k7_pcie_dma_ddr3_base folder Right click the script select properties go to the permissions tab check the box Allow executing file as programmdashthis makes the script executable Close the window

To run the script double-click k7_trd_lin_quickstart in the k7_pcie_dma_ddr3_base folder (Figure 17) The window prompt in Figure 18 appears

X-Ref Target - Figure 17

Figure 17 Load Driver and Launch Application GUI

UG883_17_121112

KC705 Getting Started Guide wwwxilinxcom 25UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

Click Run in Terminal to proceed The application GUI is invoked

Proceed to the next section Using the Application GUI to set design parameters and run the TRD

X-Ref Target - Figure 18

Figure 18 Run in Terminal

UG883_18_040913

26 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

Using the Application GUI

When the drivers are loaded and the Performance Monitor GUI is invoked the user can configure the sending and receiving of data The GUI allows the user to observe the collected statistics and other status information

1 Click the System Status tab to verify the status of the KC705 board and the PCIe link (see Figure 19 and Table 3)

X-Ref Target - Figure 19

Figure 19 Verify Board Status in the Performance Monitor

UG883_19_121112

KC705 Getting Started Guide wwwxilinxcom 27UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

2 To start data traffic on the two data paths

a Click Start Test on Raw Data Path0 as shown in Figure 20 This enables the driver to start generating the data for Raw Data Path0

b Click Start Test on Raw Data Path1 as shown in Figure 20 This enables the driver to start generating the data for Raw Data Path1

Table 3 KC705 Board Status Field Explanations

Field Status Explanation

Link Status Up This confirms that the PCIe link is up and a PCIe connection is established between the Kintex-7 FPGA Endpoint for PCI Express and the PC motherboard chipset

Link Speed 50 Gbs This confirms that the PCIe link is operating at line rate speed per PCI Express v20

Link Width x4 This confirms that the PCIe link is trained as a x4 link

28 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

3 Verify TRD operations through the status information provided by the GUI (see Figure 21)

a Verify the PCIe throughput

b Verify the DMA channel throughput for the Raw Data Path0

c Verify the DMA channel throughput for the Raw Data Path1

d Verify there are no buffer descriptor errors for error-free operation

X-Ref Target - Figure 20

Figure 20 Start Data Traffic from the Performance Monitor

UG883_20_121112

KC705 Getting Started Guide wwwxilinxcom 29UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

The Kintex-7 FPGA PCIe-DMA TRD is now set up and running Close the Application GUI to unload the software drivers and stop traffic flow

X-Ref Target - Figure 21

Figure 21 Verify Error-Free Operation in the Performance Monitor

UG883_21_121112

30 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

Evaluating the Kintex-7 FPGA Base TRDThe Kintex-7 FPGA Base TRD provides a Performance and Status monitor application and GUI The application enables customers to evaluate different system parameters This section demonstrates performance variances for the PCI Express and DMA interfaces based on the parameters set

To evaluate the Kintex-7 FPGA Base TRD

1 Launch the Performance Monitor for the Kintex-7 FPGA Base TRD

a Navigate to the k7_pcie_dma_ddr3_base folder

b Double-click k7_lin_trd_quickstart (Figure 22)mdashmake sure that the script has executable permissionmdashto launch the Performance Monitor and Status GUI

c A window prompt appears as shown in Figure 23 Click Run in Terminal to proceed

2 Set up the test parameters in the Performance Monitor

a Two data paths are available Raw Data Path0 and Raw Data Path1 On each path set the Packet Size to a value between 64 ndash 32768 bytes

X-Ref Target - Figure 22

Figure 22 Launch the Performance Monitor and Status GUI

UG883_22_121112

X-Ref Target - Figure 23

Figure 23 Run k7_lin_trd_quickstart

UG883_23_121112

KC705 Getting Started Guide wwwxilinxcom 31UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

3 Execute the test and view payload statistics in the Performance and Status Monitor (see Figure 24)

a Click Start Test to start the performance test

b Click the Payload Statistics tab to view data transfers on the DMA channels

c Click Stop Test to stop data traffic

d Vary the Packet Size parameters for the Raw Data Paths (see Figure 25) and click Start Test Then view the payload statistics to review data transfer rate on the DMA channels With a decrease in packet size the performance drops

Note Before changing packet size click Stop Test change the size and then click Start Test

X-Ref Target - Figure 24

Figure 24 Payload Statistics

UG883_24_121112

32 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

Note For packet sizes equal to 64 or 128 bytes the throughput is reduced and might not be visible on the Payload Statistics tab The exact values can be viewed on the System Status tab

4 Click the PCIe Statistics tab to view data transfer numbers with varying packet sizes on the PCIe interface (Figure 26)

X-Ref Target - Figure 25

Figure 25 Effect of Varying Packet Sizes on Performance

UG883_25_121112

KC705 Getting Started Guide wwwxilinxcom 33UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

The system performance of the Kintex-7 FPGA Base TRD has now been evaluated using the pre-built demonstration design bit file

Now that the Kintex-7 FPGA Base TRD demonstration has been set up and evaluated the design can be modified Before the design can be modified make sure to install the Vivado Design Suite on a PC It is not required that tools be installed on the PC system in which the KC705 evaluation board is plugged in by way of the PCIe edge connector

X-Ref Target - Figure 26

Figure 26 PCIe Statistics in the Performance Monitor

UG883_26_121112

34 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

KC705 Getting Started Guide wwwxilinxcom 35UG883 (v30) April 16 2013

Appendix A

Additional Resources

Xilinx ResourcesFor support resources such as Answers Documentation Downloads and Forums see the Xilinx Support website at

wwwxilinxcomsupport

For continual updates add the Answer Record to your myAlerts

wwwxilinxcomsupportmyalerts

For a glossary of technical terms used in Xilinx documentation see

wwwxilinxcomcompanytermshtm

Solution CentersSee the Xilinx Solution Centers for support on devices software tools and intellectual property at all stages of the design cycle Topics include design assistance advisories and troubleshooting tips

Further ResourcesThe most up to date information related to the KC705 board and its documentation is available on the following websites

The Kintex-7 FPGA KC705 Evaluation Kit Product Page

wwwxilinxcomkc705

The Kintex-7 FPGA KC705 Evaluation Kit Master Answer Record

wwwxilinxcomsupportanswers47787htm

Design advisories by software release for the Kintex-7 FPGA KC705 Evaluation Kit

wwwxilinxcomsupportnav=sd-nav-link-179661amptab=tab-bk

Kintex-7 FPGA Base Targeted Reference Design Release Notes and Known Issues Master Answer Record

wwwxilinxcomsupportanswers45679htm

These documents provide supplemental material useful with this user guide

UG810 KC705 Evaluation Board for the Kintex-7 FPGA User Guide

UG845 KC705 Reference Design User Guide

36 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Appendix A Additional Resources

UG798 Xilinx Design Tools Installation and Licensing Guide Vivado Design Suite and ISE Design Suite

UG908 Vivado Design Suite User Guide Programming and Debugging

UG882 Kintex-7 FPGA KC705 Base Targeted Reference Design User Guide (Vivado Design Suite 20124)

UG886 AMS101 Evaluation Card User Guide

UG477 7 Series FPGAs Integrated Block for PCI Express User Guide

ReferencesThe following websites provide supplemental material useful with this guide

1 LabVIEW 32-bit Run-Time Enginehttpjoulenicomniducdsviewpid2534langen

2 LabVIEW 64-bit Run-Time Engine httpjoulenicomniducdsviewpid2536langen

3 Tera Term Pro program httphpvectorcojpauthorsVA002416teratermhtml

4 Drivers on the Silicon Labs site httpwwwsilabscomSupport20DocumentsSoftwareCP210x_VCP_Win_XP_S2K3_Vista_7exe

5 Northwest Logic DMA back end core httpwwwnwlogiccompacketdma

6 Fedora project httpfedoraprojectorg

Fedora is a Linux-based operating system used in the development of this TRD

7 The GTK+ project API documentation httpwwwgtkorgdocumentationphp

GTK+ is a toolkit for creating graphical user interfaces (GUI)

KC705 Getting Started Guide wwwxilinxcom 37UG883 (v30) April 16 2013

Appendix B

Warranty

THIS LIMITED WARRANTY applies solely to standard hardware development boards and standard hardware programming cables manufactured by or on behalf of Xilinx (ldquoDevelopment Systemsrdquo) Subject to the limitations herein Xilinx warrants that Development Systems when delivered by Xilinx or its authorized distributor for ninety (90) days following the delivery date will be free from defects in material and workmanship and will substantially conform to Xilinx publicly available specifications for such products in effect at the time of delivery This limited warranty excludes (i) engineering samples or beta versions of Development Systems (which are provided ldquoAS ISrdquo without warranty) (ii) design defects or errors known as ldquoerratardquo (iii) Development Systems procured through unauthorized third parties and (iv) Development Systems that have been subject to misuse mishandling accident alteration neglect unauthorized repair or installation Furthermore this limited warranty shall not apply to the use of covered products in an application or environment that is not within Xilinx specifications or in the event of any act error neglect or default of Customer For any breach by Xilinx of this limited warranty the exclusive remedy of Customer and the sole liability of Xilinx shall be at the option of Xilinx to replace or repair the affected products or to refund to Customer the price of the affected products The availability of replacement products is subject to product discontinuation policies at Xilinx Customer may not return product without first obtaining a customer return material authorization (RMA) number from XilinxTHE WARRANTIES SET FORTH HEREIN ARE EXCLUSIVE XILINX DISCLAIMS ALL OTHER WARRANTIES WHETHER EXPRESS IMPLIED OR STATUTORY INCLUDING WITHOUT LIMITATION ANY WARRANTY OF MERCHANTABILITY FITNESS FOR A PARTICULAR PURPOSE OR NON-INFRINGEMENT AND ANY WARRANTY THAT MAY ARISE FROM COURSE OF DEALING COURSE OF PERFORMANCE OR USAGE OF TRADE (200810)

Do not throw Xilinx products marked with the ldquocrossed out wheeled binrdquo in the trash Directive 200296EC on waste electrical and electronic equipment (WEEE) requires the separate collection of WEEE Your cooperation is essential in ensuring the proper management of WEEE and the protection of the environment and human health from potential effects arising from the presence of hazardous substances in WEEE Return the marked products to Xilinx for proper disposal Further information and instructions for free-of-charge return available at httpwwwxilinxcomehsweeehtm

38 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Appendix B Warranty

  • Kintex-7 FPGA KC705 Evaluation Kit (Vivado Design Suite 20131)
    • Revision History
    • Table of Contents
    • Getting Started with the Kintex-7 FPGA KC705 Evaluation Kit (Vivado Design Suite 20131)
      • Introduction
      • Kit Contents
      • Basic Hardware Bring-up Using the BIST
        • Hardware Test Setup Requirements
          • Hardware Test Board Setup Requirements
            • Hardware Bring-Up
            • Run the BIST Application
              • AMS Bring-up with the AMS101 Evaluation Card
                • Requirements to Get Started
                • Evaluating AMS
                  • Advanced Bring-up Using the Base Targeted Reference Design
                    • Components
                    • Hardware Test Setup Requirements
                    • TRD Demonstration Setup
                    • Evaluating the Kintex-7 FPGA Base TRD
                        • Additional Resources
                          • Xilinx Resources
                          • Solution Centers
                          • Further Resources
                          • References
                            • Warranty
                                • ltlt ASCII85EncodePages false AllowTransparency false AutoPositionEPSFiles true AutoRotatePages All Binding Left CalGrayProfile (Dot Gain 20) CalRGBProfile (sRGB IEC61966-21) CalCMYKProfile (US Web Coated 050SWOP051 v2) sRGBProfile (sRGB IEC61966-21) CannotEmbedFontPolicy Warning CompatibilityLevel 16 CompressObjects Tags CompressPages true ConvertImagesToIndexed true PassThroughJPEGImages true CreateJobTicket false DefaultRenderingIntent Default DetectBlends true DetectCurves 00000 ColorConversionStrategy LeaveColorUnchanged DoThumbnails false EmbedAllFonts true EmbedOpenType false ParseICCProfilesInComments true EmbedJobOptions true DSCReportingLevel 0 EmitDSCWarnings false EndPage -1 ImageMemory 1048576 LockDistillerParams true MaxSubsetPct 100 Optimize true OPM 1 ParseDSCComments true ParseDSCCommentsForDocInfo true PreserveCopyPage true PreserveDICMYKValues true PreserveEPSInfo true PreserveFlatness true PreserveHalftoneInfo false PreserveOPIComments false PreserveOverprintSettings true StartPage 1 SubsetFonts true TransferFunctionInfo Apply UCRandBGInfo Preserve UsePrologue false ColorSettingsFile () AlwaysEmbed [ true ] NeverEmbed [ true ] AntiAliasColorImages false CropColorImages true ColorImageMinResolution 300 ColorImageMinResolutionPolicy OK DownsampleColorImages false ColorImageDownsampleType Bicubic ColorImageResolution 300 ColorImageDepth -1 ColorImageMinDownsampleDepth 1 ColorImageDownsampleThreshold 150000 EncodeColorImages true ColorImageFilter DCTEncode AutoFilterColorImages true ColorImageAutoFilterStrategy JPEG ColorACSImageDict ltlt QFactor 040 HSamples [1 1 1 1] VSamples [1 1 1 1] gtgt ColorImageDict ltlt QFactor 015 HSamples [1 1 1 1] VSamples [1 1 1 1] gtgt JPEG2000ColorACSImageDict ltlt TileWidth 256 TileHeight 256 Quality 30 gtgt JPEG2000ColorImageDict ltlt TileWidth 256 TileHeight 256 Quality 30 gtgt AntiAliasGrayImages false CropGrayImages true GrayImageMinResolution 300 GrayImageMinResolutionPolicy OK DownsampleGrayImages false GrayImageDownsampleType Bicubic GrayImageResolution 300 GrayImageDepth -1 GrayImageMinDownsampleDepth 2 GrayImageDownsampleThreshold 150000 EncodeGrayImages true GrayImageFilter DCTEncode AutoFilterGrayImages true GrayImageAutoFilterStrategy JPEG GrayACSImageDict ltlt QFactor 040 HSamples [1 1 1 1] VSamples [1 1 1 1] gtgt GrayImageDict ltlt QFactor 015 HSamples [1 1 1 1] VSamples [1 1 1 1] gtgt JPEG2000GrayACSImageDict ltlt TileWidth 256 TileHeight 256 Quality 30 gtgt JPEG2000GrayImageDict ltlt TileWidth 256 TileHeight 256 Quality 30 gtgt AntiAliasMonoImages false CropMonoImages true MonoImageMinResolution 1200 MonoImageMinResolutionPolicy OK DownsampleMonoImages false MonoImageDownsampleType Bicubic MonoImageResolution 1200 MonoImageDepth -1 MonoImageDownsampleThreshold 150000 EncodeMonoImages true MonoImageFilter CCITTFaxEncode MonoImageDict ltlt K -1 gtgt AllowPSXObjects false CheckCompliance [ None ] PDFX1aCheck false PDFX3Check false PDFXCompliantPDFOnly false PDFXNoTrimBoxError true PDFXTrimBoxToMediaBoxOffset [ 000000 000000 000000 000000 ] PDFXSetBleedBoxToMediaBox true PDFXBleedBoxToTrimBoxOffset [ 000000 000000 000000 000000 ] PDFXOutputIntentProfile (None) PDFXOutputConditionIdentifier () PDFXOutputCondition () PDFXRegistryName () PDFXTrapped False CreateJDFFile false Description ltlt ARA ltFEFF06270633062A062E062F0645002006470630064700200627064406250639062F0627062F0627062A002006440625064606340627062100200648062B062706260642002000410064006F00620065002000500044004600200645062A0648062706410642062900200644064406370628062706390629002006300627062A002006270644062C0648062F0629002006270644063906270644064A06290020064506460020062E06440627064400200627064406370627062806390627062A00200627064406450643062A0628064A062900200623064800200623062C06470632062900200625062C06310627062100200627064406280631064806410627062A061B0020064A06450643064600200641062A062D00200648062B0627062606420020005000440046002006270644064506460634062306290020062806270633062A062E062F062706450020004100630072006F0062006100740020064800410064006F006200650020005200650061006400650072002006250635062F0627063100200035002E0030002006480627064406250635062F062706310627062A0020062706440623062D062F062B002E0020064506390020005000440046002F0041060C0020062706440631062C062706210020064506310627062C063906290020062F0644064A0644002006450633062A062E062F06450020004100630072006F006200610074061B0020064A06450643064600200641062A062D00200648062B0627062606420020005000440046002006270644064506460634062306290020062806270633062A062E062F062706450020004100630072006F0062006100740020064800410064006F006200650020005200650061006400650072002006250635062F0627063100200035002E0030002006480627064406250635062F062706310627062A0020062706440623062D062F062B002Egt BGR ltFEFF04180437043f043e043b043704320430043904420435002004420435043704380020043d0430044104420440043e0439043a0438002c00200437043000200434043000200441044a0437043404300432043004420435002000410064006f00620065002000500044004600200434043e043a0443043c0435043d044204380020043704300020043a0430044704350441044204320435043d0020043f04350447043004420020043d04300020043d043004410442043e043b043d04380020043f04400438043d04420435044004380020043800200443044104420440043e043904410442043204300020043704300020043f04350447043004420020043d04300020043f0440043e0431043d04380020044004300437043f0435044704300442043a0438002e002000200421044a04370434043004340435043d043804420435002000500044004600200434043e043a0443043c0435043d044204380020043c043e0433043004420020043404300020044104350020043e0442043204300440044f0442002004410020004100630072006f00620061007400200438002000410064006f00620065002000520065006100640065007200200035002e00300020043800200441043b0435043404320430044904380020043204350440044104380438002egt CHS ltFEFF4f7f75288fd94e9b8bbe5b9a521b5efa7684002000500044004600206587686353ef901a8fc7684c976262535370673a548c002000700072006f006f00660065007200208fdb884c9ad88d2891cf62535370300260a853ef4ee54f7f75280020004100630072006f0062006100740020548c002000410064006f00620065002000520065006100640065007200200035002e003000204ee553ca66f49ad87248672c676562535f00521b5efa768400200050004400460020658768633002gt CHT ltFEFF4f7f752890194e9b8a2d7f6e5efa7acb7684002000410064006f006200650020005000440046002065874ef653ef5728684c9762537088686a5f548c002000700072006f006f00660065007200204e0a73725f979ad854c18cea7684521753706548679c300260a853ef4ee54f7f75280020004100630072006f0062006100740020548c002000410064006f00620065002000520065006100640065007200200035002e003000204ee553ca66f49ad87248672c4f86958b555f5df25efa7acb76840020005000440046002065874ef63002gt CZE ltFEFF005400610074006f0020006e006100730074006100760065006e00ed00200070006f0075017e0069006a007400650020006b0020007600790074007600e101590065006e00ed00200064006f006b0075006d0065006e0074016f002000410064006f006200650020005000440046002000700072006f0020006b00760061006c00690074006e00ed0020007400690073006b0020006e0061002000730074006f006c006e00ed006300680020007400690073006b00e10072006e00e100630068002000610020006e00e1007400690073006b006f007600fd006300680020007a0061015900ed007a0065006e00ed00630068002e002000200056007900740076006f01590065006e00e900200064006f006b0075006d0065006e007400790020005000440046002000620075006400650020006d006f017e006e00e90020006f007400650076015900ed007400200076002000700072006f006700720061006d0065006300680020004100630072006f00620061007400200061002000410064006f00620065002000520065006100640065007200200035002e0030002000610020006e006f0076011b006a016100ed00630068002egt DAN ltFEFF004200720075006700200069006e0064007300740069006c006c0069006e006700650072006e0065002000740069006c0020006100740020006f007000720065007400740065002000410064006f006200650020005000440046002d0064006f006b0075006d0065006e007400650072002000740069006c0020006b00760061006c00690074006500740073007500640073006b007200690076006e0069006e006700200065006c006c006500720020006b006f007200720065006b007400750072006c00e60073006e0069006e0067002e0020004400650020006f007000720065007400740065006400650020005000440046002d0064006f006b0075006d0065006e0074006500720020006b0061006e002000e50062006e00650073002000690020004100630072006f00620061007400200065006c006c006500720020004100630072006f006200610074002000520065006100640065007200200035002e00300020006f00670020006e0079006500720065002egt DEU ltFEFF00560065007200770065006e00640065006e0020005300690065002000640069006500730065002000450069006e007300740065006c006c0075006e00670065006e0020007a0075006d002000450072007300740065006c006c0065006e00200076006f006e002000410064006f006200650020005000440046002d0044006f006b0075006d0065006e00740065006e002c00200076006f006e002000640065006e0065006e002000530069006500200068006f00630068007700650072007400690067006500200044007200750063006b006500200061007500660020004400650073006b0074006f0070002d0044007200750063006b00650072006e00200075006e0064002000500072006f006f0066002d00470065007200e400740065006e002000650072007a0065007500670065006e0020006d00f60063006800740065006e002e002000450072007300740065006c006c007400650020005000440046002d0044006f006b0075006d0065006e007400650020006b00f6006e006e0065006e0020006d006900740020004100630072006f00620061007400200075006e0064002000410064006f00620065002000520065006100640065007200200035002e00300020006f0064006500720020006800f600680065007200200067006500f600660066006e00650074002000770065007200640065006e002egt ESP ltFEFF005500740069006c0069006300650020006500730074006100200063006f006e0066006900670075007200610063006900f3006e0020007000610072006100200063007200650061007200200064006f00630075006d0065006e0074006f0073002000640065002000410064006f0062006500200050004400460020007000610072006100200063006f006e00730065006700750069007200200069006d0070007200650073006900f3006e002000640065002000630061006c006900640061006400200065006e00200069006d0070007200650073006f0072006100730020006400650020006500730063007200690074006f00720069006f00200079002000680065007200720061006d00690065006e00740061007300200064006500200063006f00720072006500630063006900f3006e002e002000530065002000700075006500640065006e00200061006200720069007200200064006f00630075006d0065006e0074006f00730020005000440046002000630072006500610064006f007300200063006f006e0020004100630072006f006200610074002c002000410064006f00620065002000520065006100640065007200200035002e003000200079002000760065007200730069006f006e0065007300200070006f00730074006500720069006f007200650073002egt ETI ltFEFF004b00610073007500740061006700650020006e0065006900640020007300e4007400740065006900640020006c006100750061002d0020006a00610020006b006f006e00740072006f006c006c007400f5006d006d006900730065007000720069006e0074006500720069007400650020006a0061006f006b00730020006b00760061006c006900740065006500740073006500740065002000410064006f006200650020005000440046002d0064006f006b0075006d0065006e00740069006400650020006c006f006f006d006900730065006b0073002e002e00200020004c006f006f0064007500640020005000440046002d0064006f006b0075006d0065006e00740065002000730061006100740065002000610076006100640061002000700072006f006700720061006d006d006900640065006700610020004100630072006f0062006100740020006e0069006e0067002000410064006f00620065002000520065006100640065007200200035002e00300020006a00610020007500750065006d006100740065002000760065007200730069006f006f006e00690064006500670061002e000d000agt FRA ltFEFF005500740069006c006900730065007a00200063006500730020006f007000740069006f006e00730020006100660069006e00200064006500200063007200e900650072002000640065007300200064006f00630075006d0065006e00740073002000410064006f00620065002000500044004600200070006f007500720020006400650073002000e90070007200650075007600650073002000650074002000640065007300200069006d007000720065007300730069006f006e00730020006400650020006800610075007400650020007100750061006c0069007400e90020007300750072002000640065007300200069006d007000720069006d0061006e0074006500730020006400650020006200750072006500610075002e0020004c0065007300200064006f00630075006d0065006e00740073002000500044004600200063007200e900e90073002000700065007500760065006e0074002000ea0074007200650020006f007500760065007200740073002000640061006e00730020004100630072006f006200610074002c002000610069006e00730069002000710075002700410064006f00620065002000520065006100640065007200200035002e0030002000650074002000760065007200730069006f006e007300200075006c007400e90072006900650075007200650073002egt GRE ltFEFF03a703c103b703c303b903bc03bf03c003bf03b903ae03c303c403b5002003b103c503c403ad03c2002003c403b903c2002003c103c503b803bc03af03c303b503b903c2002003b303b903b1002003bd03b1002003b403b703bc03b903bf03c503c103b303ae03c303b503c403b5002003ad03b303b303c103b103c603b1002000410064006f006200650020005000440046002003b303b903b1002003b503ba03c403cd03c003c903c303b7002003c003bf03b903cc03c403b703c403b103c2002003c303b5002003b503ba03c403c503c003c903c403ad03c2002003b303c103b103c603b503af03bf03c5002003ba03b103b9002003b403bf03ba03b903bc03b103c303c403ad03c2002e0020002003a403b10020005000440046002003ad03b303b303c103b103c603b1002003c003bf03c5002003ad03c703b503c403b5002003b403b703bc03b903bf03c503c103b303ae03c303b503b9002003bc03c003bf03c103bf03cd03bd002003bd03b1002003b103bd03bf03b903c703c403bf03cd03bd002003bc03b5002003c403bf0020004100630072006f006200610074002c002003c403bf002000410064006f006200650020005200650061006400650072002000200035002e0030002003ba03b103b9002003bc03b503c403b103b303b503bd03ad03c303c403b503c103b503c2002003b503ba03b403cc03c303b503b903c2002egt HEB ltFEFF05D405E905EA05DE05E905D5002005D105D405D205D305E805D505EA002005D005DC05D4002005DB05D305D9002005DC05D905E605D505E8002005DE05E105DE05DB05D9002000410064006F006200650020005000440046002005E205D105D505E8002005D405D305E405E105D4002005D005D905DB05D505EA05D905EA002005D105DE05D305E405E105D505EA002005E905D505DC05D705E005D905D505EA002005D505DB05DC05D9002005D405D205D405D4002E002005DE05E105DE05DB05D9002005D4002D005000440046002005E905E005D505E605E805D905DD002005E005D905EA05E005D905DD002005DC05E405EA05D905D705D4002005D105D005DE05E605E205D505EA0020004100630072006F006200610074002005D5002D00410064006F00620065002000520065006100640065007200200035002E0030002005D505D205E805E105D005D505EA002005DE05EA05E705D305DE05D505EA002005D905D505EA05E8002Egt HRV ltFEFF005a00610020007300740076006100720061006e006a0065002000410064006f00620065002000500044004600200064006f006b0075006d0065006e0061007400610020007a00610020006b00760061006c00690074006500740061006e0020006900730070006900730020006e006100200070006900730061010d0069006d006100200069006c0069002000700072006f006f006600650072002000750072006501110061006a0069006d0061002e00200020005300740076006f00720065006e0069002000500044004600200064006f006b0075006d0065006e007400690020006d006f006700750020007300650020006f00740076006f00720069007400690020004100630072006f00620061007400200069002000410064006f00620065002000520065006100640065007200200035002e0030002000690020006b00610073006e0069006a0069006d0020007600650072007a0069006a0061006d0061002egt HUN ltFEFF004d0069006e0151007300e9006700690020006e0079006f006d00610074006f006b0020006b00e90073007a00ed007400e9007300e900680065007a002000610073007a00740061006c00690020006e0079006f006d00740061007400f3006b006f006e002000e9007300200070007200f300620061006e0079006f006d00f3006b006f006e00200065007a0065006b006b0065006c0020006100200062006500e1006c006c00ed007400e10073006f006b006b0061006c002c00200068006f007a007a006f006e0020006c00e9007400720065002000410064006f00620065002000500044004600200064006f006b0075006d0065006e00740075006d006f006b00610074002e0020002000410020006c00e90074007200650068006f007a006f00740074002000500044004600200064006f006b0075006d0065006e00740075006d006f006b00200061007a0020004100630072006f006200610074002c00200061007a002000410064006f00620065002000520065006100640065007200200035002e0030002000e9007300200061007a002000610074007400f3006c0020006b00e9007301510062006200690020007600650072007a006900f3006b006b0061006c00200020006e00790069007400680061007400f3006b0020006d00650067002egt ITA ltFEFF005500740069006c0069007a007a006100720065002000710075006500730074006500200069006d0070006f007300740061007a0069006f006e00690020007000650072002000630072006500610072006500200064006f00630075006d0065006e00740069002000410064006f006200650020005000440046002000700065007200200075006e00610020007300740061006d007000610020006400690020007100750061006c0069007400e00020007300750020007300740061006d00700061006e0074006900200065002000700072006f006f0066006500720020006400650073006b0074006f0070002e0020004900200064006f00630075006d0065006e007400690020005000440046002000630072006500610074006900200070006f00730073006f006e006f0020006500730073006500720065002000610070006500720074006900200063006f006e0020004100630072006f00620061007400200065002000410064006f00620065002000520065006100640065007200200035002e003000200065002000760065007200730069006f006e006900200073007500630063006500730073006900760065002egt JPN ltFEFF9ad854c18cea51fa529b7528002000410064006f0062006500200050004400460020658766f8306e4f5c6210306b4f7f75283057307e30593002537052376642306e753b8cea3092670059279650306b4fdd306430533068304c3067304d307e3059300230c730b930af30c830c330d730d730ea30f330bf3067306e53705237307e305f306f30d730eb30fc30d57528306b9069305730663044307e305930023053306e8a2d5b9a30674f5c62103055308c305f0020005000440046002030d530a130a430eb306f3001004100630072006f0062006100740020304a30883073002000410064006f00620065002000520065006100640065007200200035002e003000204ee5964d3067958b304f30533068304c3067304d307e30593002gt KOR ltFEFFc7740020c124c815c7440020c0acc6a9d558c5ec0020b370c2a4d06cd0d10020d504b9b0d1300020bc0f0020ad50c815ae30c5d0c11c0020ace0d488c9c8b85c0020c778c1c4d560002000410064006f0062006500200050004400460020bb38c11cb97c0020c791c131d569b2c8b2e4002e0020c774b807ac8c0020c791c131b41c00200050004400460020bb38c11cb2940020004100630072006f0062006100740020bc0f002000410064006f00620065002000520065006100640065007200200035002e00300020c774c0c1c5d0c11c0020c5f40020c2180020c788c2b5b2c8b2e4002egt LTH ltFEFF004e006100750064006f006b0069007400650020016100690075006f007300200070006100720061006d006500740072007500730020006e006f0072011700640061006d00690020006b0075007200740069002000410064006f00620065002000500044004600200064006f006b0075006d0065006e007400750073002c0020006b007500720069006500200073006b00690072007400690020006b006f006b0079006200690161006b0061006900200073007000610075007300640069006e007400690020007300740061006c0069006e0069006100690073002000690072002000620061006e00640079006d006f00200073007000610075007300640069006e007400750076006100690073002e0020002000530075006b0075007200740069002000500044004600200064006f006b0075006d0065006e007400610069002000670061006c006900200062016b007400690020006100740069006400610072006f006d00690020004100630072006f006200610074002000690072002000410064006f00620065002000520065006100640065007200200035002e0030002000610072002000760117006c00650073006e0117006d00690073002000760065007200730069006a006f006d00690073002egt LVI ltFEFF0049007a006d0061006e0074006f006a00690065007400200161006f00730020006900650073007400610074012b006a0075006d00750073002c0020006c0061006900200069007a0076006500690064006f00740075002000410064006f00620065002000500044004600200064006f006b0075006d0065006e0074007500730020006b00760061006c0069007400610074012b0076006100690020006400720075006b010101610061006e00610069002000610072002000670061006c006400610020007000720069006e00740065007200690065006d00200075006e0020007000610072006100750067006e006f00760069006c006b0075006d0075002000690065007300700069006500640113006a00690065006d002e00200049007a0076006500690064006f006a006900650074002000500044004600200064006f006b0075006d0065006e007400750073002c0020006b006f002000760061007200200061007400760113007200740020006100720020004100630072006f00620061007400200075006e002000410064006f00620065002000520065006100640065007200200035002e0030002c0020006b0101002000610072012b00200074006f0020006a00610075006e0101006b0101006d002000760065007200730069006a0101006d002egt NLD (Gebruik deze instellingen om Adobe PDF-documenten te maken voor kwaliteitsafdrukken op desktopprinters en proofers De gemaakte PDF-documenten kunnen worden geopend met Acrobat en Adobe Reader 50 en hoger) NOR ltFEFF004200720075006b00200064006900730073006500200069006e006e007300740069006c006c0069006e00670065006e0065002000740069006c002000e50020006f0070007000720065007400740065002000410064006f006200650020005000440046002d0064006f006b0075006d0065006e00740065007200200066006f00720020007500740073006b00720069006600740020006100760020006800f800790020006b00760061006c00690074006500740020007000e500200062006f007200640073006b0072006900760065007200200065006c006c00650072002000700072006f006f006600650072002e0020005000440046002d0064006f006b0075006d0065006e00740065006e00650020006b0061006e002000e50070006e00650073002000690020004100630072006f00620061007400200065006c006c00650072002000410064006f00620065002000520065006100640065007200200035002e003000200065006c006c00650072002000730065006e006500720065002egt POL ltFEFF0055007300740061007700690065006e0069006100200064006f002000740077006f0072007a0065006e0069006100200064006f006b0075006d0065006e007400f3007700200050004400460020007a002000770079017c0073007a010500200072006f007a0064007a00690065006c0063007a006f015b0063006901050020006f006200720061007a006b00f30077002c0020007a0061007000650077006e00690061006a0105006301050020006c006500700073007a01050020006a0061006b006f015b0107002000770079006400720075006b00f30077002e00200044006f006b0075006d0065006e0074007900200050004400460020006d006f017c006e00610020006f007400770069006500720061010700200077002000700072006f006700720061006d006900650020004100630072006f00620061007400200069002000410064006f00620065002000520065006100640065007200200035002e0030002000690020006e006f00770073007a0079006d002egt PTB ltFEFF005500740069006c0069007a006500200065007300730061007300200063006f006e00660069006700750072006100e700f50065007300200064006500200066006f0072006d00610020006100200063007200690061007200200064006f00630075006d0065006e0074006f0073002000410064006f0062006500200050004400460020007000610072006100200069006d0070007200650073007300f5006500730020006400650020007100750061006c0069006400610064006500200065006d00200069006d00700072006500730073006f0072006100730020006400650073006b0074006f00700020006500200064006900730070006f00730069007400690076006f0073002000640065002000700072006f00760061002e0020004f007300200064006f00630075006d0065006e0074006f00730020005000440046002000630072006900610064006f007300200070006f00640065006d0020007300650072002000610062006500720074006f007300200063006f006d0020006f0020004100630072006f006200610074002000650020006f002000410064006f00620065002000520065006100640065007200200035002e0030002000650020007600650072007300f50065007300200070006f00730074006500720069006f007200650073002egt RUM ltFEFF005500740069006c0069007a00610163006900200061006300650073007400650020007300650074010300720069002000700065006e007400720075002000610020006300720065006100200064006f00630075006d0065006e00740065002000410064006f006200650020005000440046002000700065006e007400720075002000740069007001030072006900720065002000640065002000630061006c006900740061007400650020006c006100200069006d007000720069006d0061006e007400650020006400650073006b0074006f00700020015f0069002000700065006e0074007200750020007600650072006900660069006300610074006f00720069002e002000200044006f00630075006d0065006e00740065006c00650020005000440046002000630072006500610074006500200070006f00740020006600690020006400650073006300680069007300650020006300750020004100630072006f006200610074002c002000410064006f00620065002000520065006100640065007200200035002e00300020015f00690020007600650072007300690075006e0069006c006500200075006c0074006500720069006f006100720065002egt RUS ltFEFF04180441043f043e043b044c04370443043904420435002004340430043d043d044b04350020043d0430044104420440043e0439043a043800200434043b044f00200441043e043704340430043d0438044f00200434043e043a0443043c0435043d0442043e0432002000410064006f006200650020005000440046002c0020043f044004350434043d04300437043d043004470435043d043d044b044500200434043b044f0020043a0430044704350441044204320435043d043d043e04390020043f043504470430044204380020043d04300020043d043004410442043e043b044c043d044b04450020043f04400438043d044204350440043004450020043800200443044104420440043e04390441044204320430044500200434043b044f0020043f043e043b044304470435043d0438044f0020043f0440043e0431043d044b04450020043e0442044204380441043a043e0432002e002000200421043e043704340430043d043d044b04350020005000440046002d0434043e043a0443043c0435043d0442044b0020043c043e0436043d043e00200020043e0442043a0440044b043204300442044c002004410020043f043e043c043e0449044c044e0020004100630072006f00620061007400200438002000410064006f00620065002000520065006100640065007200200035002e00300020043800200431043e043b043504350020043f043e04370434043d043804450020043204350440044104380439002egt SKY ltFEFF0054006900650074006f0020006e006100730074006100760065006e0069006100200070006f0075017e0069007400650020006e00610020007600790074007600e100720061006e0069006500200064006f006b0075006d0065006e0074006f0076002000410064006f00620065002000500044004600200070007200650020006b00760061006c00690074006e00fa00200074006c0061010d0020006e0061002000730074006f006c006e00fd0063006800200074006c0061010d00690061007201480061006300680020006100200074006c0061010d006f007600fd006300680020007a006100720069006100640065006e0069006100630068002e00200056007900740076006f00720065006e00e900200064006f006b0075006d0065006e007400790020005000440046002000620075006400650020006d006f017e006e00e90020006f00740076006f00720069016500200076002000700072006f006700720061006d006f006300680020004100630072006f00620061007400200061002000410064006f00620065002000520065006100640065007200200035002e0030002000610020006e006f0076016100ed00630068002e000d000agt SLV ltFEFF005400650020006e006100730074006100760069007400760065002000750070006f0072006100620069007400650020007a00610020007500730074007600610072006a0061006e006a006500200064006f006b0075006d0065006e0074006f0076002000410064006f0062006500200050004400460020007a00610020006b0061006b006f0076006f00730074006e006f0020007400690073006b0061006e006a00650020006e00610020006e0061006d0069007a006e006900680020007400690073006b0061006c006e0069006b0069006800200069006e0020007000720065007600650072006a0061006c006e0069006b00690068002e00200020005500730074007600610072006a0065006e006500200064006f006b0075006d0065006e0074006500200050004400460020006a00650020006d006f0067006f010d00650020006f0064007000720065007400690020007a0020004100630072006f00620061007400200069006e002000410064006f00620065002000520065006100640065007200200035002e003000200069006e0020006e006f00760065006a01610069006d002egt SUO ltFEFF004b00e40079007400e40020006e00e40069007400e4002000610073006500740075006b007300690061002c0020006b0075006e0020006c0075006f0074002000410064006f0062006500200050004400460020002d0064006f006b0075006d0065006e007400740065006a00610020006c0061006100640075006b006100730074006100200074007900f6007000f60079007400e400740075006c006f0073007400750073007400610020006a00610020007600650064006f007300740075007300740061002000760061007200740065006e002e00200020004c0075006f0064007500740020005000440046002d0064006f006b0075006d0065006e00740069007400200076006f0069006400610061006e0020006100760061007400610020004100630072006f0062006100740069006c006c00610020006a0061002000410064006f00620065002000520065006100640065007200200035002e0030003a006c006c00610020006a006100200075007500640065006d006d0069006c006c0061002egt SVE ltFEFF0041006e007600e4006e00640020006400650020006800e4007200200069006e0073007400e4006c006c006e0069006e006700610072006e00610020006f006d002000640075002000760069006c006c00200073006b006100700061002000410064006f006200650020005000440046002d0064006f006b0075006d0065006e00740020006600f600720020006b00760061006c00690074006500740073007500740073006b0072006900660074006500720020007000e5002000760061006e006c00690067006100200073006b0072006900760061007200650020006f006300680020006600f600720020006b006f007200720065006b007400750072002e002000200053006b006100700061006400650020005000440046002d0064006f006b0075006d0065006e00740020006b0061006e002000f600700070006e00610073002000690020004100630072006f0062006100740020006f00630068002000410064006f00620065002000520065006100640065007200200035002e00300020006f00630068002000730065006e006100720065002egt TUR ltFEFF004d00610073006100fc0073007400fc002000790061007a013100630131006c006100720020007600650020006200610073006b01310020006d0061006b0069006e0065006c006500720069006e006400650020006b0061006c006900740065006c00690020006200610073006b013100200061006d0061006301310079006c0061002000410064006f006200650020005000440046002000620065006c00670065006c0065007200690020006f006c0075015f007400750072006d0061006b0020006900e70069006e00200062007500200061007900610072006c0061007201310020006b0075006c006c0061006e0131006e002e00200020004f006c0075015f0074007500720075006c0061006e0020005000440046002000620065006c00670065006c0065007200690020004100630072006f006200610074002000760065002000410064006f00620065002000520065006100640065007200200035002e003000200076006500200073006f006e0072006100730131006e00640061006b00690020007300fc007200fc006d006c00650072006c00650020006100e70131006c006100620069006c00690072002egt UKR ltFEFF04120438043a043e0440043804410442043e043204430439044204350020044604560020043f043004400430043c043504420440043800200434043b044f0020044104420432043e04400435043d043d044f00200434043e043a0443043c0435043d044204560432002000410064006f006200650020005000440046002c0020044f043a04560020043d04300439043a04400430044904350020043f045604340445043e0434044f0442044c00200434043b044f0020043204380441043e043a043e044f043a04560441043d043e0433043e0020043404400443043a04430020043d04300020043d0430044104420456043b044c043d043804450020043f04400438043d044204350440043004450020044204300020043f04400438044104420440043e044f044500200434043b044f0020043e044204400438043c0430043d043d044f0020043f0440043e0431043d0438044500200437043e04310440043004360435043d044c002e00200020042104420432043e04400435043d045600200434043e043a0443043c0435043d0442043800200050004400460020043c043e0436043d04300020043204560434043a0440043804420438002004430020004100630072006f006200610074002004420430002000410064006f00620065002000520065006100640065007200200035002e0030002004300431043e0020043f04560437043d04560448043e04570020043204350440044104560457002egt ENU ltFEFF005500730065002000740068006500730065002000730065007400740069006e0067007300200074006f0020006300720065006100740065002000410064006f0062006500200050004400460020006200610073006500640020006f006e0020007400680065002000640065006600610075006c0074002000580069006c0069006e007800200070006100720061006d0065007400650072002000730065007400740069006e0067007300200064006500660069006e00650064002000620079002000500053003000300034002e002000460069006c0065006e0061006d0065003a002000780069006c0069006e0078002e006a006f0062006f007000740069006f006e0073002e000d0043006f006d007000610074006100620069006c006900740079003a0020004100630072006f00620061007400200037002e0030002000280050004400460031002e00360029002e0020005500730065003a00200046006f00720020006300720065006100740069006e0067002000460069006e0061006c002c0020005000750062006c0069007300680065006400200050004400460073002e000d0043007200650061007400650064002000310030002f00310032002f003100310020006200790020006a0066006f007200730074002egt gtgt Namespace [ (Adobe) (Common) (10) ] OtherNamespaces [ ltlt AsReaderSpreads false CropImagesToFrames true ErrorControl WarnAndContinue FlattenerIgnoreSpreadOverrides false IncludeGuidesGrids false IncludeNonPrinting false IncludeSlug false Namespace [ (Adobe) (InDesign) (40) ] OmitPlacedBitmaps false OmitPlacedEPS false OmitPlacedPDF false SimulateOverprint Legacy gtgt ltlt AddBleedMarks false AddColorBars false AddCropMarks false AddPageInfo false AddRegMarks false ConvertColors NoConversion DestinationProfileName () DestinationProfileSelector NA Downsample16BitImages true FlattenerPreset ltlt PresetSelector MediumResolution gtgt FormElements false GenerateStructure true IncludeBookmarks false IncludeHyperlinks false IncludeInteractive false IncludeLayers false IncludeProfiles true MultimediaHandling UseObjectSettings Namespace [ (Adobe) (CreativeSuite) (20) ] PDFXOutputIntentProfileSelector NA PreserveEditing true UntaggedCMYKHandling LeaveUntagged UntaggedRGBHandling LeaveUntagged UseDocumentBleed false gtgt ]gtgt setdistillerparamsltlt HWResolution [1200 1200] PageSize [612000 792000]gtgt setpagedevice

Page 9: Xilinx UG883 Kintex-7 FPGA KC705 Evaluation Kit Getting ...€¦ · Updated step 2 and step 3 in Requirements to Get Started, page 14. ... KC705 Getting Started Guide 9 UG883 (v3.0)

KC705 Getting Started Guide wwwxilinxcom 9UG883 (v30) April 16 2013

Basic Hardware Bring-up Using the BIST

Basic Hardware Bring-up Using the BISTThe built-in self-test (BIST) tests many of the features offered by the Kintex-7 FPGA KC705 evaluation kit The test is stored in the nonvolatile BPI Linear Flash memory and configures the FPGA when the mode and upper flash address pins on the board are set for Master BPI

Figure 1 provides an overview of the board features used by the BIST

Note For a diagram of all the features on the KC705 see KC705 Evaluation Board for the Kintex-7 FPGA User Guide (UG810)

Hardware Test Setup RequirementsThe prerequisites for testing the design in hardware are

bull KC705 Evaluation board with the Kintex-7 FPGA XC7K325T-2FFG900CES device

bull USB-to-Mini-B cable (for UART)

bull AC power adapter (12 VDC)

bull Terminal program [Ref 3]

Note The Tera Term Pro program is used for illustrative purposes Other programs can be used

bull USB-UART drivers from SiLabs [Ref 4]

Hardware Test Board Setup RequirementsThis section details the hardware setup and use of the terminal program for running the BIST application It contains step-by-step instructions for board bring-up

X-Ref Target - Figure 1

Figure 1 KC705 Board Features Used by the BIST

BPI Flash (U58)

USB-UART(J6)

UG883_01_040913

Power Switch(SW15)

Power (J49)

USB-JTAG(U59)

Ethernet(U37)

User Push Buttons

DDR3

Mode Switches(SW13)

Rotary Switch (SW8)Switch is underneath LCD screen

Prog

CPU Reset

User LEDsUser DIP (SW11)

10 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Hardware Test Board Setup Requirements

KC705 Evaluation Board Setup

1 Set the jumpers and switches on the KC705 board as follows

bull The mode switches (SW13) are set for Master BPI mode 010

bull The upper flash address switches (SW13) are set to 11

2 Verify the switch and jumper settings are set as shown in Table 1 and Figure 2

Note For this application the board should be set up as a stand-alone system with power coming from the 12V power adapter included with the KC705 evaluation kit

Table 1 Switch amp Jumper Settings

Switch Setting

SW15Board Power slide-switch

Off

SW11

User GPIO DIP switch

4 Off

3 Off

2 Off

1 Off

SW13

Configuration Mode switch

5 Off

4 On

3 Off

2 On

1 On

X-Ref Target - Figure 2

Figure 2 BIST Switch and Jumper Settings

UG883_02_040913

KC705 Getting Started Guide wwwxilinxcom 11UG883 (v30) April 16 2013

Hardware Test Board Setup Requirements

Hardware Bring-UpThis section details the steps for hardware bring-up

1 With the board switched off plug a USB-to-Mini-B cable into the UART port of the KC705 board and your PC (see Figure 3)

2 Install the power cable

3 Switch the KC705 board power to ON

Install the UART Driver

1 Run the downloaded executable UART-USB driver file listed in Hardware Test Setup Requirements page 9 This enables UART-USB communications with a host PC (see Figure 4)

X-Ref Target - Figure 3

Figure 3 KC705 with the UART and Power Cable Attached

UG883_03_011912

X-Ref Target - Figure 4

Figure 4 UART Cable Driver Installation

UG883_04_011512

12 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Hardware Test Board Setup Requirements

2 Set the USB-UART connection to a known port in the Device Manager as follows

bull Right-click My Computer and select Properties

bull Select the Hardware tab then click the Device Manager button

bull Find and right-click the Silicon Labs device in the list Then select Properties

bull Click the Port Settings tab and the Advancedhellip button

bull Select an open COM port between COM1 and COM4

Figure 5 shows the steps needed to set the USB-UART port

Note Steps and diagrams refer to use with a Windows host PC with the Windows XP or Windows 7 operating systemX-Ref Target - Figure 5

Figure 5 Port Selection on the Device Manager Screen

UG883_05_040913

KC705 Getting Started Guide wwwxilinxcom 13UG883 (v30) April 16 2013

AMS Bring-up with the AMS101 Evaluation Card

Run the BIST Application1 Start the installed terminal program

2 Press PROG (SW14) on the KC705 board and view the BIST output on the terminal window (see Figure 6)

3 Select the relevant tests to run and observe the results

For more information on the BIST software and additional tutorials including how to restore the default content of the onboard nonvolatile storage see the KC705 support website at httpwwwxilinxcomkc705 under the Docs amp Designs tab

AMS Bring-up with the AMS101 Evaluation CardThe Xilinxreg 7 series FPGAs each feature a 1 MSPS 12-bit analog-to-digital converter built into the FPGA for everything from simple analog monitoring to more signal processing intensive tasks like linearization calibration oversampling and filtering The Kintex-7 FPGA KC705 evaluation kit includes the hardware and software to evaluate this feature and determine its usefulness in the userrsquos end system

For evaluation of Xilinx Analog Mixed Signal (AMS) capability the following items from your kit are needed

bull Access to XADC header in your FPGA base board

bull AMS101 evaluation card (Figure 7)

bull FPGA design and software files downloaded from the Docs amp Designs tab at wwwxilinxcomkc705

bull USBUART drivers from Silicon Labs

bull AMS Evaluator tool

X-Ref Target - Figure 6

Figure 6 BIST Main Menu

UG883_06_040913

14 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

AMS Bring-up with the AMS101 Evaluation Card

Requirements to Get Started1 Open the USBUART as described in Install the UART Driver page 11

2 The AMS101 evaluation card requires a Windows host PC to install the AMS Evaluator tool which uses National Instruments LabView run-time engine Install the AMS Evaluator tool by unzipping the AMS Evaluator installer fileshttpssecurexilinxcomwebregclickthroughdocid=199651amplicense=RefDesLicenseampfilename=ams101_gui_installer_v1_1zip located in the Targeted Reference Designs section in the Docs amp Designs tab at wwwxilinxcomkc705 After opening the zip file folder click the setupexe file to begin the installation When loading the National Instruments LabView runtime engine Click OK to accept the license agreement Running the setup program loads the AMS101 Evaluator tool with the red Xilinx logo on the desktop

3 Download the AMS Targeted Reference Design files from the Docs amp Designs tab Kintex-7 FPGA KC705 Evaluation Kit - (7 Series FPGA AMS Targeted Reference Design User Guide (Vivado Design Suite 20124 and ISE Design Suite 144)) section at wwwxilinxcomkc705 Unzip the xadc_eval_design_kc705_V1_0zip files Open the ready_to_test folder Save the xadc_eval_designbit bitstream

X-Ref Target - Figure 7

Figure 7 AMS101 Evaluation Card

UG883_07_121112

KC705 Getting Started Guide wwwxilinxcom 15UG883 (v30) April 16 2013

AMS Bring-up with the AMS101 Evaluation Card

Evaluating AMS1 Connect and power the hardware

a Plug the AMS101 evaluation card into the XADC header on your FPGA base board

Note Ensure the notch on the XADC header lines up correctly with the AMS101 evaluation card (see Figure 8)

b Power up the FPGA base board

2 Download the design to the FPGA Installation of ISE Programming tools or Lab Tools is required for downloading the bitstream to the FPGA

Refer to the Vivado Design Suite User Guide Programming and Debugging (UG908) at httpwwwxilinxcomsupportdocumentationdt_vivadohtm to obtain information on downloading the design to the FPGA

a Open the xadc_eval_designbit file from the AMS Targeted Reference Design files

3 Run the AMS101 Evaluator LabVIEW GUI executable from your desktop After loading the AMS Evaluator installer files a red X with the AMS Evaluator program should reside on your desktop

Note The AMS bitstream can also be loaded with ChipScope analyzer

The AMS101 Evaluator GUI is shown in Figure 9

X-Ref Target - Figure 8

Figure 8 KC705 Base Board with AMS101 Evaluation Card Plugged into XADC Header

UG883_08_121112

16 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

AMS Bring-up with the AMS101 Evaluation Card

The AMS Evaluator Tool allows designers to quickly evaluate the analog signals in the time domain frequency domain display linearity verify the XADC register settings and measure the internal temperature sensor and supply voltages

For a more extensive explanation of the AMS101 evaluation card and the applicable files refer to AMS101 Evaluation Platform User Guide (UG886)

X-Ref Target - Figure 9

Figure 9 AMS101 Evaluator GUI

UG883_09_121112

Select COM Port Here

KC705 Getting Started Guide wwwxilinxcom 17UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

Advanced Bring-up Using the Base Targeted Reference DesignThe primary components of the Kintex-7 FPGA Base TRD are

bull Integrated Endpoint block for PCI Express (PCIe) See 7 Series FPGAs Integrated Block for PCI Express User Guide (UG477)

bull Northwest Logic Packet DMA [Ref 5]

bull Multiport Virtual FIFO

The TRD system can sustain up to 10 Gbs throughput end to end

Figure 10 provides an overview of the TRD

Note In Figure 10 the arrows indicate AXI interface directions (from master to slave) They do not indicate data flow directions

ComponentsThe Kintex-7 FPGA Base TRD features these components

bull Kintex-7 FPGA integrated Endpoint block for PCI Express

bull Configured with 4 lanes at a 5 Gbs link rate (Gen2) or 8 lanes at a 25 Gbs link rate (Gen1) for PCI Express v20

bull Provides a user interface compliant with AXI4-Stream interface protocol

bull Performance monitor tracks the integrated blockrsquos AXI4-Stream interface for PCIe transactions

bull Bus Mastering Scatter-Gather Packet DMA from Northwest Logic a multichannel DMA

bull Supports full-duplex operation with independent transmit and receive paths

X-Ref Target - Figure 10

Figure 10 Kintex-7 FPGA Base TRD Block Diagram

UG883_10_121112

Multiport Virtual FIFO

DD

R3

IO

Software

Multi-ChannelDMA for PCIe DDR3

Cha

nnel

-0C

2SS

2CC

hann

el-1

S2C

C2S

64 x1600 Mbs

PC

Ie x

4Gen

2 Li

nk

PC

Ie x

8Gen

1 Li

nk

VFIFOController

SoftwareDriver

Interface Blocks in FPGA Third Party IPXilinx IP On BoardCustom Logic

AXI-ST AXI-MM

Hardware

VFIFOController

VFIFOController

VFIFOController

Raw Packet Data Block

Checker

Generator

Loop

back

Loop

back

Raw Packet Data Block

Generator

Checker

User SpaceRegisters

Target InterfaceAXI Master

256 x200 MHz

64 x

250

MH

z

64 x250 MHz

64 x250 MHz

64 x250 MHz

64 x250 MHz

PerformanceMonitor

GU

I

GT

X T

rans

ceiv

er

Inte

grat

ed E

ndpo

int B

lock

for

PC

I Exp

ress

AX

I-S

T B

asic

Wra

pper

AXIMIG

AXIInterconnect

SI SI

SI SIM

I

18 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

bull Provides an AXI4-Stream interface on the back end

bull Monitors the performance of data transfers in receive and transmit directions

bull Provides an AXI4 memory-mapped target interface to access user-defined registers

Note The Northwest Logic Packet DMA shipped with the Base TRD is an evaluation version and expires after 12 hours of run time To get the full version contact Northwest Logic [Ref 7]

bull Multiport Virtual FIFO

bull DDR3 SDRAM SODIMM (64-bit 1600 Mbs 800 MHz) is used for buffering packets The memory controller delivered through the memory interface generator (MIG) tool interfaces to the DDR3 memory

bull AXI Interconnect IP along with the memory controller supports multiple ports on the memory

bull The Packetized Virtual FIFO controller controls addressing of the DDR3 memory for each port allowing DDR3 to be used as Virtual Packet FIFO

bull Software driver for a 32-bit Linux platform

bull Configures the hardware design parameters

bull Generates and consumes traffic

bull Provides a GUI to report status and performance statistics

The Kintex-7 FPGA integrated Endpoint block for PCI Express and the Packet DMA are responsible for data transfers from host system to Endpoint card (S2C) and Endpoint card to host system (C2S) Data to and from the host is stored in a Virtual FIFO built around the DDR3 memory This Multiport Virtual FIFO abstraction layer around the DDR3 memory allows the user to move traffic efficiently without the need to manage addressing and arbitration on the memory interface It also provides more depth than storage implemented using Block RAMs

The integrated Endpoint block for PCI Express Packet DMA and Multiport Virtual FIFO form the base system The base system can bridge the host to any user application running on the other end The raw data packet module is a dummy application that generates and consumes packets It can be replaced by any user specific protocol like Aurora or XAUI

The software driver runs on the host system It generates raw data traffic for transmit operations in the S2C direction It also consumes the data looped back or generated at the application end in the C2S direction

Hardware Test Setup RequirementsThese are the prerequisites for testing the design in hardware

bull KC705 Evaluation board with the Kintex-7 FPGA XC7K325T-2FFG900C device

bull Design files provided as a zipped collection under the Docs amp Designs tab at wwwxilinxcomkc705 include

bull Design source files

bull Device driver files

bull Board design files

bull Documentation

bull Vivado Design Suite

bull ISE Programming tools or LabTools

KC705 Getting Started Guide wwwxilinxcom 19UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

bull Micro USB cable

bull 4-pin to 6-pin PCIe adapter cable

bull Fedora 16 Live DVD [Ref 6]

bull PC with PCIe v20 slot For a complete list of machines tested and all known issues refer to the Kintex-7 FPGA Base Targeted Reference Design Release Notes and Known Issues Master Answer Record (httpwwwxilinxcomsupportanswers45679htm) This PC could also have Fedora Core 16 Linux OS installed on it

TRD Demonstration SetupThis section describes hardware setup and use of the application GUI to help the user get started quickly with the design in hardware It provides a step-by-step explanation of hardware bring-up and describes using the application GUI

When following the demonstration setup steps for the Kintex-7 FPGA Base TRD if the behavior is not as described refer to the known issues at wwwxilinxcomsupportanswers45679htm

Board Setup

This section describes how to set up the KC705 Evaluation board required to demonstrate the TRD

1 Set the KC705 Jumpers and Switches Verify that the KC705 Evaluation board jumpers and switches are set as shown in Table 2 and Figure 11

Table 2 Switch and Jumper Settings

Jumper Function Setting

J32 PCIe configuration width mdash 4 lane design Jump 3-4

Switch Function or Type Setting

SW15 Board power slide-switch Off

SW11 User GPIO DIP switch

4 Off

3 Off

2 Off

1 Off

S13 DIP switch SW13 positions 1 and 2 control the setting of address bits of the flashDIP switch SW13 positions 3 4 and 5 control which configuration mode

5 (M0) M2 =0 M1=1 M0=0 ndash Master BPIM2 =0 M1=0 M0=1 ndash Master SPIM2 =1 M1=0 M0=1 ndash JTAG

Off

4 (M1) On

3 (M2) Off

2 Off

1 Off

20 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

Hardware Bring-Up

This section presents steps for hardware bring-up

1 With the host system switched off insert the KC705 board in the PCIe slot through the PCI Express x8 or x16 edge connector (Figure 12)

The TRD programmed on the KC705 board has a 4-lane PCIe v20 configuration running at a 5 Gbs link rate per lane The PCI Express specification allows for a smaller lane width Endpoint to be installed into a larger lane width PCIe connector

2 Figure 13 shows the 12V power connection Connect the 12V ATX power supplyrsquos available 4-pin connector to the board (J49) via a 4-pin to 6-pin PCIe adapter cable Toggle the Power switch SW15 to the ON position

X-Ref Target - Figure 11

Figure 11 Switch and Jumper Settings

UG883_11_040913

J27 J28

J29 J30SW13

J32

SW15SW11

X-Ref Target - Figure 12if

Figure 12 KC705 Board Plugged into a PCIe x16 Slot

UG883_12_040913

KC705 Getting Started Guide wwwxilinxcom 21UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

3 Make sure the connections are tight and then power on the PC system

Note If the user wishes to boot Linux from the Fedora 16 Live DVD place the DVD in the PCrsquos DVD-ROM drive as soon as the PC system is powered on

4 Verify the status of the design on the KC705 LEDs The design provides status on the GPIO LEDs on the upper right of the KC705 board (Figure 14) After the PC system is powered on and the TRD has successfully configured status LEDs from right to left should indicate

bull LED 0 mdash ON if the PCIe link is up

bull LED 1 mdash Flashes if the PCIe user clock is present

bull LED 2 mdash ON if lane width is what is expected else it flashes (for a 4 lane design the expected lane width is 4 for an 8 lane design the expected lane width is 8)

bull LED 3 mdash ON if memory calibration is done

bull LED 4 to LED 7 mdash Not connected

X-Ref Target - Figure 13

Figure 13 Power Supply Connection

UG883_13_121112

SW15

J49 6-pinConnector

12V ATX PowerSupply Pluggedinto the 4-pinConnector

22 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

Install the Linux Driver

1 If Fedora 16 is installed on the PC systemrsquos hard disk boot as a root-privileged user and skip to step 3 page 23

2 To boot from the Fedora 16 Live DVD provided in the kit place the DVD in the PCrsquos DVD-ROM drive The Fedora 16 Live Media is for Intel-compatible PCs The DVD contains a complete bootable 32-bit Fedora 16 environment with the proper packages installed for the TRD demonstration environment For more details see Fedora Information page 2 The PC boots from the DVD-ROM drive and logs into a liveuser account This account has kernel development root privileges required to install and remove device driver modules

Note Users might have to adjust BIOS boot order settings to make sure that the DVD-ROM drive is the first drive in the boot order To enter the BIOS menu to set the boot order press the DEL or F2 key when the system is powered on Set the boot order and save the changes (The DEL or F2 key is used by most PC systems to enter the BIOS setup Some PCs might have a different way to enter the BIOS setup)

The PC should boot from the DVD-ROM drive The images in Figure 15 are seen on the monitor during boot up

X-Ref Target - Figure 14

Figure 14 Location of GPIO Status LEDs (Indicates TRD Status)

UG883_14_121112

KC705 Getting Started Guide wwwxilinxcom 23UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

3 After Fedora 16 Core boots open a terminal window (click Activities click Application scroll down and click the Terminal icon) To find out if the PCIe Endpoint is detected at the terminal command line type

$ lspci

The lspci command displays the devices in the PCI and PCI Express buses of the PC On the bus of the KC705 card slot is the message

Communication controller Xilinx Corporation Device 7042

This message confirms that the design programmed into the KC705 board has been found by the BIOS and the Fedora 16 OS The bus number varies depending on which PC motherboard and slot are used Figure 16 shows a lspci output for an example system Xilinx device 7042 has been found by the BIOS on bus number 2 (02000 - busdevfunction)

X-Ref Target - Figure 15

Figure 15 Fedora 16 Live DVD BootingFirst Screen Last Boot Screen Booted

UG883 15 040913

X-Ref Target - Figure 16

Figure 16 PCI and PCI Express Bus Devices

UG883_16_040913

24 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

4 Download the reference design from the Docs amp Designs tab at wwwxilinxcomkc705 and copy the k7_pcie_dma_ddr3_base folder into any directory

5 To set up and run the TRD demonstration the software driver should be installed on the PC system Software driver installation involves

a Building the kernel objects and the GUI

b Inserting the driver modules into the kernel

After the driver modules are loaded the application GUI can be invoked The user can set parameters through the GUI and run the TRD

When the user is done running the TRD the application GUI can be closed and the drivers can be removed

A script is provided to execute all the above actions so that the user can quickly start the TRD

The k7_trd_lin_quickstart script is available in the k7_pcie_dma_ddr3_base folder Right click the script select properties go to the permissions tab check the box Allow executing file as programmdashthis makes the script executable Close the window

To run the script double-click k7_trd_lin_quickstart in the k7_pcie_dma_ddr3_base folder (Figure 17) The window prompt in Figure 18 appears

X-Ref Target - Figure 17

Figure 17 Load Driver and Launch Application GUI

UG883_17_121112

KC705 Getting Started Guide wwwxilinxcom 25UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

Click Run in Terminal to proceed The application GUI is invoked

Proceed to the next section Using the Application GUI to set design parameters and run the TRD

X-Ref Target - Figure 18

Figure 18 Run in Terminal

UG883_18_040913

26 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

Using the Application GUI

When the drivers are loaded and the Performance Monitor GUI is invoked the user can configure the sending and receiving of data The GUI allows the user to observe the collected statistics and other status information

1 Click the System Status tab to verify the status of the KC705 board and the PCIe link (see Figure 19 and Table 3)

X-Ref Target - Figure 19

Figure 19 Verify Board Status in the Performance Monitor

UG883_19_121112

KC705 Getting Started Guide wwwxilinxcom 27UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

2 To start data traffic on the two data paths

a Click Start Test on Raw Data Path0 as shown in Figure 20 This enables the driver to start generating the data for Raw Data Path0

b Click Start Test on Raw Data Path1 as shown in Figure 20 This enables the driver to start generating the data for Raw Data Path1

Table 3 KC705 Board Status Field Explanations

Field Status Explanation

Link Status Up This confirms that the PCIe link is up and a PCIe connection is established between the Kintex-7 FPGA Endpoint for PCI Express and the PC motherboard chipset

Link Speed 50 Gbs This confirms that the PCIe link is operating at line rate speed per PCI Express v20

Link Width x4 This confirms that the PCIe link is trained as a x4 link

28 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

3 Verify TRD operations through the status information provided by the GUI (see Figure 21)

a Verify the PCIe throughput

b Verify the DMA channel throughput for the Raw Data Path0

c Verify the DMA channel throughput for the Raw Data Path1

d Verify there are no buffer descriptor errors for error-free operation

X-Ref Target - Figure 20

Figure 20 Start Data Traffic from the Performance Monitor

UG883_20_121112

KC705 Getting Started Guide wwwxilinxcom 29UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

The Kintex-7 FPGA PCIe-DMA TRD is now set up and running Close the Application GUI to unload the software drivers and stop traffic flow

X-Ref Target - Figure 21

Figure 21 Verify Error-Free Operation in the Performance Monitor

UG883_21_121112

30 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

Evaluating the Kintex-7 FPGA Base TRDThe Kintex-7 FPGA Base TRD provides a Performance and Status monitor application and GUI The application enables customers to evaluate different system parameters This section demonstrates performance variances for the PCI Express and DMA interfaces based on the parameters set

To evaluate the Kintex-7 FPGA Base TRD

1 Launch the Performance Monitor for the Kintex-7 FPGA Base TRD

a Navigate to the k7_pcie_dma_ddr3_base folder

b Double-click k7_lin_trd_quickstart (Figure 22)mdashmake sure that the script has executable permissionmdashto launch the Performance Monitor and Status GUI

c A window prompt appears as shown in Figure 23 Click Run in Terminal to proceed

2 Set up the test parameters in the Performance Monitor

a Two data paths are available Raw Data Path0 and Raw Data Path1 On each path set the Packet Size to a value between 64 ndash 32768 bytes

X-Ref Target - Figure 22

Figure 22 Launch the Performance Monitor and Status GUI

UG883_22_121112

X-Ref Target - Figure 23

Figure 23 Run k7_lin_trd_quickstart

UG883_23_121112

KC705 Getting Started Guide wwwxilinxcom 31UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

3 Execute the test and view payload statistics in the Performance and Status Monitor (see Figure 24)

a Click Start Test to start the performance test

b Click the Payload Statistics tab to view data transfers on the DMA channels

c Click Stop Test to stop data traffic

d Vary the Packet Size parameters for the Raw Data Paths (see Figure 25) and click Start Test Then view the payload statistics to review data transfer rate on the DMA channels With a decrease in packet size the performance drops

Note Before changing packet size click Stop Test change the size and then click Start Test

X-Ref Target - Figure 24

Figure 24 Payload Statistics

UG883_24_121112

32 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

Note For packet sizes equal to 64 or 128 bytes the throughput is reduced and might not be visible on the Payload Statistics tab The exact values can be viewed on the System Status tab

4 Click the PCIe Statistics tab to view data transfer numbers with varying packet sizes on the PCIe interface (Figure 26)

X-Ref Target - Figure 25

Figure 25 Effect of Varying Packet Sizes on Performance

UG883_25_121112

KC705 Getting Started Guide wwwxilinxcom 33UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

The system performance of the Kintex-7 FPGA Base TRD has now been evaluated using the pre-built demonstration design bit file

Now that the Kintex-7 FPGA Base TRD demonstration has been set up and evaluated the design can be modified Before the design can be modified make sure to install the Vivado Design Suite on a PC It is not required that tools be installed on the PC system in which the KC705 evaluation board is plugged in by way of the PCIe edge connector

X-Ref Target - Figure 26

Figure 26 PCIe Statistics in the Performance Monitor

UG883_26_121112

34 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

KC705 Getting Started Guide wwwxilinxcom 35UG883 (v30) April 16 2013

Appendix A

Additional Resources

Xilinx ResourcesFor support resources such as Answers Documentation Downloads and Forums see the Xilinx Support website at

wwwxilinxcomsupport

For continual updates add the Answer Record to your myAlerts

wwwxilinxcomsupportmyalerts

For a glossary of technical terms used in Xilinx documentation see

wwwxilinxcomcompanytermshtm

Solution CentersSee the Xilinx Solution Centers for support on devices software tools and intellectual property at all stages of the design cycle Topics include design assistance advisories and troubleshooting tips

Further ResourcesThe most up to date information related to the KC705 board and its documentation is available on the following websites

The Kintex-7 FPGA KC705 Evaluation Kit Product Page

wwwxilinxcomkc705

The Kintex-7 FPGA KC705 Evaluation Kit Master Answer Record

wwwxilinxcomsupportanswers47787htm

Design advisories by software release for the Kintex-7 FPGA KC705 Evaluation Kit

wwwxilinxcomsupportnav=sd-nav-link-179661amptab=tab-bk

Kintex-7 FPGA Base Targeted Reference Design Release Notes and Known Issues Master Answer Record

wwwxilinxcomsupportanswers45679htm

These documents provide supplemental material useful with this user guide

UG810 KC705 Evaluation Board for the Kintex-7 FPGA User Guide

UG845 KC705 Reference Design User Guide

36 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Appendix A Additional Resources

UG798 Xilinx Design Tools Installation and Licensing Guide Vivado Design Suite and ISE Design Suite

UG908 Vivado Design Suite User Guide Programming and Debugging

UG882 Kintex-7 FPGA KC705 Base Targeted Reference Design User Guide (Vivado Design Suite 20124)

UG886 AMS101 Evaluation Card User Guide

UG477 7 Series FPGAs Integrated Block for PCI Express User Guide

ReferencesThe following websites provide supplemental material useful with this guide

1 LabVIEW 32-bit Run-Time Enginehttpjoulenicomniducdsviewpid2534langen

2 LabVIEW 64-bit Run-Time Engine httpjoulenicomniducdsviewpid2536langen

3 Tera Term Pro program httphpvectorcojpauthorsVA002416teratermhtml

4 Drivers on the Silicon Labs site httpwwwsilabscomSupport20DocumentsSoftwareCP210x_VCP_Win_XP_S2K3_Vista_7exe

5 Northwest Logic DMA back end core httpwwwnwlogiccompacketdma

6 Fedora project httpfedoraprojectorg

Fedora is a Linux-based operating system used in the development of this TRD

7 The GTK+ project API documentation httpwwwgtkorgdocumentationphp

GTK+ is a toolkit for creating graphical user interfaces (GUI)

KC705 Getting Started Guide wwwxilinxcom 37UG883 (v30) April 16 2013

Appendix B

Warranty

THIS LIMITED WARRANTY applies solely to standard hardware development boards and standard hardware programming cables manufactured by or on behalf of Xilinx (ldquoDevelopment Systemsrdquo) Subject to the limitations herein Xilinx warrants that Development Systems when delivered by Xilinx or its authorized distributor for ninety (90) days following the delivery date will be free from defects in material and workmanship and will substantially conform to Xilinx publicly available specifications for such products in effect at the time of delivery This limited warranty excludes (i) engineering samples or beta versions of Development Systems (which are provided ldquoAS ISrdquo without warranty) (ii) design defects or errors known as ldquoerratardquo (iii) Development Systems procured through unauthorized third parties and (iv) Development Systems that have been subject to misuse mishandling accident alteration neglect unauthorized repair or installation Furthermore this limited warranty shall not apply to the use of covered products in an application or environment that is not within Xilinx specifications or in the event of any act error neglect or default of Customer For any breach by Xilinx of this limited warranty the exclusive remedy of Customer and the sole liability of Xilinx shall be at the option of Xilinx to replace or repair the affected products or to refund to Customer the price of the affected products The availability of replacement products is subject to product discontinuation policies at Xilinx Customer may not return product without first obtaining a customer return material authorization (RMA) number from XilinxTHE WARRANTIES SET FORTH HEREIN ARE EXCLUSIVE XILINX DISCLAIMS ALL OTHER WARRANTIES WHETHER EXPRESS IMPLIED OR STATUTORY INCLUDING WITHOUT LIMITATION ANY WARRANTY OF MERCHANTABILITY FITNESS FOR A PARTICULAR PURPOSE OR NON-INFRINGEMENT AND ANY WARRANTY THAT MAY ARISE FROM COURSE OF DEALING COURSE OF PERFORMANCE OR USAGE OF TRADE (200810)

Do not throw Xilinx products marked with the ldquocrossed out wheeled binrdquo in the trash Directive 200296EC on waste electrical and electronic equipment (WEEE) requires the separate collection of WEEE Your cooperation is essential in ensuring the proper management of WEEE and the protection of the environment and human health from potential effects arising from the presence of hazardous substances in WEEE Return the marked products to Xilinx for proper disposal Further information and instructions for free-of-charge return available at httpwwwxilinxcomehsweeehtm

38 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Appendix B Warranty

  • Kintex-7 FPGA KC705 Evaluation Kit (Vivado Design Suite 20131)
    • Revision History
    • Table of Contents
    • Getting Started with the Kintex-7 FPGA KC705 Evaluation Kit (Vivado Design Suite 20131)
      • Introduction
      • Kit Contents
      • Basic Hardware Bring-up Using the BIST
        • Hardware Test Setup Requirements
          • Hardware Test Board Setup Requirements
            • Hardware Bring-Up
            • Run the BIST Application
              • AMS Bring-up with the AMS101 Evaluation Card
                • Requirements to Get Started
                • Evaluating AMS
                  • Advanced Bring-up Using the Base Targeted Reference Design
                    • Components
                    • Hardware Test Setup Requirements
                    • TRD Demonstration Setup
                    • Evaluating the Kintex-7 FPGA Base TRD
                        • Additional Resources
                          • Xilinx Resources
                          • Solution Centers
                          • Further Resources
                          • References
                            • Warranty
                                • ltlt ASCII85EncodePages false AllowTransparency false AutoPositionEPSFiles true AutoRotatePages All Binding Left CalGrayProfile (Dot Gain 20) CalRGBProfile (sRGB IEC61966-21) CalCMYKProfile (US Web Coated 050SWOP051 v2) sRGBProfile (sRGB IEC61966-21) CannotEmbedFontPolicy Warning CompatibilityLevel 16 CompressObjects Tags CompressPages true ConvertImagesToIndexed true PassThroughJPEGImages true CreateJobTicket false DefaultRenderingIntent Default DetectBlends true DetectCurves 00000 ColorConversionStrategy LeaveColorUnchanged DoThumbnails false EmbedAllFonts true EmbedOpenType false ParseICCProfilesInComments true EmbedJobOptions true DSCReportingLevel 0 EmitDSCWarnings false EndPage -1 ImageMemory 1048576 LockDistillerParams true MaxSubsetPct 100 Optimize true OPM 1 ParseDSCComments true ParseDSCCommentsForDocInfo true PreserveCopyPage true PreserveDICMYKValues true PreserveEPSInfo true PreserveFlatness true PreserveHalftoneInfo false PreserveOPIComments false PreserveOverprintSettings true StartPage 1 SubsetFonts true TransferFunctionInfo Apply UCRandBGInfo Preserve UsePrologue false ColorSettingsFile () AlwaysEmbed [ true ] NeverEmbed [ true ] AntiAliasColorImages false CropColorImages true ColorImageMinResolution 300 ColorImageMinResolutionPolicy OK DownsampleColorImages false ColorImageDownsampleType Bicubic ColorImageResolution 300 ColorImageDepth -1 ColorImageMinDownsampleDepth 1 ColorImageDownsampleThreshold 150000 EncodeColorImages true ColorImageFilter DCTEncode AutoFilterColorImages true ColorImageAutoFilterStrategy JPEG ColorACSImageDict ltlt QFactor 040 HSamples [1 1 1 1] VSamples [1 1 1 1] gtgt ColorImageDict ltlt QFactor 015 HSamples [1 1 1 1] VSamples [1 1 1 1] gtgt JPEG2000ColorACSImageDict ltlt TileWidth 256 TileHeight 256 Quality 30 gtgt JPEG2000ColorImageDict ltlt TileWidth 256 TileHeight 256 Quality 30 gtgt AntiAliasGrayImages false CropGrayImages true GrayImageMinResolution 300 GrayImageMinResolutionPolicy OK DownsampleGrayImages false GrayImageDownsampleType Bicubic GrayImageResolution 300 GrayImageDepth -1 GrayImageMinDownsampleDepth 2 GrayImageDownsampleThreshold 150000 EncodeGrayImages true GrayImageFilter DCTEncode AutoFilterGrayImages true GrayImageAutoFilterStrategy JPEG GrayACSImageDict ltlt QFactor 040 HSamples [1 1 1 1] VSamples [1 1 1 1] gtgt GrayImageDict ltlt QFactor 015 HSamples [1 1 1 1] VSamples [1 1 1 1] gtgt JPEG2000GrayACSImageDict ltlt TileWidth 256 TileHeight 256 Quality 30 gtgt JPEG2000GrayImageDict ltlt TileWidth 256 TileHeight 256 Quality 30 gtgt AntiAliasMonoImages false CropMonoImages true MonoImageMinResolution 1200 MonoImageMinResolutionPolicy OK DownsampleMonoImages false MonoImageDownsampleType Bicubic MonoImageResolution 1200 MonoImageDepth -1 MonoImageDownsampleThreshold 150000 EncodeMonoImages true MonoImageFilter CCITTFaxEncode MonoImageDict ltlt K -1 gtgt AllowPSXObjects false CheckCompliance [ None ] PDFX1aCheck false PDFX3Check false PDFXCompliantPDFOnly false PDFXNoTrimBoxError true PDFXTrimBoxToMediaBoxOffset [ 000000 000000 000000 000000 ] PDFXSetBleedBoxToMediaBox true PDFXBleedBoxToTrimBoxOffset [ 000000 000000 000000 000000 ] PDFXOutputIntentProfile (None) PDFXOutputConditionIdentifier () PDFXOutputCondition () PDFXRegistryName () PDFXTrapped False CreateJDFFile false Description ltlt ARA ltFEFF06270633062A062E062F0645002006470630064700200627064406250639062F0627062F0627062A002006440625064606340627062100200648062B062706260642002000410064006F00620065002000500044004600200645062A0648062706410642062900200644064406370628062706390629002006300627062A002006270644062C0648062F0629002006270644063906270644064A06290020064506460020062E06440627064400200627064406370627062806390627062A00200627064406450643062A0628064A062900200623064800200623062C06470632062900200625062C06310627062100200627064406280631064806410627062A061B0020064A06450643064600200641062A062D00200648062B0627062606420020005000440046002006270644064506460634062306290020062806270633062A062E062F062706450020004100630072006F0062006100740020064800410064006F006200650020005200650061006400650072002006250635062F0627063100200035002E0030002006480627064406250635062F062706310627062A0020062706440623062D062F062B002E0020064506390020005000440046002F0041060C0020062706440631062C062706210020064506310627062C063906290020062F0644064A0644002006450633062A062E062F06450020004100630072006F006200610074061B0020064A06450643064600200641062A062D00200648062B0627062606420020005000440046002006270644064506460634062306290020062806270633062A062E062F062706450020004100630072006F0062006100740020064800410064006F006200650020005200650061006400650072002006250635062F0627063100200035002E0030002006480627064406250635062F062706310627062A0020062706440623062D062F062B002Egt BGR ltFEFF04180437043f043e043b043704320430043904420435002004420435043704380020043d0430044104420440043e0439043a0438002c00200437043000200434043000200441044a0437043404300432043004420435002000410064006f00620065002000500044004600200434043e043a0443043c0435043d044204380020043704300020043a0430044704350441044204320435043d0020043f04350447043004420020043d04300020043d043004410442043e043b043d04380020043f04400438043d04420435044004380020043800200443044104420440043e043904410442043204300020043704300020043f04350447043004420020043d04300020043f0440043e0431043d04380020044004300437043f0435044704300442043a0438002e002000200421044a04370434043004340435043d043804420435002000500044004600200434043e043a0443043c0435043d044204380020043c043e0433043004420020043404300020044104350020043e0442043204300440044f0442002004410020004100630072006f00620061007400200438002000410064006f00620065002000520065006100640065007200200035002e00300020043800200441043b0435043404320430044904380020043204350440044104380438002egt CHS ltFEFF4f7f75288fd94e9b8bbe5b9a521b5efa7684002000500044004600206587686353ef901a8fc7684c976262535370673a548c002000700072006f006f00660065007200208fdb884c9ad88d2891cf62535370300260a853ef4ee54f7f75280020004100630072006f0062006100740020548c002000410064006f00620065002000520065006100640065007200200035002e003000204ee553ca66f49ad87248672c676562535f00521b5efa768400200050004400460020658768633002gt CHT ltFEFF4f7f752890194e9b8a2d7f6e5efa7acb7684002000410064006f006200650020005000440046002065874ef653ef5728684c9762537088686a5f548c002000700072006f006f00660065007200204e0a73725f979ad854c18cea7684521753706548679c300260a853ef4ee54f7f75280020004100630072006f0062006100740020548c002000410064006f00620065002000520065006100640065007200200035002e003000204ee553ca66f49ad87248672c4f86958b555f5df25efa7acb76840020005000440046002065874ef63002gt CZE ltFEFF005400610074006f0020006e006100730074006100760065006e00ed00200070006f0075017e0069006a007400650020006b0020007600790074007600e101590065006e00ed00200064006f006b0075006d0065006e0074016f002000410064006f006200650020005000440046002000700072006f0020006b00760061006c00690074006e00ed0020007400690073006b0020006e0061002000730074006f006c006e00ed006300680020007400690073006b00e10072006e00e100630068002000610020006e00e1007400690073006b006f007600fd006300680020007a0061015900ed007a0065006e00ed00630068002e002000200056007900740076006f01590065006e00e900200064006f006b0075006d0065006e007400790020005000440046002000620075006400650020006d006f017e006e00e90020006f007400650076015900ed007400200076002000700072006f006700720061006d0065006300680020004100630072006f00620061007400200061002000410064006f00620065002000520065006100640065007200200035002e0030002000610020006e006f0076011b006a016100ed00630068002egt DAN ltFEFF004200720075006700200069006e0064007300740069006c006c0069006e006700650072006e0065002000740069006c0020006100740020006f007000720065007400740065002000410064006f006200650020005000440046002d0064006f006b0075006d0065006e007400650072002000740069006c0020006b00760061006c00690074006500740073007500640073006b007200690076006e0069006e006700200065006c006c006500720020006b006f007200720065006b007400750072006c00e60073006e0069006e0067002e0020004400650020006f007000720065007400740065006400650020005000440046002d0064006f006b0075006d0065006e0074006500720020006b0061006e002000e50062006e00650073002000690020004100630072006f00620061007400200065006c006c006500720020004100630072006f006200610074002000520065006100640065007200200035002e00300020006f00670020006e0079006500720065002egt DEU ltFEFF00560065007200770065006e00640065006e0020005300690065002000640069006500730065002000450069006e007300740065006c006c0075006e00670065006e0020007a0075006d002000450072007300740065006c006c0065006e00200076006f006e002000410064006f006200650020005000440046002d0044006f006b0075006d0065006e00740065006e002c00200076006f006e002000640065006e0065006e002000530069006500200068006f00630068007700650072007400690067006500200044007200750063006b006500200061007500660020004400650073006b0074006f0070002d0044007200750063006b00650072006e00200075006e0064002000500072006f006f0066002d00470065007200e400740065006e002000650072007a0065007500670065006e0020006d00f60063006800740065006e002e002000450072007300740065006c006c007400650020005000440046002d0044006f006b0075006d0065006e007400650020006b00f6006e006e0065006e0020006d006900740020004100630072006f00620061007400200075006e0064002000410064006f00620065002000520065006100640065007200200035002e00300020006f0064006500720020006800f600680065007200200067006500f600660066006e00650074002000770065007200640065006e002egt ESP ltFEFF005500740069006c0069006300650020006500730074006100200063006f006e0066006900670075007200610063006900f3006e0020007000610072006100200063007200650061007200200064006f00630075006d0065006e0074006f0073002000640065002000410064006f0062006500200050004400460020007000610072006100200063006f006e00730065006700750069007200200069006d0070007200650073006900f3006e002000640065002000630061006c006900640061006400200065006e00200069006d0070007200650073006f0072006100730020006400650020006500730063007200690074006f00720069006f00200079002000680065007200720061006d00690065006e00740061007300200064006500200063006f00720072006500630063006900f3006e002e002000530065002000700075006500640065006e00200061006200720069007200200064006f00630075006d0065006e0074006f00730020005000440046002000630072006500610064006f007300200063006f006e0020004100630072006f006200610074002c002000410064006f00620065002000520065006100640065007200200035002e003000200079002000760065007200730069006f006e0065007300200070006f00730074006500720069006f007200650073002egt ETI ltFEFF004b00610073007500740061006700650020006e0065006900640020007300e4007400740065006900640020006c006100750061002d0020006a00610020006b006f006e00740072006f006c006c007400f5006d006d006900730065007000720069006e0074006500720069007400650020006a0061006f006b00730020006b00760061006c006900740065006500740073006500740065002000410064006f006200650020005000440046002d0064006f006b0075006d0065006e00740069006400650020006c006f006f006d006900730065006b0073002e002e00200020004c006f006f0064007500640020005000440046002d0064006f006b0075006d0065006e00740065002000730061006100740065002000610076006100640061002000700072006f006700720061006d006d006900640065006700610020004100630072006f0062006100740020006e0069006e0067002000410064006f00620065002000520065006100640065007200200035002e00300020006a00610020007500750065006d006100740065002000760065007200730069006f006f006e00690064006500670061002e000d000agt FRA ltFEFF005500740069006c006900730065007a00200063006500730020006f007000740069006f006e00730020006100660069006e00200064006500200063007200e900650072002000640065007300200064006f00630075006d0065006e00740073002000410064006f00620065002000500044004600200070006f007500720020006400650073002000e90070007200650075007600650073002000650074002000640065007300200069006d007000720065007300730069006f006e00730020006400650020006800610075007400650020007100750061006c0069007400e90020007300750072002000640065007300200069006d007000720069006d0061006e0074006500730020006400650020006200750072006500610075002e0020004c0065007300200064006f00630075006d0065006e00740073002000500044004600200063007200e900e90073002000700065007500760065006e0074002000ea0074007200650020006f007500760065007200740073002000640061006e00730020004100630072006f006200610074002c002000610069006e00730069002000710075002700410064006f00620065002000520065006100640065007200200035002e0030002000650074002000760065007200730069006f006e007300200075006c007400e90072006900650075007200650073002egt GRE ltFEFF03a703c103b703c303b903bc03bf03c003bf03b903ae03c303c403b5002003b103c503c403ad03c2002003c403b903c2002003c103c503b803bc03af03c303b503b903c2002003b303b903b1002003bd03b1002003b403b703bc03b903bf03c503c103b303ae03c303b503c403b5002003ad03b303b303c103b103c603b1002000410064006f006200650020005000440046002003b303b903b1002003b503ba03c403cd03c003c903c303b7002003c003bf03b903cc03c403b703c403b103c2002003c303b5002003b503ba03c403c503c003c903c403ad03c2002003b303c103b103c603b503af03bf03c5002003ba03b103b9002003b403bf03ba03b903bc03b103c303c403ad03c2002e0020002003a403b10020005000440046002003ad03b303b303c103b103c603b1002003c003bf03c5002003ad03c703b503c403b5002003b403b703bc03b903bf03c503c103b303ae03c303b503b9002003bc03c003bf03c103bf03cd03bd002003bd03b1002003b103bd03bf03b903c703c403bf03cd03bd002003bc03b5002003c403bf0020004100630072006f006200610074002c002003c403bf002000410064006f006200650020005200650061006400650072002000200035002e0030002003ba03b103b9002003bc03b503c403b103b303b503bd03ad03c303c403b503c103b503c2002003b503ba03b403cc03c303b503b903c2002egt HEB ltFEFF05D405E905EA05DE05E905D5002005D105D405D205D305E805D505EA002005D005DC05D4002005DB05D305D9002005DC05D905E605D505E8002005DE05E105DE05DB05D9002000410064006F006200650020005000440046002005E205D105D505E8002005D405D305E405E105D4002005D005D905DB05D505EA05D905EA002005D105DE05D305E405E105D505EA002005E905D505DC05D705E005D905D505EA002005D505DB05DC05D9002005D405D205D405D4002E002005DE05E105DE05DB05D9002005D4002D005000440046002005E905E005D505E605E805D905DD002005E005D905EA05E005D905DD002005DC05E405EA05D905D705D4002005D105D005DE05E605E205D505EA0020004100630072006F006200610074002005D5002D00410064006F00620065002000520065006100640065007200200035002E0030002005D505D205E805E105D005D505EA002005DE05EA05E705D305DE05D505EA002005D905D505EA05E8002Egt HRV ltFEFF005a00610020007300740076006100720061006e006a0065002000410064006f00620065002000500044004600200064006f006b0075006d0065006e0061007400610020007a00610020006b00760061006c00690074006500740061006e0020006900730070006900730020006e006100200070006900730061010d0069006d006100200069006c0069002000700072006f006f006600650072002000750072006501110061006a0069006d0061002e00200020005300740076006f00720065006e0069002000500044004600200064006f006b0075006d0065006e007400690020006d006f006700750020007300650020006f00740076006f00720069007400690020004100630072006f00620061007400200069002000410064006f00620065002000520065006100640065007200200035002e0030002000690020006b00610073006e0069006a0069006d0020007600650072007a0069006a0061006d0061002egt HUN ltFEFF004d0069006e0151007300e9006700690020006e0079006f006d00610074006f006b0020006b00e90073007a00ed007400e9007300e900680065007a002000610073007a00740061006c00690020006e0079006f006d00740061007400f3006b006f006e002000e9007300200070007200f300620061006e0079006f006d00f3006b006f006e00200065007a0065006b006b0065006c0020006100200062006500e1006c006c00ed007400e10073006f006b006b0061006c002c00200068006f007a007a006f006e0020006c00e9007400720065002000410064006f00620065002000500044004600200064006f006b0075006d0065006e00740075006d006f006b00610074002e0020002000410020006c00e90074007200650068006f007a006f00740074002000500044004600200064006f006b0075006d0065006e00740075006d006f006b00200061007a0020004100630072006f006200610074002c00200061007a002000410064006f00620065002000520065006100640065007200200035002e0030002000e9007300200061007a002000610074007400f3006c0020006b00e9007301510062006200690020007600650072007a006900f3006b006b0061006c00200020006e00790069007400680061007400f3006b0020006d00650067002egt ITA ltFEFF005500740069006c0069007a007a006100720065002000710075006500730074006500200069006d0070006f007300740061007a0069006f006e00690020007000650072002000630072006500610072006500200064006f00630075006d0065006e00740069002000410064006f006200650020005000440046002000700065007200200075006e00610020007300740061006d007000610020006400690020007100750061006c0069007400e00020007300750020007300740061006d00700061006e0074006900200065002000700072006f006f0066006500720020006400650073006b0074006f0070002e0020004900200064006f00630075006d0065006e007400690020005000440046002000630072006500610074006900200070006f00730073006f006e006f0020006500730073006500720065002000610070006500720074006900200063006f006e0020004100630072006f00620061007400200065002000410064006f00620065002000520065006100640065007200200035002e003000200065002000760065007200730069006f006e006900200073007500630063006500730073006900760065002egt JPN ltFEFF9ad854c18cea51fa529b7528002000410064006f0062006500200050004400460020658766f8306e4f5c6210306b4f7f75283057307e30593002537052376642306e753b8cea3092670059279650306b4fdd306430533068304c3067304d307e3059300230c730b930af30c830c330d730d730ea30f330bf3067306e53705237307e305f306f30d730eb30fc30d57528306b9069305730663044307e305930023053306e8a2d5b9a30674f5c62103055308c305f0020005000440046002030d530a130a430eb306f3001004100630072006f0062006100740020304a30883073002000410064006f00620065002000520065006100640065007200200035002e003000204ee5964d3067958b304f30533068304c3067304d307e30593002gt KOR ltFEFFc7740020c124c815c7440020c0acc6a9d558c5ec0020b370c2a4d06cd0d10020d504b9b0d1300020bc0f0020ad50c815ae30c5d0c11c0020ace0d488c9c8b85c0020c778c1c4d560002000410064006f0062006500200050004400460020bb38c11cb97c0020c791c131d569b2c8b2e4002e0020c774b807ac8c0020c791c131b41c00200050004400460020bb38c11cb2940020004100630072006f0062006100740020bc0f002000410064006f00620065002000520065006100640065007200200035002e00300020c774c0c1c5d0c11c0020c5f40020c2180020c788c2b5b2c8b2e4002egt LTH ltFEFF004e006100750064006f006b0069007400650020016100690075006f007300200070006100720061006d006500740072007500730020006e006f0072011700640061006d00690020006b0075007200740069002000410064006f00620065002000500044004600200064006f006b0075006d0065006e007400750073002c0020006b007500720069006500200073006b00690072007400690020006b006f006b0079006200690161006b0061006900200073007000610075007300640069006e007400690020007300740061006c0069006e0069006100690073002000690072002000620061006e00640079006d006f00200073007000610075007300640069006e007400750076006100690073002e0020002000530075006b0075007200740069002000500044004600200064006f006b0075006d0065006e007400610069002000670061006c006900200062016b007400690020006100740069006400610072006f006d00690020004100630072006f006200610074002000690072002000410064006f00620065002000520065006100640065007200200035002e0030002000610072002000760117006c00650073006e0117006d00690073002000760065007200730069006a006f006d00690073002egt LVI ltFEFF0049007a006d0061006e0074006f006a00690065007400200161006f00730020006900650073007400610074012b006a0075006d00750073002c0020006c0061006900200069007a0076006500690064006f00740075002000410064006f00620065002000500044004600200064006f006b0075006d0065006e0074007500730020006b00760061006c0069007400610074012b0076006100690020006400720075006b010101610061006e00610069002000610072002000670061006c006400610020007000720069006e00740065007200690065006d00200075006e0020007000610072006100750067006e006f00760069006c006b0075006d0075002000690065007300700069006500640113006a00690065006d002e00200049007a0076006500690064006f006a006900650074002000500044004600200064006f006b0075006d0065006e007400750073002c0020006b006f002000760061007200200061007400760113007200740020006100720020004100630072006f00620061007400200075006e002000410064006f00620065002000520065006100640065007200200035002e0030002c0020006b0101002000610072012b00200074006f0020006a00610075006e0101006b0101006d002000760065007200730069006a0101006d002egt NLD (Gebruik deze instellingen om Adobe PDF-documenten te maken voor kwaliteitsafdrukken op desktopprinters en proofers De gemaakte PDF-documenten kunnen worden geopend met Acrobat en Adobe Reader 50 en hoger) NOR ltFEFF004200720075006b00200064006900730073006500200069006e006e007300740069006c006c0069006e00670065006e0065002000740069006c002000e50020006f0070007000720065007400740065002000410064006f006200650020005000440046002d0064006f006b0075006d0065006e00740065007200200066006f00720020007500740073006b00720069006600740020006100760020006800f800790020006b00760061006c00690074006500740020007000e500200062006f007200640073006b0072006900760065007200200065006c006c00650072002000700072006f006f006600650072002e0020005000440046002d0064006f006b0075006d0065006e00740065006e00650020006b0061006e002000e50070006e00650073002000690020004100630072006f00620061007400200065006c006c00650072002000410064006f00620065002000520065006100640065007200200035002e003000200065006c006c00650072002000730065006e006500720065002egt POL ltFEFF0055007300740061007700690065006e0069006100200064006f002000740077006f0072007a0065006e0069006100200064006f006b0075006d0065006e007400f3007700200050004400460020007a002000770079017c0073007a010500200072006f007a0064007a00690065006c0063007a006f015b0063006901050020006f006200720061007a006b00f30077002c0020007a0061007000650077006e00690061006a0105006301050020006c006500700073007a01050020006a0061006b006f015b0107002000770079006400720075006b00f30077002e00200044006f006b0075006d0065006e0074007900200050004400460020006d006f017c006e00610020006f007400770069006500720061010700200077002000700072006f006700720061006d006900650020004100630072006f00620061007400200069002000410064006f00620065002000520065006100640065007200200035002e0030002000690020006e006f00770073007a0079006d002egt PTB ltFEFF005500740069006c0069007a006500200065007300730061007300200063006f006e00660069006700750072006100e700f50065007300200064006500200066006f0072006d00610020006100200063007200690061007200200064006f00630075006d0065006e0074006f0073002000410064006f0062006500200050004400460020007000610072006100200069006d0070007200650073007300f5006500730020006400650020007100750061006c0069006400610064006500200065006d00200069006d00700072006500730073006f0072006100730020006400650073006b0074006f00700020006500200064006900730070006f00730069007400690076006f0073002000640065002000700072006f00760061002e0020004f007300200064006f00630075006d0065006e0074006f00730020005000440046002000630072006900610064006f007300200070006f00640065006d0020007300650072002000610062006500720074006f007300200063006f006d0020006f0020004100630072006f006200610074002000650020006f002000410064006f00620065002000520065006100640065007200200035002e0030002000650020007600650072007300f50065007300200070006f00730074006500720069006f007200650073002egt RUM ltFEFF005500740069006c0069007a00610163006900200061006300650073007400650020007300650074010300720069002000700065006e007400720075002000610020006300720065006100200064006f00630075006d0065006e00740065002000410064006f006200650020005000440046002000700065006e007400720075002000740069007001030072006900720065002000640065002000630061006c006900740061007400650020006c006100200069006d007000720069006d0061006e007400650020006400650073006b0074006f00700020015f0069002000700065006e0074007200750020007600650072006900660069006300610074006f00720069002e002000200044006f00630075006d0065006e00740065006c00650020005000440046002000630072006500610074006500200070006f00740020006600690020006400650073006300680069007300650020006300750020004100630072006f006200610074002c002000410064006f00620065002000520065006100640065007200200035002e00300020015f00690020007600650072007300690075006e0069006c006500200075006c0074006500720069006f006100720065002egt RUS ltFEFF04180441043f043e043b044c04370443043904420435002004340430043d043d044b04350020043d0430044104420440043e0439043a043800200434043b044f00200441043e043704340430043d0438044f00200434043e043a0443043c0435043d0442043e0432002000410064006f006200650020005000440046002c0020043f044004350434043d04300437043d043004470435043d043d044b044500200434043b044f0020043a0430044704350441044204320435043d043d043e04390020043f043504470430044204380020043d04300020043d043004410442043e043b044c043d044b04450020043f04400438043d044204350440043004450020043800200443044104420440043e04390441044204320430044500200434043b044f0020043f043e043b044304470435043d0438044f0020043f0440043e0431043d044b04450020043e0442044204380441043a043e0432002e002000200421043e043704340430043d043d044b04350020005000440046002d0434043e043a0443043c0435043d0442044b0020043c043e0436043d043e00200020043e0442043a0440044b043204300442044c002004410020043f043e043c043e0449044c044e0020004100630072006f00620061007400200438002000410064006f00620065002000520065006100640065007200200035002e00300020043800200431043e043b043504350020043f043e04370434043d043804450020043204350440044104380439002egt SKY ltFEFF0054006900650074006f0020006e006100730074006100760065006e0069006100200070006f0075017e0069007400650020006e00610020007600790074007600e100720061006e0069006500200064006f006b0075006d0065006e0074006f0076002000410064006f00620065002000500044004600200070007200650020006b00760061006c00690074006e00fa00200074006c0061010d0020006e0061002000730074006f006c006e00fd0063006800200074006c0061010d00690061007201480061006300680020006100200074006c0061010d006f007600fd006300680020007a006100720069006100640065006e0069006100630068002e00200056007900740076006f00720065006e00e900200064006f006b0075006d0065006e007400790020005000440046002000620075006400650020006d006f017e006e00e90020006f00740076006f00720069016500200076002000700072006f006700720061006d006f006300680020004100630072006f00620061007400200061002000410064006f00620065002000520065006100640065007200200035002e0030002000610020006e006f0076016100ed00630068002e000d000agt SLV ltFEFF005400650020006e006100730074006100760069007400760065002000750070006f0072006100620069007400650020007a00610020007500730074007600610072006a0061006e006a006500200064006f006b0075006d0065006e0074006f0076002000410064006f0062006500200050004400460020007a00610020006b0061006b006f0076006f00730074006e006f0020007400690073006b0061006e006a00650020006e00610020006e0061006d0069007a006e006900680020007400690073006b0061006c006e0069006b0069006800200069006e0020007000720065007600650072006a0061006c006e0069006b00690068002e00200020005500730074007600610072006a0065006e006500200064006f006b0075006d0065006e0074006500200050004400460020006a00650020006d006f0067006f010d00650020006f0064007000720065007400690020007a0020004100630072006f00620061007400200069006e002000410064006f00620065002000520065006100640065007200200035002e003000200069006e0020006e006f00760065006a01610069006d002egt SUO ltFEFF004b00e40079007400e40020006e00e40069007400e4002000610073006500740075006b007300690061002c0020006b0075006e0020006c0075006f0074002000410064006f0062006500200050004400460020002d0064006f006b0075006d0065006e007400740065006a00610020006c0061006100640075006b006100730074006100200074007900f6007000f60079007400e400740075006c006f0073007400750073007400610020006a00610020007600650064006f007300740075007300740061002000760061007200740065006e002e00200020004c0075006f0064007500740020005000440046002d0064006f006b0075006d0065006e00740069007400200076006f0069006400610061006e0020006100760061007400610020004100630072006f0062006100740069006c006c00610020006a0061002000410064006f00620065002000520065006100640065007200200035002e0030003a006c006c00610020006a006100200075007500640065006d006d0069006c006c0061002egt SVE ltFEFF0041006e007600e4006e00640020006400650020006800e4007200200069006e0073007400e4006c006c006e0069006e006700610072006e00610020006f006d002000640075002000760069006c006c00200073006b006100700061002000410064006f006200650020005000440046002d0064006f006b0075006d0065006e00740020006600f600720020006b00760061006c00690074006500740073007500740073006b0072006900660074006500720020007000e5002000760061006e006c00690067006100200073006b0072006900760061007200650020006f006300680020006600f600720020006b006f007200720065006b007400750072002e002000200053006b006100700061006400650020005000440046002d0064006f006b0075006d0065006e00740020006b0061006e002000f600700070006e00610073002000690020004100630072006f0062006100740020006f00630068002000410064006f00620065002000520065006100640065007200200035002e00300020006f00630068002000730065006e006100720065002egt TUR ltFEFF004d00610073006100fc0073007400fc002000790061007a013100630131006c006100720020007600650020006200610073006b01310020006d0061006b0069006e0065006c006500720069006e006400650020006b0061006c006900740065006c00690020006200610073006b013100200061006d0061006301310079006c0061002000410064006f006200650020005000440046002000620065006c00670065006c0065007200690020006f006c0075015f007400750072006d0061006b0020006900e70069006e00200062007500200061007900610072006c0061007201310020006b0075006c006c0061006e0131006e002e00200020004f006c0075015f0074007500720075006c0061006e0020005000440046002000620065006c00670065006c0065007200690020004100630072006f006200610074002000760065002000410064006f00620065002000520065006100640065007200200035002e003000200076006500200073006f006e0072006100730131006e00640061006b00690020007300fc007200fc006d006c00650072006c00650020006100e70131006c006100620069006c00690072002egt UKR ltFEFF04120438043a043e0440043804410442043e043204430439044204350020044604560020043f043004400430043c043504420440043800200434043b044f0020044104420432043e04400435043d043d044f00200434043e043a0443043c0435043d044204560432002000410064006f006200650020005000440046002c0020044f043a04560020043d04300439043a04400430044904350020043f045604340445043e0434044f0442044c00200434043b044f0020043204380441043e043a043e044f043a04560441043d043e0433043e0020043404400443043a04430020043d04300020043d0430044104420456043b044c043d043804450020043f04400438043d044204350440043004450020044204300020043f04400438044104420440043e044f044500200434043b044f0020043e044204400438043c0430043d043d044f0020043f0440043e0431043d0438044500200437043e04310440043004360435043d044c002e00200020042104420432043e04400435043d045600200434043e043a0443043c0435043d0442043800200050004400460020043c043e0436043d04300020043204560434043a0440043804420438002004430020004100630072006f006200610074002004420430002000410064006f00620065002000520065006100640065007200200035002e0030002004300431043e0020043f04560437043d04560448043e04570020043204350440044104560457002egt ENU ltFEFF005500730065002000740068006500730065002000730065007400740069006e0067007300200074006f0020006300720065006100740065002000410064006f0062006500200050004400460020006200610073006500640020006f006e0020007400680065002000640065006600610075006c0074002000580069006c0069006e007800200070006100720061006d0065007400650072002000730065007400740069006e0067007300200064006500660069006e00650064002000620079002000500053003000300034002e002000460069006c0065006e0061006d0065003a002000780069006c0069006e0078002e006a006f0062006f007000740069006f006e0073002e000d0043006f006d007000610074006100620069006c006900740079003a0020004100630072006f00620061007400200037002e0030002000280050004400460031002e00360029002e0020005500730065003a00200046006f00720020006300720065006100740069006e0067002000460069006e0061006c002c0020005000750062006c0069007300680065006400200050004400460073002e000d0043007200650061007400650064002000310030002f00310032002f003100310020006200790020006a0066006f007200730074002egt gtgt Namespace [ (Adobe) (Common) (10) ] OtherNamespaces [ ltlt AsReaderSpreads false CropImagesToFrames true ErrorControl WarnAndContinue FlattenerIgnoreSpreadOverrides false IncludeGuidesGrids false IncludeNonPrinting false IncludeSlug false Namespace [ (Adobe) (InDesign) (40) ] OmitPlacedBitmaps false OmitPlacedEPS false OmitPlacedPDF false SimulateOverprint Legacy gtgt ltlt AddBleedMarks false AddColorBars false AddCropMarks false AddPageInfo false AddRegMarks false ConvertColors NoConversion DestinationProfileName () DestinationProfileSelector NA Downsample16BitImages true FlattenerPreset ltlt PresetSelector MediumResolution gtgt FormElements false GenerateStructure true IncludeBookmarks false IncludeHyperlinks false IncludeInteractive false IncludeLayers false IncludeProfiles true MultimediaHandling UseObjectSettings Namespace [ (Adobe) (CreativeSuite) (20) ] PDFXOutputIntentProfileSelector NA PreserveEditing true UntaggedCMYKHandling LeaveUntagged UntaggedRGBHandling LeaveUntagged UseDocumentBleed false gtgt ]gtgt setdistillerparamsltlt HWResolution [1200 1200] PageSize [612000 792000]gtgt setpagedevice

Page 10: Xilinx UG883 Kintex-7 FPGA KC705 Evaluation Kit Getting ...€¦ · Updated step 2 and step 3 in Requirements to Get Started, page 14. ... KC705 Getting Started Guide 9 UG883 (v3.0)

10 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Hardware Test Board Setup Requirements

KC705 Evaluation Board Setup

1 Set the jumpers and switches on the KC705 board as follows

bull The mode switches (SW13) are set for Master BPI mode 010

bull The upper flash address switches (SW13) are set to 11

2 Verify the switch and jumper settings are set as shown in Table 1 and Figure 2

Note For this application the board should be set up as a stand-alone system with power coming from the 12V power adapter included with the KC705 evaluation kit

Table 1 Switch amp Jumper Settings

Switch Setting

SW15Board Power slide-switch

Off

SW11

User GPIO DIP switch

4 Off

3 Off

2 Off

1 Off

SW13

Configuration Mode switch

5 Off

4 On

3 Off

2 On

1 On

X-Ref Target - Figure 2

Figure 2 BIST Switch and Jumper Settings

UG883_02_040913

KC705 Getting Started Guide wwwxilinxcom 11UG883 (v30) April 16 2013

Hardware Test Board Setup Requirements

Hardware Bring-UpThis section details the steps for hardware bring-up

1 With the board switched off plug a USB-to-Mini-B cable into the UART port of the KC705 board and your PC (see Figure 3)

2 Install the power cable

3 Switch the KC705 board power to ON

Install the UART Driver

1 Run the downloaded executable UART-USB driver file listed in Hardware Test Setup Requirements page 9 This enables UART-USB communications with a host PC (see Figure 4)

X-Ref Target - Figure 3

Figure 3 KC705 with the UART and Power Cable Attached

UG883_03_011912

X-Ref Target - Figure 4

Figure 4 UART Cable Driver Installation

UG883_04_011512

12 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Hardware Test Board Setup Requirements

2 Set the USB-UART connection to a known port in the Device Manager as follows

bull Right-click My Computer and select Properties

bull Select the Hardware tab then click the Device Manager button

bull Find and right-click the Silicon Labs device in the list Then select Properties

bull Click the Port Settings tab and the Advancedhellip button

bull Select an open COM port between COM1 and COM4

Figure 5 shows the steps needed to set the USB-UART port

Note Steps and diagrams refer to use with a Windows host PC with the Windows XP or Windows 7 operating systemX-Ref Target - Figure 5

Figure 5 Port Selection on the Device Manager Screen

UG883_05_040913

KC705 Getting Started Guide wwwxilinxcom 13UG883 (v30) April 16 2013

AMS Bring-up with the AMS101 Evaluation Card

Run the BIST Application1 Start the installed terminal program

2 Press PROG (SW14) on the KC705 board and view the BIST output on the terminal window (see Figure 6)

3 Select the relevant tests to run and observe the results

For more information on the BIST software and additional tutorials including how to restore the default content of the onboard nonvolatile storage see the KC705 support website at httpwwwxilinxcomkc705 under the Docs amp Designs tab

AMS Bring-up with the AMS101 Evaluation CardThe Xilinxreg 7 series FPGAs each feature a 1 MSPS 12-bit analog-to-digital converter built into the FPGA for everything from simple analog monitoring to more signal processing intensive tasks like linearization calibration oversampling and filtering The Kintex-7 FPGA KC705 evaluation kit includes the hardware and software to evaluate this feature and determine its usefulness in the userrsquos end system

For evaluation of Xilinx Analog Mixed Signal (AMS) capability the following items from your kit are needed

bull Access to XADC header in your FPGA base board

bull AMS101 evaluation card (Figure 7)

bull FPGA design and software files downloaded from the Docs amp Designs tab at wwwxilinxcomkc705

bull USBUART drivers from Silicon Labs

bull AMS Evaluator tool

X-Ref Target - Figure 6

Figure 6 BIST Main Menu

UG883_06_040913

14 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

AMS Bring-up with the AMS101 Evaluation Card

Requirements to Get Started1 Open the USBUART as described in Install the UART Driver page 11

2 The AMS101 evaluation card requires a Windows host PC to install the AMS Evaluator tool which uses National Instruments LabView run-time engine Install the AMS Evaluator tool by unzipping the AMS Evaluator installer fileshttpssecurexilinxcomwebregclickthroughdocid=199651amplicense=RefDesLicenseampfilename=ams101_gui_installer_v1_1zip located in the Targeted Reference Designs section in the Docs amp Designs tab at wwwxilinxcomkc705 After opening the zip file folder click the setupexe file to begin the installation When loading the National Instruments LabView runtime engine Click OK to accept the license agreement Running the setup program loads the AMS101 Evaluator tool with the red Xilinx logo on the desktop

3 Download the AMS Targeted Reference Design files from the Docs amp Designs tab Kintex-7 FPGA KC705 Evaluation Kit - (7 Series FPGA AMS Targeted Reference Design User Guide (Vivado Design Suite 20124 and ISE Design Suite 144)) section at wwwxilinxcomkc705 Unzip the xadc_eval_design_kc705_V1_0zip files Open the ready_to_test folder Save the xadc_eval_designbit bitstream

X-Ref Target - Figure 7

Figure 7 AMS101 Evaluation Card

UG883_07_121112

KC705 Getting Started Guide wwwxilinxcom 15UG883 (v30) April 16 2013

AMS Bring-up with the AMS101 Evaluation Card

Evaluating AMS1 Connect and power the hardware

a Plug the AMS101 evaluation card into the XADC header on your FPGA base board

Note Ensure the notch on the XADC header lines up correctly with the AMS101 evaluation card (see Figure 8)

b Power up the FPGA base board

2 Download the design to the FPGA Installation of ISE Programming tools or Lab Tools is required for downloading the bitstream to the FPGA

Refer to the Vivado Design Suite User Guide Programming and Debugging (UG908) at httpwwwxilinxcomsupportdocumentationdt_vivadohtm to obtain information on downloading the design to the FPGA

a Open the xadc_eval_designbit file from the AMS Targeted Reference Design files

3 Run the AMS101 Evaluator LabVIEW GUI executable from your desktop After loading the AMS Evaluator installer files a red X with the AMS Evaluator program should reside on your desktop

Note The AMS bitstream can also be loaded with ChipScope analyzer

The AMS101 Evaluator GUI is shown in Figure 9

X-Ref Target - Figure 8

Figure 8 KC705 Base Board with AMS101 Evaluation Card Plugged into XADC Header

UG883_08_121112

16 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

AMS Bring-up with the AMS101 Evaluation Card

The AMS Evaluator Tool allows designers to quickly evaluate the analog signals in the time domain frequency domain display linearity verify the XADC register settings and measure the internal temperature sensor and supply voltages

For a more extensive explanation of the AMS101 evaluation card and the applicable files refer to AMS101 Evaluation Platform User Guide (UG886)

X-Ref Target - Figure 9

Figure 9 AMS101 Evaluator GUI

UG883_09_121112

Select COM Port Here

KC705 Getting Started Guide wwwxilinxcom 17UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

Advanced Bring-up Using the Base Targeted Reference DesignThe primary components of the Kintex-7 FPGA Base TRD are

bull Integrated Endpoint block for PCI Express (PCIe) See 7 Series FPGAs Integrated Block for PCI Express User Guide (UG477)

bull Northwest Logic Packet DMA [Ref 5]

bull Multiport Virtual FIFO

The TRD system can sustain up to 10 Gbs throughput end to end

Figure 10 provides an overview of the TRD

Note In Figure 10 the arrows indicate AXI interface directions (from master to slave) They do not indicate data flow directions

ComponentsThe Kintex-7 FPGA Base TRD features these components

bull Kintex-7 FPGA integrated Endpoint block for PCI Express

bull Configured with 4 lanes at a 5 Gbs link rate (Gen2) or 8 lanes at a 25 Gbs link rate (Gen1) for PCI Express v20

bull Provides a user interface compliant with AXI4-Stream interface protocol

bull Performance monitor tracks the integrated blockrsquos AXI4-Stream interface for PCIe transactions

bull Bus Mastering Scatter-Gather Packet DMA from Northwest Logic a multichannel DMA

bull Supports full-duplex operation with independent transmit and receive paths

X-Ref Target - Figure 10

Figure 10 Kintex-7 FPGA Base TRD Block Diagram

UG883_10_121112

Multiport Virtual FIFO

DD

R3

IO

Software

Multi-ChannelDMA for PCIe DDR3

Cha

nnel

-0C

2SS

2CC

hann

el-1

S2C

C2S

64 x1600 Mbs

PC

Ie x

4Gen

2 Li

nk

PC

Ie x

8Gen

1 Li

nk

VFIFOController

SoftwareDriver

Interface Blocks in FPGA Third Party IPXilinx IP On BoardCustom Logic

AXI-ST AXI-MM

Hardware

VFIFOController

VFIFOController

VFIFOController

Raw Packet Data Block

Checker

Generator

Loop

back

Loop

back

Raw Packet Data Block

Generator

Checker

User SpaceRegisters

Target InterfaceAXI Master

256 x200 MHz

64 x

250

MH

z

64 x250 MHz

64 x250 MHz

64 x250 MHz

64 x250 MHz

PerformanceMonitor

GU

I

GT

X T

rans

ceiv

er

Inte

grat

ed E

ndpo

int B

lock

for

PC

I Exp

ress

AX

I-S

T B

asic

Wra

pper

AXIMIG

AXIInterconnect

SI SI

SI SIM

I

18 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

bull Provides an AXI4-Stream interface on the back end

bull Monitors the performance of data transfers in receive and transmit directions

bull Provides an AXI4 memory-mapped target interface to access user-defined registers

Note The Northwest Logic Packet DMA shipped with the Base TRD is an evaluation version and expires after 12 hours of run time To get the full version contact Northwest Logic [Ref 7]

bull Multiport Virtual FIFO

bull DDR3 SDRAM SODIMM (64-bit 1600 Mbs 800 MHz) is used for buffering packets The memory controller delivered through the memory interface generator (MIG) tool interfaces to the DDR3 memory

bull AXI Interconnect IP along with the memory controller supports multiple ports on the memory

bull The Packetized Virtual FIFO controller controls addressing of the DDR3 memory for each port allowing DDR3 to be used as Virtual Packet FIFO

bull Software driver for a 32-bit Linux platform

bull Configures the hardware design parameters

bull Generates and consumes traffic

bull Provides a GUI to report status and performance statistics

The Kintex-7 FPGA integrated Endpoint block for PCI Express and the Packet DMA are responsible for data transfers from host system to Endpoint card (S2C) and Endpoint card to host system (C2S) Data to and from the host is stored in a Virtual FIFO built around the DDR3 memory This Multiport Virtual FIFO abstraction layer around the DDR3 memory allows the user to move traffic efficiently without the need to manage addressing and arbitration on the memory interface It also provides more depth than storage implemented using Block RAMs

The integrated Endpoint block for PCI Express Packet DMA and Multiport Virtual FIFO form the base system The base system can bridge the host to any user application running on the other end The raw data packet module is a dummy application that generates and consumes packets It can be replaced by any user specific protocol like Aurora or XAUI

The software driver runs on the host system It generates raw data traffic for transmit operations in the S2C direction It also consumes the data looped back or generated at the application end in the C2S direction

Hardware Test Setup RequirementsThese are the prerequisites for testing the design in hardware

bull KC705 Evaluation board with the Kintex-7 FPGA XC7K325T-2FFG900C device

bull Design files provided as a zipped collection under the Docs amp Designs tab at wwwxilinxcomkc705 include

bull Design source files

bull Device driver files

bull Board design files

bull Documentation

bull Vivado Design Suite

bull ISE Programming tools or LabTools

KC705 Getting Started Guide wwwxilinxcom 19UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

bull Micro USB cable

bull 4-pin to 6-pin PCIe adapter cable

bull Fedora 16 Live DVD [Ref 6]

bull PC with PCIe v20 slot For a complete list of machines tested and all known issues refer to the Kintex-7 FPGA Base Targeted Reference Design Release Notes and Known Issues Master Answer Record (httpwwwxilinxcomsupportanswers45679htm) This PC could also have Fedora Core 16 Linux OS installed on it

TRD Demonstration SetupThis section describes hardware setup and use of the application GUI to help the user get started quickly with the design in hardware It provides a step-by-step explanation of hardware bring-up and describes using the application GUI

When following the demonstration setup steps for the Kintex-7 FPGA Base TRD if the behavior is not as described refer to the known issues at wwwxilinxcomsupportanswers45679htm

Board Setup

This section describes how to set up the KC705 Evaluation board required to demonstrate the TRD

1 Set the KC705 Jumpers and Switches Verify that the KC705 Evaluation board jumpers and switches are set as shown in Table 2 and Figure 11

Table 2 Switch and Jumper Settings

Jumper Function Setting

J32 PCIe configuration width mdash 4 lane design Jump 3-4

Switch Function or Type Setting

SW15 Board power slide-switch Off

SW11 User GPIO DIP switch

4 Off

3 Off

2 Off

1 Off

S13 DIP switch SW13 positions 1 and 2 control the setting of address bits of the flashDIP switch SW13 positions 3 4 and 5 control which configuration mode

5 (M0) M2 =0 M1=1 M0=0 ndash Master BPIM2 =0 M1=0 M0=1 ndash Master SPIM2 =1 M1=0 M0=1 ndash JTAG

Off

4 (M1) On

3 (M2) Off

2 Off

1 Off

20 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

Hardware Bring-Up

This section presents steps for hardware bring-up

1 With the host system switched off insert the KC705 board in the PCIe slot through the PCI Express x8 or x16 edge connector (Figure 12)

The TRD programmed on the KC705 board has a 4-lane PCIe v20 configuration running at a 5 Gbs link rate per lane The PCI Express specification allows for a smaller lane width Endpoint to be installed into a larger lane width PCIe connector

2 Figure 13 shows the 12V power connection Connect the 12V ATX power supplyrsquos available 4-pin connector to the board (J49) via a 4-pin to 6-pin PCIe adapter cable Toggle the Power switch SW15 to the ON position

X-Ref Target - Figure 11

Figure 11 Switch and Jumper Settings

UG883_11_040913

J27 J28

J29 J30SW13

J32

SW15SW11

X-Ref Target - Figure 12if

Figure 12 KC705 Board Plugged into a PCIe x16 Slot

UG883_12_040913

KC705 Getting Started Guide wwwxilinxcom 21UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

3 Make sure the connections are tight and then power on the PC system

Note If the user wishes to boot Linux from the Fedora 16 Live DVD place the DVD in the PCrsquos DVD-ROM drive as soon as the PC system is powered on

4 Verify the status of the design on the KC705 LEDs The design provides status on the GPIO LEDs on the upper right of the KC705 board (Figure 14) After the PC system is powered on and the TRD has successfully configured status LEDs from right to left should indicate

bull LED 0 mdash ON if the PCIe link is up

bull LED 1 mdash Flashes if the PCIe user clock is present

bull LED 2 mdash ON if lane width is what is expected else it flashes (for a 4 lane design the expected lane width is 4 for an 8 lane design the expected lane width is 8)

bull LED 3 mdash ON if memory calibration is done

bull LED 4 to LED 7 mdash Not connected

X-Ref Target - Figure 13

Figure 13 Power Supply Connection

UG883_13_121112

SW15

J49 6-pinConnector

12V ATX PowerSupply Pluggedinto the 4-pinConnector

22 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

Install the Linux Driver

1 If Fedora 16 is installed on the PC systemrsquos hard disk boot as a root-privileged user and skip to step 3 page 23

2 To boot from the Fedora 16 Live DVD provided in the kit place the DVD in the PCrsquos DVD-ROM drive The Fedora 16 Live Media is for Intel-compatible PCs The DVD contains a complete bootable 32-bit Fedora 16 environment with the proper packages installed for the TRD demonstration environment For more details see Fedora Information page 2 The PC boots from the DVD-ROM drive and logs into a liveuser account This account has kernel development root privileges required to install and remove device driver modules

Note Users might have to adjust BIOS boot order settings to make sure that the DVD-ROM drive is the first drive in the boot order To enter the BIOS menu to set the boot order press the DEL or F2 key when the system is powered on Set the boot order and save the changes (The DEL or F2 key is used by most PC systems to enter the BIOS setup Some PCs might have a different way to enter the BIOS setup)

The PC should boot from the DVD-ROM drive The images in Figure 15 are seen on the monitor during boot up

X-Ref Target - Figure 14

Figure 14 Location of GPIO Status LEDs (Indicates TRD Status)

UG883_14_121112

KC705 Getting Started Guide wwwxilinxcom 23UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

3 After Fedora 16 Core boots open a terminal window (click Activities click Application scroll down and click the Terminal icon) To find out if the PCIe Endpoint is detected at the terminal command line type

$ lspci

The lspci command displays the devices in the PCI and PCI Express buses of the PC On the bus of the KC705 card slot is the message

Communication controller Xilinx Corporation Device 7042

This message confirms that the design programmed into the KC705 board has been found by the BIOS and the Fedora 16 OS The bus number varies depending on which PC motherboard and slot are used Figure 16 shows a lspci output for an example system Xilinx device 7042 has been found by the BIOS on bus number 2 (02000 - busdevfunction)

X-Ref Target - Figure 15

Figure 15 Fedora 16 Live DVD BootingFirst Screen Last Boot Screen Booted

UG883 15 040913

X-Ref Target - Figure 16

Figure 16 PCI and PCI Express Bus Devices

UG883_16_040913

24 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

4 Download the reference design from the Docs amp Designs tab at wwwxilinxcomkc705 and copy the k7_pcie_dma_ddr3_base folder into any directory

5 To set up and run the TRD demonstration the software driver should be installed on the PC system Software driver installation involves

a Building the kernel objects and the GUI

b Inserting the driver modules into the kernel

After the driver modules are loaded the application GUI can be invoked The user can set parameters through the GUI and run the TRD

When the user is done running the TRD the application GUI can be closed and the drivers can be removed

A script is provided to execute all the above actions so that the user can quickly start the TRD

The k7_trd_lin_quickstart script is available in the k7_pcie_dma_ddr3_base folder Right click the script select properties go to the permissions tab check the box Allow executing file as programmdashthis makes the script executable Close the window

To run the script double-click k7_trd_lin_quickstart in the k7_pcie_dma_ddr3_base folder (Figure 17) The window prompt in Figure 18 appears

X-Ref Target - Figure 17

Figure 17 Load Driver and Launch Application GUI

UG883_17_121112

KC705 Getting Started Guide wwwxilinxcom 25UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

Click Run in Terminal to proceed The application GUI is invoked

Proceed to the next section Using the Application GUI to set design parameters and run the TRD

X-Ref Target - Figure 18

Figure 18 Run in Terminal

UG883_18_040913

26 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

Using the Application GUI

When the drivers are loaded and the Performance Monitor GUI is invoked the user can configure the sending and receiving of data The GUI allows the user to observe the collected statistics and other status information

1 Click the System Status tab to verify the status of the KC705 board and the PCIe link (see Figure 19 and Table 3)

X-Ref Target - Figure 19

Figure 19 Verify Board Status in the Performance Monitor

UG883_19_121112

KC705 Getting Started Guide wwwxilinxcom 27UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

2 To start data traffic on the two data paths

a Click Start Test on Raw Data Path0 as shown in Figure 20 This enables the driver to start generating the data for Raw Data Path0

b Click Start Test on Raw Data Path1 as shown in Figure 20 This enables the driver to start generating the data for Raw Data Path1

Table 3 KC705 Board Status Field Explanations

Field Status Explanation

Link Status Up This confirms that the PCIe link is up and a PCIe connection is established between the Kintex-7 FPGA Endpoint for PCI Express and the PC motherboard chipset

Link Speed 50 Gbs This confirms that the PCIe link is operating at line rate speed per PCI Express v20

Link Width x4 This confirms that the PCIe link is trained as a x4 link

28 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

3 Verify TRD operations through the status information provided by the GUI (see Figure 21)

a Verify the PCIe throughput

b Verify the DMA channel throughput for the Raw Data Path0

c Verify the DMA channel throughput for the Raw Data Path1

d Verify there are no buffer descriptor errors for error-free operation

X-Ref Target - Figure 20

Figure 20 Start Data Traffic from the Performance Monitor

UG883_20_121112

KC705 Getting Started Guide wwwxilinxcom 29UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

The Kintex-7 FPGA PCIe-DMA TRD is now set up and running Close the Application GUI to unload the software drivers and stop traffic flow

X-Ref Target - Figure 21

Figure 21 Verify Error-Free Operation in the Performance Monitor

UG883_21_121112

30 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

Evaluating the Kintex-7 FPGA Base TRDThe Kintex-7 FPGA Base TRD provides a Performance and Status monitor application and GUI The application enables customers to evaluate different system parameters This section demonstrates performance variances for the PCI Express and DMA interfaces based on the parameters set

To evaluate the Kintex-7 FPGA Base TRD

1 Launch the Performance Monitor for the Kintex-7 FPGA Base TRD

a Navigate to the k7_pcie_dma_ddr3_base folder

b Double-click k7_lin_trd_quickstart (Figure 22)mdashmake sure that the script has executable permissionmdashto launch the Performance Monitor and Status GUI

c A window prompt appears as shown in Figure 23 Click Run in Terminal to proceed

2 Set up the test parameters in the Performance Monitor

a Two data paths are available Raw Data Path0 and Raw Data Path1 On each path set the Packet Size to a value between 64 ndash 32768 bytes

X-Ref Target - Figure 22

Figure 22 Launch the Performance Monitor and Status GUI

UG883_22_121112

X-Ref Target - Figure 23

Figure 23 Run k7_lin_trd_quickstart

UG883_23_121112

KC705 Getting Started Guide wwwxilinxcom 31UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

3 Execute the test and view payload statistics in the Performance and Status Monitor (see Figure 24)

a Click Start Test to start the performance test

b Click the Payload Statistics tab to view data transfers on the DMA channels

c Click Stop Test to stop data traffic

d Vary the Packet Size parameters for the Raw Data Paths (see Figure 25) and click Start Test Then view the payload statistics to review data transfer rate on the DMA channels With a decrease in packet size the performance drops

Note Before changing packet size click Stop Test change the size and then click Start Test

X-Ref Target - Figure 24

Figure 24 Payload Statistics

UG883_24_121112

32 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

Note For packet sizes equal to 64 or 128 bytes the throughput is reduced and might not be visible on the Payload Statistics tab The exact values can be viewed on the System Status tab

4 Click the PCIe Statistics tab to view data transfer numbers with varying packet sizes on the PCIe interface (Figure 26)

X-Ref Target - Figure 25

Figure 25 Effect of Varying Packet Sizes on Performance

UG883_25_121112

KC705 Getting Started Guide wwwxilinxcom 33UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

The system performance of the Kintex-7 FPGA Base TRD has now been evaluated using the pre-built demonstration design bit file

Now that the Kintex-7 FPGA Base TRD demonstration has been set up and evaluated the design can be modified Before the design can be modified make sure to install the Vivado Design Suite on a PC It is not required that tools be installed on the PC system in which the KC705 evaluation board is plugged in by way of the PCIe edge connector

X-Ref Target - Figure 26

Figure 26 PCIe Statistics in the Performance Monitor

UG883_26_121112

34 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

KC705 Getting Started Guide wwwxilinxcom 35UG883 (v30) April 16 2013

Appendix A

Additional Resources

Xilinx ResourcesFor support resources such as Answers Documentation Downloads and Forums see the Xilinx Support website at

wwwxilinxcomsupport

For continual updates add the Answer Record to your myAlerts

wwwxilinxcomsupportmyalerts

For a glossary of technical terms used in Xilinx documentation see

wwwxilinxcomcompanytermshtm

Solution CentersSee the Xilinx Solution Centers for support on devices software tools and intellectual property at all stages of the design cycle Topics include design assistance advisories and troubleshooting tips

Further ResourcesThe most up to date information related to the KC705 board and its documentation is available on the following websites

The Kintex-7 FPGA KC705 Evaluation Kit Product Page

wwwxilinxcomkc705

The Kintex-7 FPGA KC705 Evaluation Kit Master Answer Record

wwwxilinxcomsupportanswers47787htm

Design advisories by software release for the Kintex-7 FPGA KC705 Evaluation Kit

wwwxilinxcomsupportnav=sd-nav-link-179661amptab=tab-bk

Kintex-7 FPGA Base Targeted Reference Design Release Notes and Known Issues Master Answer Record

wwwxilinxcomsupportanswers45679htm

These documents provide supplemental material useful with this user guide

UG810 KC705 Evaluation Board for the Kintex-7 FPGA User Guide

UG845 KC705 Reference Design User Guide

36 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Appendix A Additional Resources

UG798 Xilinx Design Tools Installation and Licensing Guide Vivado Design Suite and ISE Design Suite

UG908 Vivado Design Suite User Guide Programming and Debugging

UG882 Kintex-7 FPGA KC705 Base Targeted Reference Design User Guide (Vivado Design Suite 20124)

UG886 AMS101 Evaluation Card User Guide

UG477 7 Series FPGAs Integrated Block for PCI Express User Guide

ReferencesThe following websites provide supplemental material useful with this guide

1 LabVIEW 32-bit Run-Time Enginehttpjoulenicomniducdsviewpid2534langen

2 LabVIEW 64-bit Run-Time Engine httpjoulenicomniducdsviewpid2536langen

3 Tera Term Pro program httphpvectorcojpauthorsVA002416teratermhtml

4 Drivers on the Silicon Labs site httpwwwsilabscomSupport20DocumentsSoftwareCP210x_VCP_Win_XP_S2K3_Vista_7exe

5 Northwest Logic DMA back end core httpwwwnwlogiccompacketdma

6 Fedora project httpfedoraprojectorg

Fedora is a Linux-based operating system used in the development of this TRD

7 The GTK+ project API documentation httpwwwgtkorgdocumentationphp

GTK+ is a toolkit for creating graphical user interfaces (GUI)

KC705 Getting Started Guide wwwxilinxcom 37UG883 (v30) April 16 2013

Appendix B

Warranty

THIS LIMITED WARRANTY applies solely to standard hardware development boards and standard hardware programming cables manufactured by or on behalf of Xilinx (ldquoDevelopment Systemsrdquo) Subject to the limitations herein Xilinx warrants that Development Systems when delivered by Xilinx or its authorized distributor for ninety (90) days following the delivery date will be free from defects in material and workmanship and will substantially conform to Xilinx publicly available specifications for such products in effect at the time of delivery This limited warranty excludes (i) engineering samples or beta versions of Development Systems (which are provided ldquoAS ISrdquo without warranty) (ii) design defects or errors known as ldquoerratardquo (iii) Development Systems procured through unauthorized third parties and (iv) Development Systems that have been subject to misuse mishandling accident alteration neglect unauthorized repair or installation Furthermore this limited warranty shall not apply to the use of covered products in an application or environment that is not within Xilinx specifications or in the event of any act error neglect or default of Customer For any breach by Xilinx of this limited warranty the exclusive remedy of Customer and the sole liability of Xilinx shall be at the option of Xilinx to replace or repair the affected products or to refund to Customer the price of the affected products The availability of replacement products is subject to product discontinuation policies at Xilinx Customer may not return product without first obtaining a customer return material authorization (RMA) number from XilinxTHE WARRANTIES SET FORTH HEREIN ARE EXCLUSIVE XILINX DISCLAIMS ALL OTHER WARRANTIES WHETHER EXPRESS IMPLIED OR STATUTORY INCLUDING WITHOUT LIMITATION ANY WARRANTY OF MERCHANTABILITY FITNESS FOR A PARTICULAR PURPOSE OR NON-INFRINGEMENT AND ANY WARRANTY THAT MAY ARISE FROM COURSE OF DEALING COURSE OF PERFORMANCE OR USAGE OF TRADE (200810)

Do not throw Xilinx products marked with the ldquocrossed out wheeled binrdquo in the trash Directive 200296EC on waste electrical and electronic equipment (WEEE) requires the separate collection of WEEE Your cooperation is essential in ensuring the proper management of WEEE and the protection of the environment and human health from potential effects arising from the presence of hazardous substances in WEEE Return the marked products to Xilinx for proper disposal Further information and instructions for free-of-charge return available at httpwwwxilinxcomehsweeehtm

38 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Appendix B Warranty

  • Kintex-7 FPGA KC705 Evaluation Kit (Vivado Design Suite 20131)
    • Revision History
    • Table of Contents
    • Getting Started with the Kintex-7 FPGA KC705 Evaluation Kit (Vivado Design Suite 20131)
      • Introduction
      • Kit Contents
      • Basic Hardware Bring-up Using the BIST
        • Hardware Test Setup Requirements
          • Hardware Test Board Setup Requirements
            • Hardware Bring-Up
            • Run the BIST Application
              • AMS Bring-up with the AMS101 Evaluation Card
                • Requirements to Get Started
                • Evaluating AMS
                  • Advanced Bring-up Using the Base Targeted Reference Design
                    • Components
                    • Hardware Test Setup Requirements
                    • TRD Demonstration Setup
                    • Evaluating the Kintex-7 FPGA Base TRD
                        • Additional Resources
                          • Xilinx Resources
                          • Solution Centers
                          • Further Resources
                          • References
                            • Warranty
                                • ltlt ASCII85EncodePages false AllowTransparency false AutoPositionEPSFiles true AutoRotatePages All Binding Left CalGrayProfile (Dot Gain 20) CalRGBProfile (sRGB IEC61966-21) CalCMYKProfile (US Web Coated 050SWOP051 v2) sRGBProfile (sRGB IEC61966-21) CannotEmbedFontPolicy Warning CompatibilityLevel 16 CompressObjects Tags CompressPages true ConvertImagesToIndexed true PassThroughJPEGImages true CreateJobTicket false DefaultRenderingIntent Default DetectBlends true DetectCurves 00000 ColorConversionStrategy LeaveColorUnchanged DoThumbnails false EmbedAllFonts true EmbedOpenType false ParseICCProfilesInComments true EmbedJobOptions true DSCReportingLevel 0 EmitDSCWarnings false EndPage -1 ImageMemory 1048576 LockDistillerParams true MaxSubsetPct 100 Optimize true OPM 1 ParseDSCComments true ParseDSCCommentsForDocInfo true PreserveCopyPage true PreserveDICMYKValues true PreserveEPSInfo true PreserveFlatness true PreserveHalftoneInfo false PreserveOPIComments false PreserveOverprintSettings true StartPage 1 SubsetFonts true TransferFunctionInfo Apply UCRandBGInfo Preserve UsePrologue false ColorSettingsFile () AlwaysEmbed [ true ] NeverEmbed [ true ] AntiAliasColorImages false CropColorImages true ColorImageMinResolution 300 ColorImageMinResolutionPolicy OK DownsampleColorImages false ColorImageDownsampleType Bicubic ColorImageResolution 300 ColorImageDepth -1 ColorImageMinDownsampleDepth 1 ColorImageDownsampleThreshold 150000 EncodeColorImages true ColorImageFilter DCTEncode AutoFilterColorImages true ColorImageAutoFilterStrategy JPEG ColorACSImageDict ltlt QFactor 040 HSamples [1 1 1 1] VSamples [1 1 1 1] gtgt ColorImageDict ltlt QFactor 015 HSamples [1 1 1 1] VSamples [1 1 1 1] gtgt JPEG2000ColorACSImageDict ltlt TileWidth 256 TileHeight 256 Quality 30 gtgt JPEG2000ColorImageDict ltlt TileWidth 256 TileHeight 256 Quality 30 gtgt AntiAliasGrayImages false CropGrayImages true GrayImageMinResolution 300 GrayImageMinResolutionPolicy OK DownsampleGrayImages false GrayImageDownsampleType Bicubic GrayImageResolution 300 GrayImageDepth -1 GrayImageMinDownsampleDepth 2 GrayImageDownsampleThreshold 150000 EncodeGrayImages true GrayImageFilter DCTEncode AutoFilterGrayImages true GrayImageAutoFilterStrategy JPEG GrayACSImageDict ltlt QFactor 040 HSamples [1 1 1 1] VSamples [1 1 1 1] gtgt GrayImageDict ltlt QFactor 015 HSamples [1 1 1 1] VSamples [1 1 1 1] gtgt JPEG2000GrayACSImageDict ltlt TileWidth 256 TileHeight 256 Quality 30 gtgt JPEG2000GrayImageDict ltlt TileWidth 256 TileHeight 256 Quality 30 gtgt AntiAliasMonoImages false CropMonoImages true MonoImageMinResolution 1200 MonoImageMinResolutionPolicy OK DownsampleMonoImages false MonoImageDownsampleType Bicubic MonoImageResolution 1200 MonoImageDepth -1 MonoImageDownsampleThreshold 150000 EncodeMonoImages true MonoImageFilter CCITTFaxEncode MonoImageDict ltlt K -1 gtgt AllowPSXObjects false CheckCompliance [ None ] PDFX1aCheck false PDFX3Check false PDFXCompliantPDFOnly false PDFXNoTrimBoxError true PDFXTrimBoxToMediaBoxOffset [ 000000 000000 000000 000000 ] PDFXSetBleedBoxToMediaBox true PDFXBleedBoxToTrimBoxOffset [ 000000 000000 000000 000000 ] PDFXOutputIntentProfile (None) PDFXOutputConditionIdentifier () PDFXOutputCondition () PDFXRegistryName () PDFXTrapped False CreateJDFFile false Description ltlt ARA ltFEFF06270633062A062E062F0645002006470630064700200627064406250639062F0627062F0627062A002006440625064606340627062100200648062B062706260642002000410064006F00620065002000500044004600200645062A0648062706410642062900200644064406370628062706390629002006300627062A002006270644062C0648062F0629002006270644063906270644064A06290020064506460020062E06440627064400200627064406370627062806390627062A00200627064406450643062A0628064A062900200623064800200623062C06470632062900200625062C06310627062100200627064406280631064806410627062A061B0020064A06450643064600200641062A062D00200648062B0627062606420020005000440046002006270644064506460634062306290020062806270633062A062E062F062706450020004100630072006F0062006100740020064800410064006F006200650020005200650061006400650072002006250635062F0627063100200035002E0030002006480627064406250635062F062706310627062A0020062706440623062D062F062B002E0020064506390020005000440046002F0041060C0020062706440631062C062706210020064506310627062C063906290020062F0644064A0644002006450633062A062E062F06450020004100630072006F006200610074061B0020064A06450643064600200641062A062D00200648062B0627062606420020005000440046002006270644064506460634062306290020062806270633062A062E062F062706450020004100630072006F0062006100740020064800410064006F006200650020005200650061006400650072002006250635062F0627063100200035002E0030002006480627064406250635062F062706310627062A0020062706440623062D062F062B002Egt BGR ltFEFF04180437043f043e043b043704320430043904420435002004420435043704380020043d0430044104420440043e0439043a0438002c00200437043000200434043000200441044a0437043404300432043004420435002000410064006f00620065002000500044004600200434043e043a0443043c0435043d044204380020043704300020043a0430044704350441044204320435043d0020043f04350447043004420020043d04300020043d043004410442043e043b043d04380020043f04400438043d04420435044004380020043800200443044104420440043e043904410442043204300020043704300020043f04350447043004420020043d04300020043f0440043e0431043d04380020044004300437043f0435044704300442043a0438002e002000200421044a04370434043004340435043d043804420435002000500044004600200434043e043a0443043c0435043d044204380020043c043e0433043004420020043404300020044104350020043e0442043204300440044f0442002004410020004100630072006f00620061007400200438002000410064006f00620065002000520065006100640065007200200035002e00300020043800200441043b0435043404320430044904380020043204350440044104380438002egt CHS ltFEFF4f7f75288fd94e9b8bbe5b9a521b5efa7684002000500044004600206587686353ef901a8fc7684c976262535370673a548c002000700072006f006f00660065007200208fdb884c9ad88d2891cf62535370300260a853ef4ee54f7f75280020004100630072006f0062006100740020548c002000410064006f00620065002000520065006100640065007200200035002e003000204ee553ca66f49ad87248672c676562535f00521b5efa768400200050004400460020658768633002gt CHT ltFEFF4f7f752890194e9b8a2d7f6e5efa7acb7684002000410064006f006200650020005000440046002065874ef653ef5728684c9762537088686a5f548c002000700072006f006f00660065007200204e0a73725f979ad854c18cea7684521753706548679c300260a853ef4ee54f7f75280020004100630072006f0062006100740020548c002000410064006f00620065002000520065006100640065007200200035002e003000204ee553ca66f49ad87248672c4f86958b555f5df25efa7acb76840020005000440046002065874ef63002gt CZE ltFEFF005400610074006f0020006e006100730074006100760065006e00ed00200070006f0075017e0069006a007400650020006b0020007600790074007600e101590065006e00ed00200064006f006b0075006d0065006e0074016f002000410064006f006200650020005000440046002000700072006f0020006b00760061006c00690074006e00ed0020007400690073006b0020006e0061002000730074006f006c006e00ed006300680020007400690073006b00e10072006e00e100630068002000610020006e00e1007400690073006b006f007600fd006300680020007a0061015900ed007a0065006e00ed00630068002e002000200056007900740076006f01590065006e00e900200064006f006b0075006d0065006e007400790020005000440046002000620075006400650020006d006f017e006e00e90020006f007400650076015900ed007400200076002000700072006f006700720061006d0065006300680020004100630072006f00620061007400200061002000410064006f00620065002000520065006100640065007200200035002e0030002000610020006e006f0076011b006a016100ed00630068002egt DAN ltFEFF004200720075006700200069006e0064007300740069006c006c0069006e006700650072006e0065002000740069006c0020006100740020006f007000720065007400740065002000410064006f006200650020005000440046002d0064006f006b0075006d0065006e007400650072002000740069006c0020006b00760061006c00690074006500740073007500640073006b007200690076006e0069006e006700200065006c006c006500720020006b006f007200720065006b007400750072006c00e60073006e0069006e0067002e0020004400650020006f007000720065007400740065006400650020005000440046002d0064006f006b0075006d0065006e0074006500720020006b0061006e002000e50062006e00650073002000690020004100630072006f00620061007400200065006c006c006500720020004100630072006f006200610074002000520065006100640065007200200035002e00300020006f00670020006e0079006500720065002egt DEU ltFEFF00560065007200770065006e00640065006e0020005300690065002000640069006500730065002000450069006e007300740065006c006c0075006e00670065006e0020007a0075006d002000450072007300740065006c006c0065006e00200076006f006e002000410064006f006200650020005000440046002d0044006f006b0075006d0065006e00740065006e002c00200076006f006e002000640065006e0065006e002000530069006500200068006f00630068007700650072007400690067006500200044007200750063006b006500200061007500660020004400650073006b0074006f0070002d0044007200750063006b00650072006e00200075006e0064002000500072006f006f0066002d00470065007200e400740065006e002000650072007a0065007500670065006e0020006d00f60063006800740065006e002e002000450072007300740065006c006c007400650020005000440046002d0044006f006b0075006d0065006e007400650020006b00f6006e006e0065006e0020006d006900740020004100630072006f00620061007400200075006e0064002000410064006f00620065002000520065006100640065007200200035002e00300020006f0064006500720020006800f600680065007200200067006500f600660066006e00650074002000770065007200640065006e002egt ESP ltFEFF005500740069006c0069006300650020006500730074006100200063006f006e0066006900670075007200610063006900f3006e0020007000610072006100200063007200650061007200200064006f00630075006d0065006e0074006f0073002000640065002000410064006f0062006500200050004400460020007000610072006100200063006f006e00730065006700750069007200200069006d0070007200650073006900f3006e002000640065002000630061006c006900640061006400200065006e00200069006d0070007200650073006f0072006100730020006400650020006500730063007200690074006f00720069006f00200079002000680065007200720061006d00690065006e00740061007300200064006500200063006f00720072006500630063006900f3006e002e002000530065002000700075006500640065006e00200061006200720069007200200064006f00630075006d0065006e0074006f00730020005000440046002000630072006500610064006f007300200063006f006e0020004100630072006f006200610074002c002000410064006f00620065002000520065006100640065007200200035002e003000200079002000760065007200730069006f006e0065007300200070006f00730074006500720069006f007200650073002egt ETI ltFEFF004b00610073007500740061006700650020006e0065006900640020007300e4007400740065006900640020006c006100750061002d0020006a00610020006b006f006e00740072006f006c006c007400f5006d006d006900730065007000720069006e0074006500720069007400650020006a0061006f006b00730020006b00760061006c006900740065006500740073006500740065002000410064006f006200650020005000440046002d0064006f006b0075006d0065006e00740069006400650020006c006f006f006d006900730065006b0073002e002e00200020004c006f006f0064007500640020005000440046002d0064006f006b0075006d0065006e00740065002000730061006100740065002000610076006100640061002000700072006f006700720061006d006d006900640065006700610020004100630072006f0062006100740020006e0069006e0067002000410064006f00620065002000520065006100640065007200200035002e00300020006a00610020007500750065006d006100740065002000760065007200730069006f006f006e00690064006500670061002e000d000agt FRA ltFEFF005500740069006c006900730065007a00200063006500730020006f007000740069006f006e00730020006100660069006e00200064006500200063007200e900650072002000640065007300200064006f00630075006d0065006e00740073002000410064006f00620065002000500044004600200070006f007500720020006400650073002000e90070007200650075007600650073002000650074002000640065007300200069006d007000720065007300730069006f006e00730020006400650020006800610075007400650020007100750061006c0069007400e90020007300750072002000640065007300200069006d007000720069006d0061006e0074006500730020006400650020006200750072006500610075002e0020004c0065007300200064006f00630075006d0065006e00740073002000500044004600200063007200e900e90073002000700065007500760065006e0074002000ea0074007200650020006f007500760065007200740073002000640061006e00730020004100630072006f006200610074002c002000610069006e00730069002000710075002700410064006f00620065002000520065006100640065007200200035002e0030002000650074002000760065007200730069006f006e007300200075006c007400e90072006900650075007200650073002egt GRE ltFEFF03a703c103b703c303b903bc03bf03c003bf03b903ae03c303c403b5002003b103c503c403ad03c2002003c403b903c2002003c103c503b803bc03af03c303b503b903c2002003b303b903b1002003bd03b1002003b403b703bc03b903bf03c503c103b303ae03c303b503c403b5002003ad03b303b303c103b103c603b1002000410064006f006200650020005000440046002003b303b903b1002003b503ba03c403cd03c003c903c303b7002003c003bf03b903cc03c403b703c403b103c2002003c303b5002003b503ba03c403c503c003c903c403ad03c2002003b303c103b103c603b503af03bf03c5002003ba03b103b9002003b403bf03ba03b903bc03b103c303c403ad03c2002e0020002003a403b10020005000440046002003ad03b303b303c103b103c603b1002003c003bf03c5002003ad03c703b503c403b5002003b403b703bc03b903bf03c503c103b303ae03c303b503b9002003bc03c003bf03c103bf03cd03bd002003bd03b1002003b103bd03bf03b903c703c403bf03cd03bd002003bc03b5002003c403bf0020004100630072006f006200610074002c002003c403bf002000410064006f006200650020005200650061006400650072002000200035002e0030002003ba03b103b9002003bc03b503c403b103b303b503bd03ad03c303c403b503c103b503c2002003b503ba03b403cc03c303b503b903c2002egt HEB ltFEFF05D405E905EA05DE05E905D5002005D105D405D205D305E805D505EA002005D005DC05D4002005DB05D305D9002005DC05D905E605D505E8002005DE05E105DE05DB05D9002000410064006F006200650020005000440046002005E205D105D505E8002005D405D305E405E105D4002005D005D905DB05D505EA05D905EA002005D105DE05D305E405E105D505EA002005E905D505DC05D705E005D905D505EA002005D505DB05DC05D9002005D405D205D405D4002E002005DE05E105DE05DB05D9002005D4002D005000440046002005E905E005D505E605E805D905DD002005E005D905EA05E005D905DD002005DC05E405EA05D905D705D4002005D105D005DE05E605E205D505EA0020004100630072006F006200610074002005D5002D00410064006F00620065002000520065006100640065007200200035002E0030002005D505D205E805E105D005D505EA002005DE05EA05E705D305DE05D505EA002005D905D505EA05E8002Egt HRV ltFEFF005a00610020007300740076006100720061006e006a0065002000410064006f00620065002000500044004600200064006f006b0075006d0065006e0061007400610020007a00610020006b00760061006c00690074006500740061006e0020006900730070006900730020006e006100200070006900730061010d0069006d006100200069006c0069002000700072006f006f006600650072002000750072006501110061006a0069006d0061002e00200020005300740076006f00720065006e0069002000500044004600200064006f006b0075006d0065006e007400690020006d006f006700750020007300650020006f00740076006f00720069007400690020004100630072006f00620061007400200069002000410064006f00620065002000520065006100640065007200200035002e0030002000690020006b00610073006e0069006a0069006d0020007600650072007a0069006a0061006d0061002egt HUN ltFEFF004d0069006e0151007300e9006700690020006e0079006f006d00610074006f006b0020006b00e90073007a00ed007400e9007300e900680065007a002000610073007a00740061006c00690020006e0079006f006d00740061007400f3006b006f006e002000e9007300200070007200f300620061006e0079006f006d00f3006b006f006e00200065007a0065006b006b0065006c0020006100200062006500e1006c006c00ed007400e10073006f006b006b0061006c002c00200068006f007a007a006f006e0020006c00e9007400720065002000410064006f00620065002000500044004600200064006f006b0075006d0065006e00740075006d006f006b00610074002e0020002000410020006c00e90074007200650068006f007a006f00740074002000500044004600200064006f006b0075006d0065006e00740075006d006f006b00200061007a0020004100630072006f006200610074002c00200061007a002000410064006f00620065002000520065006100640065007200200035002e0030002000e9007300200061007a002000610074007400f3006c0020006b00e9007301510062006200690020007600650072007a006900f3006b006b0061006c00200020006e00790069007400680061007400f3006b0020006d00650067002egt ITA ltFEFF005500740069006c0069007a007a006100720065002000710075006500730074006500200069006d0070006f007300740061007a0069006f006e00690020007000650072002000630072006500610072006500200064006f00630075006d0065006e00740069002000410064006f006200650020005000440046002000700065007200200075006e00610020007300740061006d007000610020006400690020007100750061006c0069007400e00020007300750020007300740061006d00700061006e0074006900200065002000700072006f006f0066006500720020006400650073006b0074006f0070002e0020004900200064006f00630075006d0065006e007400690020005000440046002000630072006500610074006900200070006f00730073006f006e006f0020006500730073006500720065002000610070006500720074006900200063006f006e0020004100630072006f00620061007400200065002000410064006f00620065002000520065006100640065007200200035002e003000200065002000760065007200730069006f006e006900200073007500630063006500730073006900760065002egt JPN ltFEFF9ad854c18cea51fa529b7528002000410064006f0062006500200050004400460020658766f8306e4f5c6210306b4f7f75283057307e30593002537052376642306e753b8cea3092670059279650306b4fdd306430533068304c3067304d307e3059300230c730b930af30c830c330d730d730ea30f330bf3067306e53705237307e305f306f30d730eb30fc30d57528306b9069305730663044307e305930023053306e8a2d5b9a30674f5c62103055308c305f0020005000440046002030d530a130a430eb306f3001004100630072006f0062006100740020304a30883073002000410064006f00620065002000520065006100640065007200200035002e003000204ee5964d3067958b304f30533068304c3067304d307e30593002gt KOR ltFEFFc7740020c124c815c7440020c0acc6a9d558c5ec0020b370c2a4d06cd0d10020d504b9b0d1300020bc0f0020ad50c815ae30c5d0c11c0020ace0d488c9c8b85c0020c778c1c4d560002000410064006f0062006500200050004400460020bb38c11cb97c0020c791c131d569b2c8b2e4002e0020c774b807ac8c0020c791c131b41c00200050004400460020bb38c11cb2940020004100630072006f0062006100740020bc0f002000410064006f00620065002000520065006100640065007200200035002e00300020c774c0c1c5d0c11c0020c5f40020c2180020c788c2b5b2c8b2e4002egt LTH ltFEFF004e006100750064006f006b0069007400650020016100690075006f007300200070006100720061006d006500740072007500730020006e006f0072011700640061006d00690020006b0075007200740069002000410064006f00620065002000500044004600200064006f006b0075006d0065006e007400750073002c0020006b007500720069006500200073006b00690072007400690020006b006f006b0079006200690161006b0061006900200073007000610075007300640069006e007400690020007300740061006c0069006e0069006100690073002000690072002000620061006e00640079006d006f00200073007000610075007300640069006e007400750076006100690073002e0020002000530075006b0075007200740069002000500044004600200064006f006b0075006d0065006e007400610069002000670061006c006900200062016b007400690020006100740069006400610072006f006d00690020004100630072006f006200610074002000690072002000410064006f00620065002000520065006100640065007200200035002e0030002000610072002000760117006c00650073006e0117006d00690073002000760065007200730069006a006f006d00690073002egt LVI ltFEFF0049007a006d0061006e0074006f006a00690065007400200161006f00730020006900650073007400610074012b006a0075006d00750073002c0020006c0061006900200069007a0076006500690064006f00740075002000410064006f00620065002000500044004600200064006f006b0075006d0065006e0074007500730020006b00760061006c0069007400610074012b0076006100690020006400720075006b010101610061006e00610069002000610072002000670061006c006400610020007000720069006e00740065007200690065006d00200075006e0020007000610072006100750067006e006f00760069006c006b0075006d0075002000690065007300700069006500640113006a00690065006d002e00200049007a0076006500690064006f006a006900650074002000500044004600200064006f006b0075006d0065006e007400750073002c0020006b006f002000760061007200200061007400760113007200740020006100720020004100630072006f00620061007400200075006e002000410064006f00620065002000520065006100640065007200200035002e0030002c0020006b0101002000610072012b00200074006f0020006a00610075006e0101006b0101006d002000760065007200730069006a0101006d002egt NLD (Gebruik deze instellingen om Adobe PDF-documenten te maken voor kwaliteitsafdrukken op desktopprinters en proofers De gemaakte PDF-documenten kunnen worden geopend met Acrobat en Adobe Reader 50 en hoger) NOR ltFEFF004200720075006b00200064006900730073006500200069006e006e007300740069006c006c0069006e00670065006e0065002000740069006c002000e50020006f0070007000720065007400740065002000410064006f006200650020005000440046002d0064006f006b0075006d0065006e00740065007200200066006f00720020007500740073006b00720069006600740020006100760020006800f800790020006b00760061006c00690074006500740020007000e500200062006f007200640073006b0072006900760065007200200065006c006c00650072002000700072006f006f006600650072002e0020005000440046002d0064006f006b0075006d0065006e00740065006e00650020006b0061006e002000e50070006e00650073002000690020004100630072006f00620061007400200065006c006c00650072002000410064006f00620065002000520065006100640065007200200035002e003000200065006c006c00650072002000730065006e006500720065002egt POL ltFEFF0055007300740061007700690065006e0069006100200064006f002000740077006f0072007a0065006e0069006100200064006f006b0075006d0065006e007400f3007700200050004400460020007a002000770079017c0073007a010500200072006f007a0064007a00690065006c0063007a006f015b0063006901050020006f006200720061007a006b00f30077002c0020007a0061007000650077006e00690061006a0105006301050020006c006500700073007a01050020006a0061006b006f015b0107002000770079006400720075006b00f30077002e00200044006f006b0075006d0065006e0074007900200050004400460020006d006f017c006e00610020006f007400770069006500720061010700200077002000700072006f006700720061006d006900650020004100630072006f00620061007400200069002000410064006f00620065002000520065006100640065007200200035002e0030002000690020006e006f00770073007a0079006d002egt PTB ltFEFF005500740069006c0069007a006500200065007300730061007300200063006f006e00660069006700750072006100e700f50065007300200064006500200066006f0072006d00610020006100200063007200690061007200200064006f00630075006d0065006e0074006f0073002000410064006f0062006500200050004400460020007000610072006100200069006d0070007200650073007300f5006500730020006400650020007100750061006c0069006400610064006500200065006d00200069006d00700072006500730073006f0072006100730020006400650073006b0074006f00700020006500200064006900730070006f00730069007400690076006f0073002000640065002000700072006f00760061002e0020004f007300200064006f00630075006d0065006e0074006f00730020005000440046002000630072006900610064006f007300200070006f00640065006d0020007300650072002000610062006500720074006f007300200063006f006d0020006f0020004100630072006f006200610074002000650020006f002000410064006f00620065002000520065006100640065007200200035002e0030002000650020007600650072007300f50065007300200070006f00730074006500720069006f007200650073002egt RUM ltFEFF005500740069006c0069007a00610163006900200061006300650073007400650020007300650074010300720069002000700065006e007400720075002000610020006300720065006100200064006f00630075006d0065006e00740065002000410064006f006200650020005000440046002000700065006e007400720075002000740069007001030072006900720065002000640065002000630061006c006900740061007400650020006c006100200069006d007000720069006d0061006e007400650020006400650073006b0074006f00700020015f0069002000700065006e0074007200750020007600650072006900660069006300610074006f00720069002e002000200044006f00630075006d0065006e00740065006c00650020005000440046002000630072006500610074006500200070006f00740020006600690020006400650073006300680069007300650020006300750020004100630072006f006200610074002c002000410064006f00620065002000520065006100640065007200200035002e00300020015f00690020007600650072007300690075006e0069006c006500200075006c0074006500720069006f006100720065002egt RUS ltFEFF04180441043f043e043b044c04370443043904420435002004340430043d043d044b04350020043d0430044104420440043e0439043a043800200434043b044f00200441043e043704340430043d0438044f00200434043e043a0443043c0435043d0442043e0432002000410064006f006200650020005000440046002c0020043f044004350434043d04300437043d043004470435043d043d044b044500200434043b044f0020043a0430044704350441044204320435043d043d043e04390020043f043504470430044204380020043d04300020043d043004410442043e043b044c043d044b04450020043f04400438043d044204350440043004450020043800200443044104420440043e04390441044204320430044500200434043b044f0020043f043e043b044304470435043d0438044f0020043f0440043e0431043d044b04450020043e0442044204380441043a043e0432002e002000200421043e043704340430043d043d044b04350020005000440046002d0434043e043a0443043c0435043d0442044b0020043c043e0436043d043e00200020043e0442043a0440044b043204300442044c002004410020043f043e043c043e0449044c044e0020004100630072006f00620061007400200438002000410064006f00620065002000520065006100640065007200200035002e00300020043800200431043e043b043504350020043f043e04370434043d043804450020043204350440044104380439002egt SKY ltFEFF0054006900650074006f0020006e006100730074006100760065006e0069006100200070006f0075017e0069007400650020006e00610020007600790074007600e100720061006e0069006500200064006f006b0075006d0065006e0074006f0076002000410064006f00620065002000500044004600200070007200650020006b00760061006c00690074006e00fa00200074006c0061010d0020006e0061002000730074006f006c006e00fd0063006800200074006c0061010d00690061007201480061006300680020006100200074006c0061010d006f007600fd006300680020007a006100720069006100640065006e0069006100630068002e00200056007900740076006f00720065006e00e900200064006f006b0075006d0065006e007400790020005000440046002000620075006400650020006d006f017e006e00e90020006f00740076006f00720069016500200076002000700072006f006700720061006d006f006300680020004100630072006f00620061007400200061002000410064006f00620065002000520065006100640065007200200035002e0030002000610020006e006f0076016100ed00630068002e000d000agt SLV ltFEFF005400650020006e006100730074006100760069007400760065002000750070006f0072006100620069007400650020007a00610020007500730074007600610072006a0061006e006a006500200064006f006b0075006d0065006e0074006f0076002000410064006f0062006500200050004400460020007a00610020006b0061006b006f0076006f00730074006e006f0020007400690073006b0061006e006a00650020006e00610020006e0061006d0069007a006e006900680020007400690073006b0061006c006e0069006b0069006800200069006e0020007000720065007600650072006a0061006c006e0069006b00690068002e00200020005500730074007600610072006a0065006e006500200064006f006b0075006d0065006e0074006500200050004400460020006a00650020006d006f0067006f010d00650020006f0064007000720065007400690020007a0020004100630072006f00620061007400200069006e002000410064006f00620065002000520065006100640065007200200035002e003000200069006e0020006e006f00760065006a01610069006d002egt SUO ltFEFF004b00e40079007400e40020006e00e40069007400e4002000610073006500740075006b007300690061002c0020006b0075006e0020006c0075006f0074002000410064006f0062006500200050004400460020002d0064006f006b0075006d0065006e007400740065006a00610020006c0061006100640075006b006100730074006100200074007900f6007000f60079007400e400740075006c006f0073007400750073007400610020006a00610020007600650064006f007300740075007300740061002000760061007200740065006e002e00200020004c0075006f0064007500740020005000440046002d0064006f006b0075006d0065006e00740069007400200076006f0069006400610061006e0020006100760061007400610020004100630072006f0062006100740069006c006c00610020006a0061002000410064006f00620065002000520065006100640065007200200035002e0030003a006c006c00610020006a006100200075007500640065006d006d0069006c006c0061002egt SVE ltFEFF0041006e007600e4006e00640020006400650020006800e4007200200069006e0073007400e4006c006c006e0069006e006700610072006e00610020006f006d002000640075002000760069006c006c00200073006b006100700061002000410064006f006200650020005000440046002d0064006f006b0075006d0065006e00740020006600f600720020006b00760061006c00690074006500740073007500740073006b0072006900660074006500720020007000e5002000760061006e006c00690067006100200073006b0072006900760061007200650020006f006300680020006600f600720020006b006f007200720065006b007400750072002e002000200053006b006100700061006400650020005000440046002d0064006f006b0075006d0065006e00740020006b0061006e002000f600700070006e00610073002000690020004100630072006f0062006100740020006f00630068002000410064006f00620065002000520065006100640065007200200035002e00300020006f00630068002000730065006e006100720065002egt TUR ltFEFF004d00610073006100fc0073007400fc002000790061007a013100630131006c006100720020007600650020006200610073006b01310020006d0061006b0069006e0065006c006500720069006e006400650020006b0061006c006900740065006c00690020006200610073006b013100200061006d0061006301310079006c0061002000410064006f006200650020005000440046002000620065006c00670065006c0065007200690020006f006c0075015f007400750072006d0061006b0020006900e70069006e00200062007500200061007900610072006c0061007201310020006b0075006c006c0061006e0131006e002e00200020004f006c0075015f0074007500720075006c0061006e0020005000440046002000620065006c00670065006c0065007200690020004100630072006f006200610074002000760065002000410064006f00620065002000520065006100640065007200200035002e003000200076006500200073006f006e0072006100730131006e00640061006b00690020007300fc007200fc006d006c00650072006c00650020006100e70131006c006100620069006c00690072002egt UKR ltFEFF04120438043a043e0440043804410442043e043204430439044204350020044604560020043f043004400430043c043504420440043800200434043b044f0020044104420432043e04400435043d043d044f00200434043e043a0443043c0435043d044204560432002000410064006f006200650020005000440046002c0020044f043a04560020043d04300439043a04400430044904350020043f045604340445043e0434044f0442044c00200434043b044f0020043204380441043e043a043e044f043a04560441043d043e0433043e0020043404400443043a04430020043d04300020043d0430044104420456043b044c043d043804450020043f04400438043d044204350440043004450020044204300020043f04400438044104420440043e044f044500200434043b044f0020043e044204400438043c0430043d043d044f0020043f0440043e0431043d0438044500200437043e04310440043004360435043d044c002e00200020042104420432043e04400435043d045600200434043e043a0443043c0435043d0442043800200050004400460020043c043e0436043d04300020043204560434043a0440043804420438002004430020004100630072006f006200610074002004420430002000410064006f00620065002000520065006100640065007200200035002e0030002004300431043e0020043f04560437043d04560448043e04570020043204350440044104560457002egt ENU ltFEFF005500730065002000740068006500730065002000730065007400740069006e0067007300200074006f0020006300720065006100740065002000410064006f0062006500200050004400460020006200610073006500640020006f006e0020007400680065002000640065006600610075006c0074002000580069006c0069006e007800200070006100720061006d0065007400650072002000730065007400740069006e0067007300200064006500660069006e00650064002000620079002000500053003000300034002e002000460069006c0065006e0061006d0065003a002000780069006c0069006e0078002e006a006f0062006f007000740069006f006e0073002e000d0043006f006d007000610074006100620069006c006900740079003a0020004100630072006f00620061007400200037002e0030002000280050004400460031002e00360029002e0020005500730065003a00200046006f00720020006300720065006100740069006e0067002000460069006e0061006c002c0020005000750062006c0069007300680065006400200050004400460073002e000d0043007200650061007400650064002000310030002f00310032002f003100310020006200790020006a0066006f007200730074002egt gtgt Namespace [ (Adobe) (Common) (10) ] OtherNamespaces [ ltlt AsReaderSpreads false CropImagesToFrames true ErrorControl WarnAndContinue FlattenerIgnoreSpreadOverrides false IncludeGuidesGrids false IncludeNonPrinting false IncludeSlug false Namespace [ (Adobe) (InDesign) (40) ] OmitPlacedBitmaps false OmitPlacedEPS false OmitPlacedPDF false SimulateOverprint Legacy gtgt ltlt AddBleedMarks false AddColorBars false AddCropMarks false AddPageInfo false AddRegMarks false ConvertColors NoConversion DestinationProfileName () DestinationProfileSelector NA Downsample16BitImages true FlattenerPreset ltlt PresetSelector MediumResolution gtgt FormElements false GenerateStructure true IncludeBookmarks false IncludeHyperlinks false IncludeInteractive false IncludeLayers false IncludeProfiles true MultimediaHandling UseObjectSettings Namespace [ (Adobe) (CreativeSuite) (20) ] PDFXOutputIntentProfileSelector NA PreserveEditing true UntaggedCMYKHandling LeaveUntagged UntaggedRGBHandling LeaveUntagged UseDocumentBleed false gtgt ]gtgt setdistillerparamsltlt HWResolution [1200 1200] PageSize [612000 792000]gtgt setpagedevice

Page 11: Xilinx UG883 Kintex-7 FPGA KC705 Evaluation Kit Getting ...€¦ · Updated step 2 and step 3 in Requirements to Get Started, page 14. ... KC705 Getting Started Guide 9 UG883 (v3.0)

KC705 Getting Started Guide wwwxilinxcom 11UG883 (v30) April 16 2013

Hardware Test Board Setup Requirements

Hardware Bring-UpThis section details the steps for hardware bring-up

1 With the board switched off plug a USB-to-Mini-B cable into the UART port of the KC705 board and your PC (see Figure 3)

2 Install the power cable

3 Switch the KC705 board power to ON

Install the UART Driver

1 Run the downloaded executable UART-USB driver file listed in Hardware Test Setup Requirements page 9 This enables UART-USB communications with a host PC (see Figure 4)

X-Ref Target - Figure 3

Figure 3 KC705 with the UART and Power Cable Attached

UG883_03_011912

X-Ref Target - Figure 4

Figure 4 UART Cable Driver Installation

UG883_04_011512

12 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Hardware Test Board Setup Requirements

2 Set the USB-UART connection to a known port in the Device Manager as follows

bull Right-click My Computer and select Properties

bull Select the Hardware tab then click the Device Manager button

bull Find and right-click the Silicon Labs device in the list Then select Properties

bull Click the Port Settings tab and the Advancedhellip button

bull Select an open COM port between COM1 and COM4

Figure 5 shows the steps needed to set the USB-UART port

Note Steps and diagrams refer to use with a Windows host PC with the Windows XP or Windows 7 operating systemX-Ref Target - Figure 5

Figure 5 Port Selection on the Device Manager Screen

UG883_05_040913

KC705 Getting Started Guide wwwxilinxcom 13UG883 (v30) April 16 2013

AMS Bring-up with the AMS101 Evaluation Card

Run the BIST Application1 Start the installed terminal program

2 Press PROG (SW14) on the KC705 board and view the BIST output on the terminal window (see Figure 6)

3 Select the relevant tests to run and observe the results

For more information on the BIST software and additional tutorials including how to restore the default content of the onboard nonvolatile storage see the KC705 support website at httpwwwxilinxcomkc705 under the Docs amp Designs tab

AMS Bring-up with the AMS101 Evaluation CardThe Xilinxreg 7 series FPGAs each feature a 1 MSPS 12-bit analog-to-digital converter built into the FPGA for everything from simple analog monitoring to more signal processing intensive tasks like linearization calibration oversampling and filtering The Kintex-7 FPGA KC705 evaluation kit includes the hardware and software to evaluate this feature and determine its usefulness in the userrsquos end system

For evaluation of Xilinx Analog Mixed Signal (AMS) capability the following items from your kit are needed

bull Access to XADC header in your FPGA base board

bull AMS101 evaluation card (Figure 7)

bull FPGA design and software files downloaded from the Docs amp Designs tab at wwwxilinxcomkc705

bull USBUART drivers from Silicon Labs

bull AMS Evaluator tool

X-Ref Target - Figure 6

Figure 6 BIST Main Menu

UG883_06_040913

14 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

AMS Bring-up with the AMS101 Evaluation Card

Requirements to Get Started1 Open the USBUART as described in Install the UART Driver page 11

2 The AMS101 evaluation card requires a Windows host PC to install the AMS Evaluator tool which uses National Instruments LabView run-time engine Install the AMS Evaluator tool by unzipping the AMS Evaluator installer fileshttpssecurexilinxcomwebregclickthroughdocid=199651amplicense=RefDesLicenseampfilename=ams101_gui_installer_v1_1zip located in the Targeted Reference Designs section in the Docs amp Designs tab at wwwxilinxcomkc705 After opening the zip file folder click the setupexe file to begin the installation When loading the National Instruments LabView runtime engine Click OK to accept the license agreement Running the setup program loads the AMS101 Evaluator tool with the red Xilinx logo on the desktop

3 Download the AMS Targeted Reference Design files from the Docs amp Designs tab Kintex-7 FPGA KC705 Evaluation Kit - (7 Series FPGA AMS Targeted Reference Design User Guide (Vivado Design Suite 20124 and ISE Design Suite 144)) section at wwwxilinxcomkc705 Unzip the xadc_eval_design_kc705_V1_0zip files Open the ready_to_test folder Save the xadc_eval_designbit bitstream

X-Ref Target - Figure 7

Figure 7 AMS101 Evaluation Card

UG883_07_121112

KC705 Getting Started Guide wwwxilinxcom 15UG883 (v30) April 16 2013

AMS Bring-up with the AMS101 Evaluation Card

Evaluating AMS1 Connect and power the hardware

a Plug the AMS101 evaluation card into the XADC header on your FPGA base board

Note Ensure the notch on the XADC header lines up correctly with the AMS101 evaluation card (see Figure 8)

b Power up the FPGA base board

2 Download the design to the FPGA Installation of ISE Programming tools or Lab Tools is required for downloading the bitstream to the FPGA

Refer to the Vivado Design Suite User Guide Programming and Debugging (UG908) at httpwwwxilinxcomsupportdocumentationdt_vivadohtm to obtain information on downloading the design to the FPGA

a Open the xadc_eval_designbit file from the AMS Targeted Reference Design files

3 Run the AMS101 Evaluator LabVIEW GUI executable from your desktop After loading the AMS Evaluator installer files a red X with the AMS Evaluator program should reside on your desktop

Note The AMS bitstream can also be loaded with ChipScope analyzer

The AMS101 Evaluator GUI is shown in Figure 9

X-Ref Target - Figure 8

Figure 8 KC705 Base Board with AMS101 Evaluation Card Plugged into XADC Header

UG883_08_121112

16 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

AMS Bring-up with the AMS101 Evaluation Card

The AMS Evaluator Tool allows designers to quickly evaluate the analog signals in the time domain frequency domain display linearity verify the XADC register settings and measure the internal temperature sensor and supply voltages

For a more extensive explanation of the AMS101 evaluation card and the applicable files refer to AMS101 Evaluation Platform User Guide (UG886)

X-Ref Target - Figure 9

Figure 9 AMS101 Evaluator GUI

UG883_09_121112

Select COM Port Here

KC705 Getting Started Guide wwwxilinxcom 17UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

Advanced Bring-up Using the Base Targeted Reference DesignThe primary components of the Kintex-7 FPGA Base TRD are

bull Integrated Endpoint block for PCI Express (PCIe) See 7 Series FPGAs Integrated Block for PCI Express User Guide (UG477)

bull Northwest Logic Packet DMA [Ref 5]

bull Multiport Virtual FIFO

The TRD system can sustain up to 10 Gbs throughput end to end

Figure 10 provides an overview of the TRD

Note In Figure 10 the arrows indicate AXI interface directions (from master to slave) They do not indicate data flow directions

ComponentsThe Kintex-7 FPGA Base TRD features these components

bull Kintex-7 FPGA integrated Endpoint block for PCI Express

bull Configured with 4 lanes at a 5 Gbs link rate (Gen2) or 8 lanes at a 25 Gbs link rate (Gen1) for PCI Express v20

bull Provides a user interface compliant with AXI4-Stream interface protocol

bull Performance monitor tracks the integrated blockrsquos AXI4-Stream interface for PCIe transactions

bull Bus Mastering Scatter-Gather Packet DMA from Northwest Logic a multichannel DMA

bull Supports full-duplex operation with independent transmit and receive paths

X-Ref Target - Figure 10

Figure 10 Kintex-7 FPGA Base TRD Block Diagram

UG883_10_121112

Multiport Virtual FIFO

DD

R3

IO

Software

Multi-ChannelDMA for PCIe DDR3

Cha

nnel

-0C

2SS

2CC

hann

el-1

S2C

C2S

64 x1600 Mbs

PC

Ie x

4Gen

2 Li

nk

PC

Ie x

8Gen

1 Li

nk

VFIFOController

SoftwareDriver

Interface Blocks in FPGA Third Party IPXilinx IP On BoardCustom Logic

AXI-ST AXI-MM

Hardware

VFIFOController

VFIFOController

VFIFOController

Raw Packet Data Block

Checker

Generator

Loop

back

Loop

back

Raw Packet Data Block

Generator

Checker

User SpaceRegisters

Target InterfaceAXI Master

256 x200 MHz

64 x

250

MH

z

64 x250 MHz

64 x250 MHz

64 x250 MHz

64 x250 MHz

PerformanceMonitor

GU

I

GT

X T

rans

ceiv

er

Inte

grat

ed E

ndpo

int B

lock

for

PC

I Exp

ress

AX

I-S

T B

asic

Wra

pper

AXIMIG

AXIInterconnect

SI SI

SI SIM

I

18 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

bull Provides an AXI4-Stream interface on the back end

bull Monitors the performance of data transfers in receive and transmit directions

bull Provides an AXI4 memory-mapped target interface to access user-defined registers

Note The Northwest Logic Packet DMA shipped with the Base TRD is an evaluation version and expires after 12 hours of run time To get the full version contact Northwest Logic [Ref 7]

bull Multiport Virtual FIFO

bull DDR3 SDRAM SODIMM (64-bit 1600 Mbs 800 MHz) is used for buffering packets The memory controller delivered through the memory interface generator (MIG) tool interfaces to the DDR3 memory

bull AXI Interconnect IP along with the memory controller supports multiple ports on the memory

bull The Packetized Virtual FIFO controller controls addressing of the DDR3 memory for each port allowing DDR3 to be used as Virtual Packet FIFO

bull Software driver for a 32-bit Linux platform

bull Configures the hardware design parameters

bull Generates and consumes traffic

bull Provides a GUI to report status and performance statistics

The Kintex-7 FPGA integrated Endpoint block for PCI Express and the Packet DMA are responsible for data transfers from host system to Endpoint card (S2C) and Endpoint card to host system (C2S) Data to and from the host is stored in a Virtual FIFO built around the DDR3 memory This Multiport Virtual FIFO abstraction layer around the DDR3 memory allows the user to move traffic efficiently without the need to manage addressing and arbitration on the memory interface It also provides more depth than storage implemented using Block RAMs

The integrated Endpoint block for PCI Express Packet DMA and Multiport Virtual FIFO form the base system The base system can bridge the host to any user application running on the other end The raw data packet module is a dummy application that generates and consumes packets It can be replaced by any user specific protocol like Aurora or XAUI

The software driver runs on the host system It generates raw data traffic for transmit operations in the S2C direction It also consumes the data looped back or generated at the application end in the C2S direction

Hardware Test Setup RequirementsThese are the prerequisites for testing the design in hardware

bull KC705 Evaluation board with the Kintex-7 FPGA XC7K325T-2FFG900C device

bull Design files provided as a zipped collection under the Docs amp Designs tab at wwwxilinxcomkc705 include

bull Design source files

bull Device driver files

bull Board design files

bull Documentation

bull Vivado Design Suite

bull ISE Programming tools or LabTools

KC705 Getting Started Guide wwwxilinxcom 19UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

bull Micro USB cable

bull 4-pin to 6-pin PCIe adapter cable

bull Fedora 16 Live DVD [Ref 6]

bull PC with PCIe v20 slot For a complete list of machines tested and all known issues refer to the Kintex-7 FPGA Base Targeted Reference Design Release Notes and Known Issues Master Answer Record (httpwwwxilinxcomsupportanswers45679htm) This PC could also have Fedora Core 16 Linux OS installed on it

TRD Demonstration SetupThis section describes hardware setup and use of the application GUI to help the user get started quickly with the design in hardware It provides a step-by-step explanation of hardware bring-up and describes using the application GUI

When following the demonstration setup steps for the Kintex-7 FPGA Base TRD if the behavior is not as described refer to the known issues at wwwxilinxcomsupportanswers45679htm

Board Setup

This section describes how to set up the KC705 Evaluation board required to demonstrate the TRD

1 Set the KC705 Jumpers and Switches Verify that the KC705 Evaluation board jumpers and switches are set as shown in Table 2 and Figure 11

Table 2 Switch and Jumper Settings

Jumper Function Setting

J32 PCIe configuration width mdash 4 lane design Jump 3-4

Switch Function or Type Setting

SW15 Board power slide-switch Off

SW11 User GPIO DIP switch

4 Off

3 Off

2 Off

1 Off

S13 DIP switch SW13 positions 1 and 2 control the setting of address bits of the flashDIP switch SW13 positions 3 4 and 5 control which configuration mode

5 (M0) M2 =0 M1=1 M0=0 ndash Master BPIM2 =0 M1=0 M0=1 ndash Master SPIM2 =1 M1=0 M0=1 ndash JTAG

Off

4 (M1) On

3 (M2) Off

2 Off

1 Off

20 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

Hardware Bring-Up

This section presents steps for hardware bring-up

1 With the host system switched off insert the KC705 board in the PCIe slot through the PCI Express x8 or x16 edge connector (Figure 12)

The TRD programmed on the KC705 board has a 4-lane PCIe v20 configuration running at a 5 Gbs link rate per lane The PCI Express specification allows for a smaller lane width Endpoint to be installed into a larger lane width PCIe connector

2 Figure 13 shows the 12V power connection Connect the 12V ATX power supplyrsquos available 4-pin connector to the board (J49) via a 4-pin to 6-pin PCIe adapter cable Toggle the Power switch SW15 to the ON position

X-Ref Target - Figure 11

Figure 11 Switch and Jumper Settings

UG883_11_040913

J27 J28

J29 J30SW13

J32

SW15SW11

X-Ref Target - Figure 12if

Figure 12 KC705 Board Plugged into a PCIe x16 Slot

UG883_12_040913

KC705 Getting Started Guide wwwxilinxcom 21UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

3 Make sure the connections are tight and then power on the PC system

Note If the user wishes to boot Linux from the Fedora 16 Live DVD place the DVD in the PCrsquos DVD-ROM drive as soon as the PC system is powered on

4 Verify the status of the design on the KC705 LEDs The design provides status on the GPIO LEDs on the upper right of the KC705 board (Figure 14) After the PC system is powered on and the TRD has successfully configured status LEDs from right to left should indicate

bull LED 0 mdash ON if the PCIe link is up

bull LED 1 mdash Flashes if the PCIe user clock is present

bull LED 2 mdash ON if lane width is what is expected else it flashes (for a 4 lane design the expected lane width is 4 for an 8 lane design the expected lane width is 8)

bull LED 3 mdash ON if memory calibration is done

bull LED 4 to LED 7 mdash Not connected

X-Ref Target - Figure 13

Figure 13 Power Supply Connection

UG883_13_121112

SW15

J49 6-pinConnector

12V ATX PowerSupply Pluggedinto the 4-pinConnector

22 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

Install the Linux Driver

1 If Fedora 16 is installed on the PC systemrsquos hard disk boot as a root-privileged user and skip to step 3 page 23

2 To boot from the Fedora 16 Live DVD provided in the kit place the DVD in the PCrsquos DVD-ROM drive The Fedora 16 Live Media is for Intel-compatible PCs The DVD contains a complete bootable 32-bit Fedora 16 environment with the proper packages installed for the TRD demonstration environment For more details see Fedora Information page 2 The PC boots from the DVD-ROM drive and logs into a liveuser account This account has kernel development root privileges required to install and remove device driver modules

Note Users might have to adjust BIOS boot order settings to make sure that the DVD-ROM drive is the first drive in the boot order To enter the BIOS menu to set the boot order press the DEL or F2 key when the system is powered on Set the boot order and save the changes (The DEL or F2 key is used by most PC systems to enter the BIOS setup Some PCs might have a different way to enter the BIOS setup)

The PC should boot from the DVD-ROM drive The images in Figure 15 are seen on the monitor during boot up

X-Ref Target - Figure 14

Figure 14 Location of GPIO Status LEDs (Indicates TRD Status)

UG883_14_121112

KC705 Getting Started Guide wwwxilinxcom 23UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

3 After Fedora 16 Core boots open a terminal window (click Activities click Application scroll down and click the Terminal icon) To find out if the PCIe Endpoint is detected at the terminal command line type

$ lspci

The lspci command displays the devices in the PCI and PCI Express buses of the PC On the bus of the KC705 card slot is the message

Communication controller Xilinx Corporation Device 7042

This message confirms that the design programmed into the KC705 board has been found by the BIOS and the Fedora 16 OS The bus number varies depending on which PC motherboard and slot are used Figure 16 shows a lspci output for an example system Xilinx device 7042 has been found by the BIOS on bus number 2 (02000 - busdevfunction)

X-Ref Target - Figure 15

Figure 15 Fedora 16 Live DVD BootingFirst Screen Last Boot Screen Booted

UG883 15 040913

X-Ref Target - Figure 16

Figure 16 PCI and PCI Express Bus Devices

UG883_16_040913

24 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

4 Download the reference design from the Docs amp Designs tab at wwwxilinxcomkc705 and copy the k7_pcie_dma_ddr3_base folder into any directory

5 To set up and run the TRD demonstration the software driver should be installed on the PC system Software driver installation involves

a Building the kernel objects and the GUI

b Inserting the driver modules into the kernel

After the driver modules are loaded the application GUI can be invoked The user can set parameters through the GUI and run the TRD

When the user is done running the TRD the application GUI can be closed and the drivers can be removed

A script is provided to execute all the above actions so that the user can quickly start the TRD

The k7_trd_lin_quickstart script is available in the k7_pcie_dma_ddr3_base folder Right click the script select properties go to the permissions tab check the box Allow executing file as programmdashthis makes the script executable Close the window

To run the script double-click k7_trd_lin_quickstart in the k7_pcie_dma_ddr3_base folder (Figure 17) The window prompt in Figure 18 appears

X-Ref Target - Figure 17

Figure 17 Load Driver and Launch Application GUI

UG883_17_121112

KC705 Getting Started Guide wwwxilinxcom 25UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

Click Run in Terminal to proceed The application GUI is invoked

Proceed to the next section Using the Application GUI to set design parameters and run the TRD

X-Ref Target - Figure 18

Figure 18 Run in Terminal

UG883_18_040913

26 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

Using the Application GUI

When the drivers are loaded and the Performance Monitor GUI is invoked the user can configure the sending and receiving of data The GUI allows the user to observe the collected statistics and other status information

1 Click the System Status tab to verify the status of the KC705 board and the PCIe link (see Figure 19 and Table 3)

X-Ref Target - Figure 19

Figure 19 Verify Board Status in the Performance Monitor

UG883_19_121112

KC705 Getting Started Guide wwwxilinxcom 27UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

2 To start data traffic on the two data paths

a Click Start Test on Raw Data Path0 as shown in Figure 20 This enables the driver to start generating the data for Raw Data Path0

b Click Start Test on Raw Data Path1 as shown in Figure 20 This enables the driver to start generating the data for Raw Data Path1

Table 3 KC705 Board Status Field Explanations

Field Status Explanation

Link Status Up This confirms that the PCIe link is up and a PCIe connection is established between the Kintex-7 FPGA Endpoint for PCI Express and the PC motherboard chipset

Link Speed 50 Gbs This confirms that the PCIe link is operating at line rate speed per PCI Express v20

Link Width x4 This confirms that the PCIe link is trained as a x4 link

28 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

3 Verify TRD operations through the status information provided by the GUI (see Figure 21)

a Verify the PCIe throughput

b Verify the DMA channel throughput for the Raw Data Path0

c Verify the DMA channel throughput for the Raw Data Path1

d Verify there are no buffer descriptor errors for error-free operation

X-Ref Target - Figure 20

Figure 20 Start Data Traffic from the Performance Monitor

UG883_20_121112

KC705 Getting Started Guide wwwxilinxcom 29UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

The Kintex-7 FPGA PCIe-DMA TRD is now set up and running Close the Application GUI to unload the software drivers and stop traffic flow

X-Ref Target - Figure 21

Figure 21 Verify Error-Free Operation in the Performance Monitor

UG883_21_121112

30 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

Evaluating the Kintex-7 FPGA Base TRDThe Kintex-7 FPGA Base TRD provides a Performance and Status monitor application and GUI The application enables customers to evaluate different system parameters This section demonstrates performance variances for the PCI Express and DMA interfaces based on the parameters set

To evaluate the Kintex-7 FPGA Base TRD

1 Launch the Performance Monitor for the Kintex-7 FPGA Base TRD

a Navigate to the k7_pcie_dma_ddr3_base folder

b Double-click k7_lin_trd_quickstart (Figure 22)mdashmake sure that the script has executable permissionmdashto launch the Performance Monitor and Status GUI

c A window prompt appears as shown in Figure 23 Click Run in Terminal to proceed

2 Set up the test parameters in the Performance Monitor

a Two data paths are available Raw Data Path0 and Raw Data Path1 On each path set the Packet Size to a value between 64 ndash 32768 bytes

X-Ref Target - Figure 22

Figure 22 Launch the Performance Monitor and Status GUI

UG883_22_121112

X-Ref Target - Figure 23

Figure 23 Run k7_lin_trd_quickstart

UG883_23_121112

KC705 Getting Started Guide wwwxilinxcom 31UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

3 Execute the test and view payload statistics in the Performance and Status Monitor (see Figure 24)

a Click Start Test to start the performance test

b Click the Payload Statistics tab to view data transfers on the DMA channels

c Click Stop Test to stop data traffic

d Vary the Packet Size parameters for the Raw Data Paths (see Figure 25) and click Start Test Then view the payload statistics to review data transfer rate on the DMA channels With a decrease in packet size the performance drops

Note Before changing packet size click Stop Test change the size and then click Start Test

X-Ref Target - Figure 24

Figure 24 Payload Statistics

UG883_24_121112

32 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

Note For packet sizes equal to 64 or 128 bytes the throughput is reduced and might not be visible on the Payload Statistics tab The exact values can be viewed on the System Status tab

4 Click the PCIe Statistics tab to view data transfer numbers with varying packet sizes on the PCIe interface (Figure 26)

X-Ref Target - Figure 25

Figure 25 Effect of Varying Packet Sizes on Performance

UG883_25_121112

KC705 Getting Started Guide wwwxilinxcom 33UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

The system performance of the Kintex-7 FPGA Base TRD has now been evaluated using the pre-built demonstration design bit file

Now that the Kintex-7 FPGA Base TRD demonstration has been set up and evaluated the design can be modified Before the design can be modified make sure to install the Vivado Design Suite on a PC It is not required that tools be installed on the PC system in which the KC705 evaluation board is plugged in by way of the PCIe edge connector

X-Ref Target - Figure 26

Figure 26 PCIe Statistics in the Performance Monitor

UG883_26_121112

34 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

KC705 Getting Started Guide wwwxilinxcom 35UG883 (v30) April 16 2013

Appendix A

Additional Resources

Xilinx ResourcesFor support resources such as Answers Documentation Downloads and Forums see the Xilinx Support website at

wwwxilinxcomsupport

For continual updates add the Answer Record to your myAlerts

wwwxilinxcomsupportmyalerts

For a glossary of technical terms used in Xilinx documentation see

wwwxilinxcomcompanytermshtm

Solution CentersSee the Xilinx Solution Centers for support on devices software tools and intellectual property at all stages of the design cycle Topics include design assistance advisories and troubleshooting tips

Further ResourcesThe most up to date information related to the KC705 board and its documentation is available on the following websites

The Kintex-7 FPGA KC705 Evaluation Kit Product Page

wwwxilinxcomkc705

The Kintex-7 FPGA KC705 Evaluation Kit Master Answer Record

wwwxilinxcomsupportanswers47787htm

Design advisories by software release for the Kintex-7 FPGA KC705 Evaluation Kit

wwwxilinxcomsupportnav=sd-nav-link-179661amptab=tab-bk

Kintex-7 FPGA Base Targeted Reference Design Release Notes and Known Issues Master Answer Record

wwwxilinxcomsupportanswers45679htm

These documents provide supplemental material useful with this user guide

UG810 KC705 Evaluation Board for the Kintex-7 FPGA User Guide

UG845 KC705 Reference Design User Guide

36 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Appendix A Additional Resources

UG798 Xilinx Design Tools Installation and Licensing Guide Vivado Design Suite and ISE Design Suite

UG908 Vivado Design Suite User Guide Programming and Debugging

UG882 Kintex-7 FPGA KC705 Base Targeted Reference Design User Guide (Vivado Design Suite 20124)

UG886 AMS101 Evaluation Card User Guide

UG477 7 Series FPGAs Integrated Block for PCI Express User Guide

ReferencesThe following websites provide supplemental material useful with this guide

1 LabVIEW 32-bit Run-Time Enginehttpjoulenicomniducdsviewpid2534langen

2 LabVIEW 64-bit Run-Time Engine httpjoulenicomniducdsviewpid2536langen

3 Tera Term Pro program httphpvectorcojpauthorsVA002416teratermhtml

4 Drivers on the Silicon Labs site httpwwwsilabscomSupport20DocumentsSoftwareCP210x_VCP_Win_XP_S2K3_Vista_7exe

5 Northwest Logic DMA back end core httpwwwnwlogiccompacketdma

6 Fedora project httpfedoraprojectorg

Fedora is a Linux-based operating system used in the development of this TRD

7 The GTK+ project API documentation httpwwwgtkorgdocumentationphp

GTK+ is a toolkit for creating graphical user interfaces (GUI)

KC705 Getting Started Guide wwwxilinxcom 37UG883 (v30) April 16 2013

Appendix B

Warranty

THIS LIMITED WARRANTY applies solely to standard hardware development boards and standard hardware programming cables manufactured by or on behalf of Xilinx (ldquoDevelopment Systemsrdquo) Subject to the limitations herein Xilinx warrants that Development Systems when delivered by Xilinx or its authorized distributor for ninety (90) days following the delivery date will be free from defects in material and workmanship and will substantially conform to Xilinx publicly available specifications for such products in effect at the time of delivery This limited warranty excludes (i) engineering samples or beta versions of Development Systems (which are provided ldquoAS ISrdquo without warranty) (ii) design defects or errors known as ldquoerratardquo (iii) Development Systems procured through unauthorized third parties and (iv) Development Systems that have been subject to misuse mishandling accident alteration neglect unauthorized repair or installation Furthermore this limited warranty shall not apply to the use of covered products in an application or environment that is not within Xilinx specifications or in the event of any act error neglect or default of Customer For any breach by Xilinx of this limited warranty the exclusive remedy of Customer and the sole liability of Xilinx shall be at the option of Xilinx to replace or repair the affected products or to refund to Customer the price of the affected products The availability of replacement products is subject to product discontinuation policies at Xilinx Customer may not return product without first obtaining a customer return material authorization (RMA) number from XilinxTHE WARRANTIES SET FORTH HEREIN ARE EXCLUSIVE XILINX DISCLAIMS ALL OTHER WARRANTIES WHETHER EXPRESS IMPLIED OR STATUTORY INCLUDING WITHOUT LIMITATION ANY WARRANTY OF MERCHANTABILITY FITNESS FOR A PARTICULAR PURPOSE OR NON-INFRINGEMENT AND ANY WARRANTY THAT MAY ARISE FROM COURSE OF DEALING COURSE OF PERFORMANCE OR USAGE OF TRADE (200810)

Do not throw Xilinx products marked with the ldquocrossed out wheeled binrdquo in the trash Directive 200296EC on waste electrical and electronic equipment (WEEE) requires the separate collection of WEEE Your cooperation is essential in ensuring the proper management of WEEE and the protection of the environment and human health from potential effects arising from the presence of hazardous substances in WEEE Return the marked products to Xilinx for proper disposal Further information and instructions for free-of-charge return available at httpwwwxilinxcomehsweeehtm

38 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Appendix B Warranty

  • Kintex-7 FPGA KC705 Evaluation Kit (Vivado Design Suite 20131)
    • Revision History
    • Table of Contents
    • Getting Started with the Kintex-7 FPGA KC705 Evaluation Kit (Vivado Design Suite 20131)
      • Introduction
      • Kit Contents
      • Basic Hardware Bring-up Using the BIST
        • Hardware Test Setup Requirements
          • Hardware Test Board Setup Requirements
            • Hardware Bring-Up
            • Run the BIST Application
              • AMS Bring-up with the AMS101 Evaluation Card
                • Requirements to Get Started
                • Evaluating AMS
                  • Advanced Bring-up Using the Base Targeted Reference Design
                    • Components
                    • Hardware Test Setup Requirements
                    • TRD Demonstration Setup
                    • Evaluating the Kintex-7 FPGA Base TRD
                        • Additional Resources
                          • Xilinx Resources
                          • Solution Centers
                          • Further Resources
                          • References
                            • Warranty
                                • ltlt ASCII85EncodePages false AllowTransparency false AutoPositionEPSFiles true AutoRotatePages All Binding Left CalGrayProfile (Dot Gain 20) CalRGBProfile (sRGB IEC61966-21) CalCMYKProfile (US Web Coated 050SWOP051 v2) sRGBProfile (sRGB IEC61966-21) CannotEmbedFontPolicy Warning CompatibilityLevel 16 CompressObjects Tags CompressPages true ConvertImagesToIndexed true PassThroughJPEGImages true CreateJobTicket false DefaultRenderingIntent Default DetectBlends true DetectCurves 00000 ColorConversionStrategy LeaveColorUnchanged DoThumbnails false EmbedAllFonts true EmbedOpenType false ParseICCProfilesInComments true EmbedJobOptions true DSCReportingLevel 0 EmitDSCWarnings false EndPage -1 ImageMemory 1048576 LockDistillerParams true MaxSubsetPct 100 Optimize true OPM 1 ParseDSCComments true ParseDSCCommentsForDocInfo true PreserveCopyPage true PreserveDICMYKValues true PreserveEPSInfo true PreserveFlatness true PreserveHalftoneInfo false PreserveOPIComments false PreserveOverprintSettings true StartPage 1 SubsetFonts true TransferFunctionInfo Apply UCRandBGInfo Preserve UsePrologue false ColorSettingsFile () AlwaysEmbed [ true ] NeverEmbed [ true ] AntiAliasColorImages false CropColorImages true ColorImageMinResolution 300 ColorImageMinResolutionPolicy OK DownsampleColorImages false ColorImageDownsampleType Bicubic ColorImageResolution 300 ColorImageDepth -1 ColorImageMinDownsampleDepth 1 ColorImageDownsampleThreshold 150000 EncodeColorImages true ColorImageFilter DCTEncode AutoFilterColorImages true ColorImageAutoFilterStrategy JPEG ColorACSImageDict ltlt QFactor 040 HSamples [1 1 1 1] VSamples [1 1 1 1] gtgt ColorImageDict ltlt QFactor 015 HSamples [1 1 1 1] VSamples [1 1 1 1] gtgt JPEG2000ColorACSImageDict ltlt TileWidth 256 TileHeight 256 Quality 30 gtgt JPEG2000ColorImageDict ltlt TileWidth 256 TileHeight 256 Quality 30 gtgt AntiAliasGrayImages false CropGrayImages true GrayImageMinResolution 300 GrayImageMinResolutionPolicy OK DownsampleGrayImages false GrayImageDownsampleType Bicubic GrayImageResolution 300 GrayImageDepth -1 GrayImageMinDownsampleDepth 2 GrayImageDownsampleThreshold 150000 EncodeGrayImages true GrayImageFilter DCTEncode AutoFilterGrayImages true GrayImageAutoFilterStrategy JPEG GrayACSImageDict ltlt QFactor 040 HSamples [1 1 1 1] VSamples [1 1 1 1] gtgt GrayImageDict ltlt QFactor 015 HSamples [1 1 1 1] VSamples [1 1 1 1] gtgt JPEG2000GrayACSImageDict ltlt TileWidth 256 TileHeight 256 Quality 30 gtgt JPEG2000GrayImageDict ltlt TileWidth 256 TileHeight 256 Quality 30 gtgt AntiAliasMonoImages false CropMonoImages true MonoImageMinResolution 1200 MonoImageMinResolutionPolicy OK DownsampleMonoImages false MonoImageDownsampleType Bicubic MonoImageResolution 1200 MonoImageDepth -1 MonoImageDownsampleThreshold 150000 EncodeMonoImages true MonoImageFilter CCITTFaxEncode MonoImageDict ltlt K -1 gtgt AllowPSXObjects false CheckCompliance [ None ] PDFX1aCheck false PDFX3Check false PDFXCompliantPDFOnly false PDFXNoTrimBoxError true PDFXTrimBoxToMediaBoxOffset [ 000000 000000 000000 000000 ] PDFXSetBleedBoxToMediaBox true PDFXBleedBoxToTrimBoxOffset [ 000000 000000 000000 000000 ] PDFXOutputIntentProfile (None) PDFXOutputConditionIdentifier () PDFXOutputCondition () PDFXRegistryName () PDFXTrapped False CreateJDFFile false Description ltlt ARA ltFEFF06270633062A062E062F0645002006470630064700200627064406250639062F0627062F0627062A002006440625064606340627062100200648062B062706260642002000410064006F00620065002000500044004600200645062A0648062706410642062900200644064406370628062706390629002006300627062A002006270644062C0648062F0629002006270644063906270644064A06290020064506460020062E06440627064400200627064406370627062806390627062A00200627064406450643062A0628064A062900200623064800200623062C06470632062900200625062C06310627062100200627064406280631064806410627062A061B0020064A06450643064600200641062A062D00200648062B0627062606420020005000440046002006270644064506460634062306290020062806270633062A062E062F062706450020004100630072006F0062006100740020064800410064006F006200650020005200650061006400650072002006250635062F0627063100200035002E0030002006480627064406250635062F062706310627062A0020062706440623062D062F062B002E0020064506390020005000440046002F0041060C0020062706440631062C062706210020064506310627062C063906290020062F0644064A0644002006450633062A062E062F06450020004100630072006F006200610074061B0020064A06450643064600200641062A062D00200648062B0627062606420020005000440046002006270644064506460634062306290020062806270633062A062E062F062706450020004100630072006F0062006100740020064800410064006F006200650020005200650061006400650072002006250635062F0627063100200035002E0030002006480627064406250635062F062706310627062A0020062706440623062D062F062B002Egt BGR ltFEFF04180437043f043e043b043704320430043904420435002004420435043704380020043d0430044104420440043e0439043a0438002c00200437043000200434043000200441044a0437043404300432043004420435002000410064006f00620065002000500044004600200434043e043a0443043c0435043d044204380020043704300020043a0430044704350441044204320435043d0020043f04350447043004420020043d04300020043d043004410442043e043b043d04380020043f04400438043d04420435044004380020043800200443044104420440043e043904410442043204300020043704300020043f04350447043004420020043d04300020043f0440043e0431043d04380020044004300437043f0435044704300442043a0438002e002000200421044a04370434043004340435043d043804420435002000500044004600200434043e043a0443043c0435043d044204380020043c043e0433043004420020043404300020044104350020043e0442043204300440044f0442002004410020004100630072006f00620061007400200438002000410064006f00620065002000520065006100640065007200200035002e00300020043800200441043b0435043404320430044904380020043204350440044104380438002egt CHS ltFEFF4f7f75288fd94e9b8bbe5b9a521b5efa7684002000500044004600206587686353ef901a8fc7684c976262535370673a548c002000700072006f006f00660065007200208fdb884c9ad88d2891cf62535370300260a853ef4ee54f7f75280020004100630072006f0062006100740020548c002000410064006f00620065002000520065006100640065007200200035002e003000204ee553ca66f49ad87248672c676562535f00521b5efa768400200050004400460020658768633002gt CHT ltFEFF4f7f752890194e9b8a2d7f6e5efa7acb7684002000410064006f006200650020005000440046002065874ef653ef5728684c9762537088686a5f548c002000700072006f006f00660065007200204e0a73725f979ad854c18cea7684521753706548679c300260a853ef4ee54f7f75280020004100630072006f0062006100740020548c002000410064006f00620065002000520065006100640065007200200035002e003000204ee553ca66f49ad87248672c4f86958b555f5df25efa7acb76840020005000440046002065874ef63002gt CZE ltFEFF005400610074006f0020006e006100730074006100760065006e00ed00200070006f0075017e0069006a007400650020006b0020007600790074007600e101590065006e00ed00200064006f006b0075006d0065006e0074016f002000410064006f006200650020005000440046002000700072006f0020006b00760061006c00690074006e00ed0020007400690073006b0020006e0061002000730074006f006c006e00ed006300680020007400690073006b00e10072006e00e100630068002000610020006e00e1007400690073006b006f007600fd006300680020007a0061015900ed007a0065006e00ed00630068002e002000200056007900740076006f01590065006e00e900200064006f006b0075006d0065006e007400790020005000440046002000620075006400650020006d006f017e006e00e90020006f007400650076015900ed007400200076002000700072006f006700720061006d0065006300680020004100630072006f00620061007400200061002000410064006f00620065002000520065006100640065007200200035002e0030002000610020006e006f0076011b006a016100ed00630068002egt DAN ltFEFF004200720075006700200069006e0064007300740069006c006c0069006e006700650072006e0065002000740069006c0020006100740020006f007000720065007400740065002000410064006f006200650020005000440046002d0064006f006b0075006d0065006e007400650072002000740069006c0020006b00760061006c00690074006500740073007500640073006b007200690076006e0069006e006700200065006c006c006500720020006b006f007200720065006b007400750072006c00e60073006e0069006e0067002e0020004400650020006f007000720065007400740065006400650020005000440046002d0064006f006b0075006d0065006e0074006500720020006b0061006e002000e50062006e00650073002000690020004100630072006f00620061007400200065006c006c006500720020004100630072006f006200610074002000520065006100640065007200200035002e00300020006f00670020006e0079006500720065002egt DEU ltFEFF00560065007200770065006e00640065006e0020005300690065002000640069006500730065002000450069006e007300740065006c006c0075006e00670065006e0020007a0075006d002000450072007300740065006c006c0065006e00200076006f006e002000410064006f006200650020005000440046002d0044006f006b0075006d0065006e00740065006e002c00200076006f006e002000640065006e0065006e002000530069006500200068006f00630068007700650072007400690067006500200044007200750063006b006500200061007500660020004400650073006b0074006f0070002d0044007200750063006b00650072006e00200075006e0064002000500072006f006f0066002d00470065007200e400740065006e002000650072007a0065007500670065006e0020006d00f60063006800740065006e002e002000450072007300740065006c006c007400650020005000440046002d0044006f006b0075006d0065006e007400650020006b00f6006e006e0065006e0020006d006900740020004100630072006f00620061007400200075006e0064002000410064006f00620065002000520065006100640065007200200035002e00300020006f0064006500720020006800f600680065007200200067006500f600660066006e00650074002000770065007200640065006e002egt ESP ltFEFF005500740069006c0069006300650020006500730074006100200063006f006e0066006900670075007200610063006900f3006e0020007000610072006100200063007200650061007200200064006f00630075006d0065006e0074006f0073002000640065002000410064006f0062006500200050004400460020007000610072006100200063006f006e00730065006700750069007200200069006d0070007200650073006900f3006e002000640065002000630061006c006900640061006400200065006e00200069006d0070007200650073006f0072006100730020006400650020006500730063007200690074006f00720069006f00200079002000680065007200720061006d00690065006e00740061007300200064006500200063006f00720072006500630063006900f3006e002e002000530065002000700075006500640065006e00200061006200720069007200200064006f00630075006d0065006e0074006f00730020005000440046002000630072006500610064006f007300200063006f006e0020004100630072006f006200610074002c002000410064006f00620065002000520065006100640065007200200035002e003000200079002000760065007200730069006f006e0065007300200070006f00730074006500720069006f007200650073002egt ETI ltFEFF004b00610073007500740061006700650020006e0065006900640020007300e4007400740065006900640020006c006100750061002d0020006a00610020006b006f006e00740072006f006c006c007400f5006d006d006900730065007000720069006e0074006500720069007400650020006a0061006f006b00730020006b00760061006c006900740065006500740073006500740065002000410064006f006200650020005000440046002d0064006f006b0075006d0065006e00740069006400650020006c006f006f006d006900730065006b0073002e002e00200020004c006f006f0064007500640020005000440046002d0064006f006b0075006d0065006e00740065002000730061006100740065002000610076006100640061002000700072006f006700720061006d006d006900640065006700610020004100630072006f0062006100740020006e0069006e0067002000410064006f00620065002000520065006100640065007200200035002e00300020006a00610020007500750065006d006100740065002000760065007200730069006f006f006e00690064006500670061002e000d000agt FRA ltFEFF005500740069006c006900730065007a00200063006500730020006f007000740069006f006e00730020006100660069006e00200064006500200063007200e900650072002000640065007300200064006f00630075006d0065006e00740073002000410064006f00620065002000500044004600200070006f007500720020006400650073002000e90070007200650075007600650073002000650074002000640065007300200069006d007000720065007300730069006f006e00730020006400650020006800610075007400650020007100750061006c0069007400e90020007300750072002000640065007300200069006d007000720069006d0061006e0074006500730020006400650020006200750072006500610075002e0020004c0065007300200064006f00630075006d0065006e00740073002000500044004600200063007200e900e90073002000700065007500760065006e0074002000ea0074007200650020006f007500760065007200740073002000640061006e00730020004100630072006f006200610074002c002000610069006e00730069002000710075002700410064006f00620065002000520065006100640065007200200035002e0030002000650074002000760065007200730069006f006e007300200075006c007400e90072006900650075007200650073002egt GRE ltFEFF03a703c103b703c303b903bc03bf03c003bf03b903ae03c303c403b5002003b103c503c403ad03c2002003c403b903c2002003c103c503b803bc03af03c303b503b903c2002003b303b903b1002003bd03b1002003b403b703bc03b903bf03c503c103b303ae03c303b503c403b5002003ad03b303b303c103b103c603b1002000410064006f006200650020005000440046002003b303b903b1002003b503ba03c403cd03c003c903c303b7002003c003bf03b903cc03c403b703c403b103c2002003c303b5002003b503ba03c403c503c003c903c403ad03c2002003b303c103b103c603b503af03bf03c5002003ba03b103b9002003b403bf03ba03b903bc03b103c303c403ad03c2002e0020002003a403b10020005000440046002003ad03b303b303c103b103c603b1002003c003bf03c5002003ad03c703b503c403b5002003b403b703bc03b903bf03c503c103b303ae03c303b503b9002003bc03c003bf03c103bf03cd03bd002003bd03b1002003b103bd03bf03b903c703c403bf03cd03bd002003bc03b5002003c403bf0020004100630072006f006200610074002c002003c403bf002000410064006f006200650020005200650061006400650072002000200035002e0030002003ba03b103b9002003bc03b503c403b103b303b503bd03ad03c303c403b503c103b503c2002003b503ba03b403cc03c303b503b903c2002egt HEB ltFEFF05D405E905EA05DE05E905D5002005D105D405D205D305E805D505EA002005D005DC05D4002005DB05D305D9002005DC05D905E605D505E8002005DE05E105DE05DB05D9002000410064006F006200650020005000440046002005E205D105D505E8002005D405D305E405E105D4002005D005D905DB05D505EA05D905EA002005D105DE05D305E405E105D505EA002005E905D505DC05D705E005D905D505EA002005D505DB05DC05D9002005D405D205D405D4002E002005DE05E105DE05DB05D9002005D4002D005000440046002005E905E005D505E605E805D905DD002005E005D905EA05E005D905DD002005DC05E405EA05D905D705D4002005D105D005DE05E605E205D505EA0020004100630072006F006200610074002005D5002D00410064006F00620065002000520065006100640065007200200035002E0030002005D505D205E805E105D005D505EA002005DE05EA05E705D305DE05D505EA002005D905D505EA05E8002Egt HRV ltFEFF005a00610020007300740076006100720061006e006a0065002000410064006f00620065002000500044004600200064006f006b0075006d0065006e0061007400610020007a00610020006b00760061006c00690074006500740061006e0020006900730070006900730020006e006100200070006900730061010d0069006d006100200069006c0069002000700072006f006f006600650072002000750072006501110061006a0069006d0061002e00200020005300740076006f00720065006e0069002000500044004600200064006f006b0075006d0065006e007400690020006d006f006700750020007300650020006f00740076006f00720069007400690020004100630072006f00620061007400200069002000410064006f00620065002000520065006100640065007200200035002e0030002000690020006b00610073006e0069006a0069006d0020007600650072007a0069006a0061006d0061002egt HUN ltFEFF004d0069006e0151007300e9006700690020006e0079006f006d00610074006f006b0020006b00e90073007a00ed007400e9007300e900680065007a002000610073007a00740061006c00690020006e0079006f006d00740061007400f3006b006f006e002000e9007300200070007200f300620061006e0079006f006d00f3006b006f006e00200065007a0065006b006b0065006c0020006100200062006500e1006c006c00ed007400e10073006f006b006b0061006c002c00200068006f007a007a006f006e0020006c00e9007400720065002000410064006f00620065002000500044004600200064006f006b0075006d0065006e00740075006d006f006b00610074002e0020002000410020006c00e90074007200650068006f007a006f00740074002000500044004600200064006f006b0075006d0065006e00740075006d006f006b00200061007a0020004100630072006f006200610074002c00200061007a002000410064006f00620065002000520065006100640065007200200035002e0030002000e9007300200061007a002000610074007400f3006c0020006b00e9007301510062006200690020007600650072007a006900f3006b006b0061006c00200020006e00790069007400680061007400f3006b0020006d00650067002egt ITA ltFEFF005500740069006c0069007a007a006100720065002000710075006500730074006500200069006d0070006f007300740061007a0069006f006e00690020007000650072002000630072006500610072006500200064006f00630075006d0065006e00740069002000410064006f006200650020005000440046002000700065007200200075006e00610020007300740061006d007000610020006400690020007100750061006c0069007400e00020007300750020007300740061006d00700061006e0074006900200065002000700072006f006f0066006500720020006400650073006b0074006f0070002e0020004900200064006f00630075006d0065006e007400690020005000440046002000630072006500610074006900200070006f00730073006f006e006f0020006500730073006500720065002000610070006500720074006900200063006f006e0020004100630072006f00620061007400200065002000410064006f00620065002000520065006100640065007200200035002e003000200065002000760065007200730069006f006e006900200073007500630063006500730073006900760065002egt JPN ltFEFF9ad854c18cea51fa529b7528002000410064006f0062006500200050004400460020658766f8306e4f5c6210306b4f7f75283057307e30593002537052376642306e753b8cea3092670059279650306b4fdd306430533068304c3067304d307e3059300230c730b930af30c830c330d730d730ea30f330bf3067306e53705237307e305f306f30d730eb30fc30d57528306b9069305730663044307e305930023053306e8a2d5b9a30674f5c62103055308c305f0020005000440046002030d530a130a430eb306f3001004100630072006f0062006100740020304a30883073002000410064006f00620065002000520065006100640065007200200035002e003000204ee5964d3067958b304f30533068304c3067304d307e30593002gt KOR ltFEFFc7740020c124c815c7440020c0acc6a9d558c5ec0020b370c2a4d06cd0d10020d504b9b0d1300020bc0f0020ad50c815ae30c5d0c11c0020ace0d488c9c8b85c0020c778c1c4d560002000410064006f0062006500200050004400460020bb38c11cb97c0020c791c131d569b2c8b2e4002e0020c774b807ac8c0020c791c131b41c00200050004400460020bb38c11cb2940020004100630072006f0062006100740020bc0f002000410064006f00620065002000520065006100640065007200200035002e00300020c774c0c1c5d0c11c0020c5f40020c2180020c788c2b5b2c8b2e4002egt LTH ltFEFF004e006100750064006f006b0069007400650020016100690075006f007300200070006100720061006d006500740072007500730020006e006f0072011700640061006d00690020006b0075007200740069002000410064006f00620065002000500044004600200064006f006b0075006d0065006e007400750073002c0020006b007500720069006500200073006b00690072007400690020006b006f006b0079006200690161006b0061006900200073007000610075007300640069006e007400690020007300740061006c0069006e0069006100690073002000690072002000620061006e00640079006d006f00200073007000610075007300640069006e007400750076006100690073002e0020002000530075006b0075007200740069002000500044004600200064006f006b0075006d0065006e007400610069002000670061006c006900200062016b007400690020006100740069006400610072006f006d00690020004100630072006f006200610074002000690072002000410064006f00620065002000520065006100640065007200200035002e0030002000610072002000760117006c00650073006e0117006d00690073002000760065007200730069006a006f006d00690073002egt LVI ltFEFF0049007a006d0061006e0074006f006a00690065007400200161006f00730020006900650073007400610074012b006a0075006d00750073002c0020006c0061006900200069007a0076006500690064006f00740075002000410064006f00620065002000500044004600200064006f006b0075006d0065006e0074007500730020006b00760061006c0069007400610074012b0076006100690020006400720075006b010101610061006e00610069002000610072002000670061006c006400610020007000720069006e00740065007200690065006d00200075006e0020007000610072006100750067006e006f00760069006c006b0075006d0075002000690065007300700069006500640113006a00690065006d002e00200049007a0076006500690064006f006a006900650074002000500044004600200064006f006b0075006d0065006e007400750073002c0020006b006f002000760061007200200061007400760113007200740020006100720020004100630072006f00620061007400200075006e002000410064006f00620065002000520065006100640065007200200035002e0030002c0020006b0101002000610072012b00200074006f0020006a00610075006e0101006b0101006d002000760065007200730069006a0101006d002egt NLD (Gebruik deze instellingen om Adobe PDF-documenten te maken voor kwaliteitsafdrukken op desktopprinters en proofers De gemaakte PDF-documenten kunnen worden geopend met Acrobat en Adobe Reader 50 en hoger) NOR ltFEFF004200720075006b00200064006900730073006500200069006e006e007300740069006c006c0069006e00670065006e0065002000740069006c002000e50020006f0070007000720065007400740065002000410064006f006200650020005000440046002d0064006f006b0075006d0065006e00740065007200200066006f00720020007500740073006b00720069006600740020006100760020006800f800790020006b00760061006c00690074006500740020007000e500200062006f007200640073006b0072006900760065007200200065006c006c00650072002000700072006f006f006600650072002e0020005000440046002d0064006f006b0075006d0065006e00740065006e00650020006b0061006e002000e50070006e00650073002000690020004100630072006f00620061007400200065006c006c00650072002000410064006f00620065002000520065006100640065007200200035002e003000200065006c006c00650072002000730065006e006500720065002egt POL ltFEFF0055007300740061007700690065006e0069006100200064006f002000740077006f0072007a0065006e0069006100200064006f006b0075006d0065006e007400f3007700200050004400460020007a002000770079017c0073007a010500200072006f007a0064007a00690065006c0063007a006f015b0063006901050020006f006200720061007a006b00f30077002c0020007a0061007000650077006e00690061006a0105006301050020006c006500700073007a01050020006a0061006b006f015b0107002000770079006400720075006b00f30077002e00200044006f006b0075006d0065006e0074007900200050004400460020006d006f017c006e00610020006f007400770069006500720061010700200077002000700072006f006700720061006d006900650020004100630072006f00620061007400200069002000410064006f00620065002000520065006100640065007200200035002e0030002000690020006e006f00770073007a0079006d002egt PTB ltFEFF005500740069006c0069007a006500200065007300730061007300200063006f006e00660069006700750072006100e700f50065007300200064006500200066006f0072006d00610020006100200063007200690061007200200064006f00630075006d0065006e0074006f0073002000410064006f0062006500200050004400460020007000610072006100200069006d0070007200650073007300f5006500730020006400650020007100750061006c0069006400610064006500200065006d00200069006d00700072006500730073006f0072006100730020006400650073006b0074006f00700020006500200064006900730070006f00730069007400690076006f0073002000640065002000700072006f00760061002e0020004f007300200064006f00630075006d0065006e0074006f00730020005000440046002000630072006900610064006f007300200070006f00640065006d0020007300650072002000610062006500720074006f007300200063006f006d0020006f0020004100630072006f006200610074002000650020006f002000410064006f00620065002000520065006100640065007200200035002e0030002000650020007600650072007300f50065007300200070006f00730074006500720069006f007200650073002egt RUM ltFEFF005500740069006c0069007a00610163006900200061006300650073007400650020007300650074010300720069002000700065006e007400720075002000610020006300720065006100200064006f00630075006d0065006e00740065002000410064006f006200650020005000440046002000700065006e007400720075002000740069007001030072006900720065002000640065002000630061006c006900740061007400650020006c006100200069006d007000720069006d0061006e007400650020006400650073006b0074006f00700020015f0069002000700065006e0074007200750020007600650072006900660069006300610074006f00720069002e002000200044006f00630075006d0065006e00740065006c00650020005000440046002000630072006500610074006500200070006f00740020006600690020006400650073006300680069007300650020006300750020004100630072006f006200610074002c002000410064006f00620065002000520065006100640065007200200035002e00300020015f00690020007600650072007300690075006e0069006c006500200075006c0074006500720069006f006100720065002egt RUS ltFEFF04180441043f043e043b044c04370443043904420435002004340430043d043d044b04350020043d0430044104420440043e0439043a043800200434043b044f00200441043e043704340430043d0438044f00200434043e043a0443043c0435043d0442043e0432002000410064006f006200650020005000440046002c0020043f044004350434043d04300437043d043004470435043d043d044b044500200434043b044f0020043a0430044704350441044204320435043d043d043e04390020043f043504470430044204380020043d04300020043d043004410442043e043b044c043d044b04450020043f04400438043d044204350440043004450020043800200443044104420440043e04390441044204320430044500200434043b044f0020043f043e043b044304470435043d0438044f0020043f0440043e0431043d044b04450020043e0442044204380441043a043e0432002e002000200421043e043704340430043d043d044b04350020005000440046002d0434043e043a0443043c0435043d0442044b0020043c043e0436043d043e00200020043e0442043a0440044b043204300442044c002004410020043f043e043c043e0449044c044e0020004100630072006f00620061007400200438002000410064006f00620065002000520065006100640065007200200035002e00300020043800200431043e043b043504350020043f043e04370434043d043804450020043204350440044104380439002egt SKY ltFEFF0054006900650074006f0020006e006100730074006100760065006e0069006100200070006f0075017e0069007400650020006e00610020007600790074007600e100720061006e0069006500200064006f006b0075006d0065006e0074006f0076002000410064006f00620065002000500044004600200070007200650020006b00760061006c00690074006e00fa00200074006c0061010d0020006e0061002000730074006f006c006e00fd0063006800200074006c0061010d00690061007201480061006300680020006100200074006c0061010d006f007600fd006300680020007a006100720069006100640065006e0069006100630068002e00200056007900740076006f00720065006e00e900200064006f006b0075006d0065006e007400790020005000440046002000620075006400650020006d006f017e006e00e90020006f00740076006f00720069016500200076002000700072006f006700720061006d006f006300680020004100630072006f00620061007400200061002000410064006f00620065002000520065006100640065007200200035002e0030002000610020006e006f0076016100ed00630068002e000d000agt SLV ltFEFF005400650020006e006100730074006100760069007400760065002000750070006f0072006100620069007400650020007a00610020007500730074007600610072006a0061006e006a006500200064006f006b0075006d0065006e0074006f0076002000410064006f0062006500200050004400460020007a00610020006b0061006b006f0076006f00730074006e006f0020007400690073006b0061006e006a00650020006e00610020006e0061006d0069007a006e006900680020007400690073006b0061006c006e0069006b0069006800200069006e0020007000720065007600650072006a0061006c006e0069006b00690068002e00200020005500730074007600610072006a0065006e006500200064006f006b0075006d0065006e0074006500200050004400460020006a00650020006d006f0067006f010d00650020006f0064007000720065007400690020007a0020004100630072006f00620061007400200069006e002000410064006f00620065002000520065006100640065007200200035002e003000200069006e0020006e006f00760065006a01610069006d002egt SUO ltFEFF004b00e40079007400e40020006e00e40069007400e4002000610073006500740075006b007300690061002c0020006b0075006e0020006c0075006f0074002000410064006f0062006500200050004400460020002d0064006f006b0075006d0065006e007400740065006a00610020006c0061006100640075006b006100730074006100200074007900f6007000f60079007400e400740075006c006f0073007400750073007400610020006a00610020007600650064006f007300740075007300740061002000760061007200740065006e002e00200020004c0075006f0064007500740020005000440046002d0064006f006b0075006d0065006e00740069007400200076006f0069006400610061006e0020006100760061007400610020004100630072006f0062006100740069006c006c00610020006a0061002000410064006f00620065002000520065006100640065007200200035002e0030003a006c006c00610020006a006100200075007500640065006d006d0069006c006c0061002egt SVE ltFEFF0041006e007600e4006e00640020006400650020006800e4007200200069006e0073007400e4006c006c006e0069006e006700610072006e00610020006f006d002000640075002000760069006c006c00200073006b006100700061002000410064006f006200650020005000440046002d0064006f006b0075006d0065006e00740020006600f600720020006b00760061006c00690074006500740073007500740073006b0072006900660074006500720020007000e5002000760061006e006c00690067006100200073006b0072006900760061007200650020006f006300680020006600f600720020006b006f007200720065006b007400750072002e002000200053006b006100700061006400650020005000440046002d0064006f006b0075006d0065006e00740020006b0061006e002000f600700070006e00610073002000690020004100630072006f0062006100740020006f00630068002000410064006f00620065002000520065006100640065007200200035002e00300020006f00630068002000730065006e006100720065002egt TUR ltFEFF004d00610073006100fc0073007400fc002000790061007a013100630131006c006100720020007600650020006200610073006b01310020006d0061006b0069006e0065006c006500720069006e006400650020006b0061006c006900740065006c00690020006200610073006b013100200061006d0061006301310079006c0061002000410064006f006200650020005000440046002000620065006c00670065006c0065007200690020006f006c0075015f007400750072006d0061006b0020006900e70069006e00200062007500200061007900610072006c0061007201310020006b0075006c006c0061006e0131006e002e00200020004f006c0075015f0074007500720075006c0061006e0020005000440046002000620065006c00670065006c0065007200690020004100630072006f006200610074002000760065002000410064006f00620065002000520065006100640065007200200035002e003000200076006500200073006f006e0072006100730131006e00640061006b00690020007300fc007200fc006d006c00650072006c00650020006100e70131006c006100620069006c00690072002egt UKR ltFEFF04120438043a043e0440043804410442043e043204430439044204350020044604560020043f043004400430043c043504420440043800200434043b044f0020044104420432043e04400435043d043d044f00200434043e043a0443043c0435043d044204560432002000410064006f006200650020005000440046002c0020044f043a04560020043d04300439043a04400430044904350020043f045604340445043e0434044f0442044c00200434043b044f0020043204380441043e043a043e044f043a04560441043d043e0433043e0020043404400443043a04430020043d04300020043d0430044104420456043b044c043d043804450020043f04400438043d044204350440043004450020044204300020043f04400438044104420440043e044f044500200434043b044f0020043e044204400438043c0430043d043d044f0020043f0440043e0431043d0438044500200437043e04310440043004360435043d044c002e00200020042104420432043e04400435043d045600200434043e043a0443043c0435043d0442043800200050004400460020043c043e0436043d04300020043204560434043a0440043804420438002004430020004100630072006f006200610074002004420430002000410064006f00620065002000520065006100640065007200200035002e0030002004300431043e0020043f04560437043d04560448043e04570020043204350440044104560457002egt ENU ltFEFF005500730065002000740068006500730065002000730065007400740069006e0067007300200074006f0020006300720065006100740065002000410064006f0062006500200050004400460020006200610073006500640020006f006e0020007400680065002000640065006600610075006c0074002000580069006c0069006e007800200070006100720061006d0065007400650072002000730065007400740069006e0067007300200064006500660069006e00650064002000620079002000500053003000300034002e002000460069006c0065006e0061006d0065003a002000780069006c0069006e0078002e006a006f0062006f007000740069006f006e0073002e000d0043006f006d007000610074006100620069006c006900740079003a0020004100630072006f00620061007400200037002e0030002000280050004400460031002e00360029002e0020005500730065003a00200046006f00720020006300720065006100740069006e0067002000460069006e0061006c002c0020005000750062006c0069007300680065006400200050004400460073002e000d0043007200650061007400650064002000310030002f00310032002f003100310020006200790020006a0066006f007200730074002egt gtgt Namespace [ (Adobe) (Common) (10) ] OtherNamespaces [ ltlt AsReaderSpreads false CropImagesToFrames true ErrorControl WarnAndContinue FlattenerIgnoreSpreadOverrides false IncludeGuidesGrids false IncludeNonPrinting false IncludeSlug false Namespace [ (Adobe) (InDesign) (40) ] OmitPlacedBitmaps false OmitPlacedEPS false OmitPlacedPDF false SimulateOverprint Legacy gtgt ltlt AddBleedMarks false AddColorBars false AddCropMarks false AddPageInfo false AddRegMarks false ConvertColors NoConversion DestinationProfileName () DestinationProfileSelector NA Downsample16BitImages true FlattenerPreset ltlt PresetSelector MediumResolution gtgt FormElements false GenerateStructure true IncludeBookmarks false IncludeHyperlinks false IncludeInteractive false IncludeLayers false IncludeProfiles true MultimediaHandling UseObjectSettings Namespace [ (Adobe) (CreativeSuite) (20) ] PDFXOutputIntentProfileSelector NA PreserveEditing true UntaggedCMYKHandling LeaveUntagged UntaggedRGBHandling LeaveUntagged UseDocumentBleed false gtgt ]gtgt setdistillerparamsltlt HWResolution [1200 1200] PageSize [612000 792000]gtgt setpagedevice

Page 12: Xilinx UG883 Kintex-7 FPGA KC705 Evaluation Kit Getting ...€¦ · Updated step 2 and step 3 in Requirements to Get Started, page 14. ... KC705 Getting Started Guide 9 UG883 (v3.0)

12 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Hardware Test Board Setup Requirements

2 Set the USB-UART connection to a known port in the Device Manager as follows

bull Right-click My Computer and select Properties

bull Select the Hardware tab then click the Device Manager button

bull Find and right-click the Silicon Labs device in the list Then select Properties

bull Click the Port Settings tab and the Advancedhellip button

bull Select an open COM port between COM1 and COM4

Figure 5 shows the steps needed to set the USB-UART port

Note Steps and diagrams refer to use with a Windows host PC with the Windows XP or Windows 7 operating systemX-Ref Target - Figure 5

Figure 5 Port Selection on the Device Manager Screen

UG883_05_040913

KC705 Getting Started Guide wwwxilinxcom 13UG883 (v30) April 16 2013

AMS Bring-up with the AMS101 Evaluation Card

Run the BIST Application1 Start the installed terminal program

2 Press PROG (SW14) on the KC705 board and view the BIST output on the terminal window (see Figure 6)

3 Select the relevant tests to run and observe the results

For more information on the BIST software and additional tutorials including how to restore the default content of the onboard nonvolatile storage see the KC705 support website at httpwwwxilinxcomkc705 under the Docs amp Designs tab

AMS Bring-up with the AMS101 Evaluation CardThe Xilinxreg 7 series FPGAs each feature a 1 MSPS 12-bit analog-to-digital converter built into the FPGA for everything from simple analog monitoring to more signal processing intensive tasks like linearization calibration oversampling and filtering The Kintex-7 FPGA KC705 evaluation kit includes the hardware and software to evaluate this feature and determine its usefulness in the userrsquos end system

For evaluation of Xilinx Analog Mixed Signal (AMS) capability the following items from your kit are needed

bull Access to XADC header in your FPGA base board

bull AMS101 evaluation card (Figure 7)

bull FPGA design and software files downloaded from the Docs amp Designs tab at wwwxilinxcomkc705

bull USBUART drivers from Silicon Labs

bull AMS Evaluator tool

X-Ref Target - Figure 6

Figure 6 BIST Main Menu

UG883_06_040913

14 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

AMS Bring-up with the AMS101 Evaluation Card

Requirements to Get Started1 Open the USBUART as described in Install the UART Driver page 11

2 The AMS101 evaluation card requires a Windows host PC to install the AMS Evaluator tool which uses National Instruments LabView run-time engine Install the AMS Evaluator tool by unzipping the AMS Evaluator installer fileshttpssecurexilinxcomwebregclickthroughdocid=199651amplicense=RefDesLicenseampfilename=ams101_gui_installer_v1_1zip located in the Targeted Reference Designs section in the Docs amp Designs tab at wwwxilinxcomkc705 After opening the zip file folder click the setupexe file to begin the installation When loading the National Instruments LabView runtime engine Click OK to accept the license agreement Running the setup program loads the AMS101 Evaluator tool with the red Xilinx logo on the desktop

3 Download the AMS Targeted Reference Design files from the Docs amp Designs tab Kintex-7 FPGA KC705 Evaluation Kit - (7 Series FPGA AMS Targeted Reference Design User Guide (Vivado Design Suite 20124 and ISE Design Suite 144)) section at wwwxilinxcomkc705 Unzip the xadc_eval_design_kc705_V1_0zip files Open the ready_to_test folder Save the xadc_eval_designbit bitstream

X-Ref Target - Figure 7

Figure 7 AMS101 Evaluation Card

UG883_07_121112

KC705 Getting Started Guide wwwxilinxcom 15UG883 (v30) April 16 2013

AMS Bring-up with the AMS101 Evaluation Card

Evaluating AMS1 Connect and power the hardware

a Plug the AMS101 evaluation card into the XADC header on your FPGA base board

Note Ensure the notch on the XADC header lines up correctly with the AMS101 evaluation card (see Figure 8)

b Power up the FPGA base board

2 Download the design to the FPGA Installation of ISE Programming tools or Lab Tools is required for downloading the bitstream to the FPGA

Refer to the Vivado Design Suite User Guide Programming and Debugging (UG908) at httpwwwxilinxcomsupportdocumentationdt_vivadohtm to obtain information on downloading the design to the FPGA

a Open the xadc_eval_designbit file from the AMS Targeted Reference Design files

3 Run the AMS101 Evaluator LabVIEW GUI executable from your desktop After loading the AMS Evaluator installer files a red X with the AMS Evaluator program should reside on your desktop

Note The AMS bitstream can also be loaded with ChipScope analyzer

The AMS101 Evaluator GUI is shown in Figure 9

X-Ref Target - Figure 8

Figure 8 KC705 Base Board with AMS101 Evaluation Card Plugged into XADC Header

UG883_08_121112

16 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

AMS Bring-up with the AMS101 Evaluation Card

The AMS Evaluator Tool allows designers to quickly evaluate the analog signals in the time domain frequency domain display linearity verify the XADC register settings and measure the internal temperature sensor and supply voltages

For a more extensive explanation of the AMS101 evaluation card and the applicable files refer to AMS101 Evaluation Platform User Guide (UG886)

X-Ref Target - Figure 9

Figure 9 AMS101 Evaluator GUI

UG883_09_121112

Select COM Port Here

KC705 Getting Started Guide wwwxilinxcom 17UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

Advanced Bring-up Using the Base Targeted Reference DesignThe primary components of the Kintex-7 FPGA Base TRD are

bull Integrated Endpoint block for PCI Express (PCIe) See 7 Series FPGAs Integrated Block for PCI Express User Guide (UG477)

bull Northwest Logic Packet DMA [Ref 5]

bull Multiport Virtual FIFO

The TRD system can sustain up to 10 Gbs throughput end to end

Figure 10 provides an overview of the TRD

Note In Figure 10 the arrows indicate AXI interface directions (from master to slave) They do not indicate data flow directions

ComponentsThe Kintex-7 FPGA Base TRD features these components

bull Kintex-7 FPGA integrated Endpoint block for PCI Express

bull Configured with 4 lanes at a 5 Gbs link rate (Gen2) or 8 lanes at a 25 Gbs link rate (Gen1) for PCI Express v20

bull Provides a user interface compliant with AXI4-Stream interface protocol

bull Performance monitor tracks the integrated blockrsquos AXI4-Stream interface for PCIe transactions

bull Bus Mastering Scatter-Gather Packet DMA from Northwest Logic a multichannel DMA

bull Supports full-duplex operation with independent transmit and receive paths

X-Ref Target - Figure 10

Figure 10 Kintex-7 FPGA Base TRD Block Diagram

UG883_10_121112

Multiport Virtual FIFO

DD

R3

IO

Software

Multi-ChannelDMA for PCIe DDR3

Cha

nnel

-0C

2SS

2CC

hann

el-1

S2C

C2S

64 x1600 Mbs

PC

Ie x

4Gen

2 Li

nk

PC

Ie x

8Gen

1 Li

nk

VFIFOController

SoftwareDriver

Interface Blocks in FPGA Third Party IPXilinx IP On BoardCustom Logic

AXI-ST AXI-MM

Hardware

VFIFOController

VFIFOController

VFIFOController

Raw Packet Data Block

Checker

Generator

Loop

back

Loop

back

Raw Packet Data Block

Generator

Checker

User SpaceRegisters

Target InterfaceAXI Master

256 x200 MHz

64 x

250

MH

z

64 x250 MHz

64 x250 MHz

64 x250 MHz

64 x250 MHz

PerformanceMonitor

GU

I

GT

X T

rans

ceiv

er

Inte

grat

ed E

ndpo

int B

lock

for

PC

I Exp

ress

AX

I-S

T B

asic

Wra

pper

AXIMIG

AXIInterconnect

SI SI

SI SIM

I

18 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

bull Provides an AXI4-Stream interface on the back end

bull Monitors the performance of data transfers in receive and transmit directions

bull Provides an AXI4 memory-mapped target interface to access user-defined registers

Note The Northwest Logic Packet DMA shipped with the Base TRD is an evaluation version and expires after 12 hours of run time To get the full version contact Northwest Logic [Ref 7]

bull Multiport Virtual FIFO

bull DDR3 SDRAM SODIMM (64-bit 1600 Mbs 800 MHz) is used for buffering packets The memory controller delivered through the memory interface generator (MIG) tool interfaces to the DDR3 memory

bull AXI Interconnect IP along with the memory controller supports multiple ports on the memory

bull The Packetized Virtual FIFO controller controls addressing of the DDR3 memory for each port allowing DDR3 to be used as Virtual Packet FIFO

bull Software driver for a 32-bit Linux platform

bull Configures the hardware design parameters

bull Generates and consumes traffic

bull Provides a GUI to report status and performance statistics

The Kintex-7 FPGA integrated Endpoint block for PCI Express and the Packet DMA are responsible for data transfers from host system to Endpoint card (S2C) and Endpoint card to host system (C2S) Data to and from the host is stored in a Virtual FIFO built around the DDR3 memory This Multiport Virtual FIFO abstraction layer around the DDR3 memory allows the user to move traffic efficiently without the need to manage addressing and arbitration on the memory interface It also provides more depth than storage implemented using Block RAMs

The integrated Endpoint block for PCI Express Packet DMA and Multiport Virtual FIFO form the base system The base system can bridge the host to any user application running on the other end The raw data packet module is a dummy application that generates and consumes packets It can be replaced by any user specific protocol like Aurora or XAUI

The software driver runs on the host system It generates raw data traffic for transmit operations in the S2C direction It also consumes the data looped back or generated at the application end in the C2S direction

Hardware Test Setup RequirementsThese are the prerequisites for testing the design in hardware

bull KC705 Evaluation board with the Kintex-7 FPGA XC7K325T-2FFG900C device

bull Design files provided as a zipped collection under the Docs amp Designs tab at wwwxilinxcomkc705 include

bull Design source files

bull Device driver files

bull Board design files

bull Documentation

bull Vivado Design Suite

bull ISE Programming tools or LabTools

KC705 Getting Started Guide wwwxilinxcom 19UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

bull Micro USB cable

bull 4-pin to 6-pin PCIe adapter cable

bull Fedora 16 Live DVD [Ref 6]

bull PC with PCIe v20 slot For a complete list of machines tested and all known issues refer to the Kintex-7 FPGA Base Targeted Reference Design Release Notes and Known Issues Master Answer Record (httpwwwxilinxcomsupportanswers45679htm) This PC could also have Fedora Core 16 Linux OS installed on it

TRD Demonstration SetupThis section describes hardware setup and use of the application GUI to help the user get started quickly with the design in hardware It provides a step-by-step explanation of hardware bring-up and describes using the application GUI

When following the demonstration setup steps for the Kintex-7 FPGA Base TRD if the behavior is not as described refer to the known issues at wwwxilinxcomsupportanswers45679htm

Board Setup

This section describes how to set up the KC705 Evaluation board required to demonstrate the TRD

1 Set the KC705 Jumpers and Switches Verify that the KC705 Evaluation board jumpers and switches are set as shown in Table 2 and Figure 11

Table 2 Switch and Jumper Settings

Jumper Function Setting

J32 PCIe configuration width mdash 4 lane design Jump 3-4

Switch Function or Type Setting

SW15 Board power slide-switch Off

SW11 User GPIO DIP switch

4 Off

3 Off

2 Off

1 Off

S13 DIP switch SW13 positions 1 and 2 control the setting of address bits of the flashDIP switch SW13 positions 3 4 and 5 control which configuration mode

5 (M0) M2 =0 M1=1 M0=0 ndash Master BPIM2 =0 M1=0 M0=1 ndash Master SPIM2 =1 M1=0 M0=1 ndash JTAG

Off

4 (M1) On

3 (M2) Off

2 Off

1 Off

20 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

Hardware Bring-Up

This section presents steps for hardware bring-up

1 With the host system switched off insert the KC705 board in the PCIe slot through the PCI Express x8 or x16 edge connector (Figure 12)

The TRD programmed on the KC705 board has a 4-lane PCIe v20 configuration running at a 5 Gbs link rate per lane The PCI Express specification allows for a smaller lane width Endpoint to be installed into a larger lane width PCIe connector

2 Figure 13 shows the 12V power connection Connect the 12V ATX power supplyrsquos available 4-pin connector to the board (J49) via a 4-pin to 6-pin PCIe adapter cable Toggle the Power switch SW15 to the ON position

X-Ref Target - Figure 11

Figure 11 Switch and Jumper Settings

UG883_11_040913

J27 J28

J29 J30SW13

J32

SW15SW11

X-Ref Target - Figure 12if

Figure 12 KC705 Board Plugged into a PCIe x16 Slot

UG883_12_040913

KC705 Getting Started Guide wwwxilinxcom 21UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

3 Make sure the connections are tight and then power on the PC system

Note If the user wishes to boot Linux from the Fedora 16 Live DVD place the DVD in the PCrsquos DVD-ROM drive as soon as the PC system is powered on

4 Verify the status of the design on the KC705 LEDs The design provides status on the GPIO LEDs on the upper right of the KC705 board (Figure 14) After the PC system is powered on and the TRD has successfully configured status LEDs from right to left should indicate

bull LED 0 mdash ON if the PCIe link is up

bull LED 1 mdash Flashes if the PCIe user clock is present

bull LED 2 mdash ON if lane width is what is expected else it flashes (for a 4 lane design the expected lane width is 4 for an 8 lane design the expected lane width is 8)

bull LED 3 mdash ON if memory calibration is done

bull LED 4 to LED 7 mdash Not connected

X-Ref Target - Figure 13

Figure 13 Power Supply Connection

UG883_13_121112

SW15

J49 6-pinConnector

12V ATX PowerSupply Pluggedinto the 4-pinConnector

22 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

Install the Linux Driver

1 If Fedora 16 is installed on the PC systemrsquos hard disk boot as a root-privileged user and skip to step 3 page 23

2 To boot from the Fedora 16 Live DVD provided in the kit place the DVD in the PCrsquos DVD-ROM drive The Fedora 16 Live Media is for Intel-compatible PCs The DVD contains a complete bootable 32-bit Fedora 16 environment with the proper packages installed for the TRD demonstration environment For more details see Fedora Information page 2 The PC boots from the DVD-ROM drive and logs into a liveuser account This account has kernel development root privileges required to install and remove device driver modules

Note Users might have to adjust BIOS boot order settings to make sure that the DVD-ROM drive is the first drive in the boot order To enter the BIOS menu to set the boot order press the DEL or F2 key when the system is powered on Set the boot order and save the changes (The DEL or F2 key is used by most PC systems to enter the BIOS setup Some PCs might have a different way to enter the BIOS setup)

The PC should boot from the DVD-ROM drive The images in Figure 15 are seen on the monitor during boot up

X-Ref Target - Figure 14

Figure 14 Location of GPIO Status LEDs (Indicates TRD Status)

UG883_14_121112

KC705 Getting Started Guide wwwxilinxcom 23UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

3 After Fedora 16 Core boots open a terminal window (click Activities click Application scroll down and click the Terminal icon) To find out if the PCIe Endpoint is detected at the terminal command line type

$ lspci

The lspci command displays the devices in the PCI and PCI Express buses of the PC On the bus of the KC705 card slot is the message

Communication controller Xilinx Corporation Device 7042

This message confirms that the design programmed into the KC705 board has been found by the BIOS and the Fedora 16 OS The bus number varies depending on which PC motherboard and slot are used Figure 16 shows a lspci output for an example system Xilinx device 7042 has been found by the BIOS on bus number 2 (02000 - busdevfunction)

X-Ref Target - Figure 15

Figure 15 Fedora 16 Live DVD BootingFirst Screen Last Boot Screen Booted

UG883 15 040913

X-Ref Target - Figure 16

Figure 16 PCI and PCI Express Bus Devices

UG883_16_040913

24 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

4 Download the reference design from the Docs amp Designs tab at wwwxilinxcomkc705 and copy the k7_pcie_dma_ddr3_base folder into any directory

5 To set up and run the TRD demonstration the software driver should be installed on the PC system Software driver installation involves

a Building the kernel objects and the GUI

b Inserting the driver modules into the kernel

After the driver modules are loaded the application GUI can be invoked The user can set parameters through the GUI and run the TRD

When the user is done running the TRD the application GUI can be closed and the drivers can be removed

A script is provided to execute all the above actions so that the user can quickly start the TRD

The k7_trd_lin_quickstart script is available in the k7_pcie_dma_ddr3_base folder Right click the script select properties go to the permissions tab check the box Allow executing file as programmdashthis makes the script executable Close the window

To run the script double-click k7_trd_lin_quickstart in the k7_pcie_dma_ddr3_base folder (Figure 17) The window prompt in Figure 18 appears

X-Ref Target - Figure 17

Figure 17 Load Driver and Launch Application GUI

UG883_17_121112

KC705 Getting Started Guide wwwxilinxcom 25UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

Click Run in Terminal to proceed The application GUI is invoked

Proceed to the next section Using the Application GUI to set design parameters and run the TRD

X-Ref Target - Figure 18

Figure 18 Run in Terminal

UG883_18_040913

26 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

Using the Application GUI

When the drivers are loaded and the Performance Monitor GUI is invoked the user can configure the sending and receiving of data The GUI allows the user to observe the collected statistics and other status information

1 Click the System Status tab to verify the status of the KC705 board and the PCIe link (see Figure 19 and Table 3)

X-Ref Target - Figure 19

Figure 19 Verify Board Status in the Performance Monitor

UG883_19_121112

KC705 Getting Started Guide wwwxilinxcom 27UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

2 To start data traffic on the two data paths

a Click Start Test on Raw Data Path0 as shown in Figure 20 This enables the driver to start generating the data for Raw Data Path0

b Click Start Test on Raw Data Path1 as shown in Figure 20 This enables the driver to start generating the data for Raw Data Path1

Table 3 KC705 Board Status Field Explanations

Field Status Explanation

Link Status Up This confirms that the PCIe link is up and a PCIe connection is established between the Kintex-7 FPGA Endpoint for PCI Express and the PC motherboard chipset

Link Speed 50 Gbs This confirms that the PCIe link is operating at line rate speed per PCI Express v20

Link Width x4 This confirms that the PCIe link is trained as a x4 link

28 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

3 Verify TRD operations through the status information provided by the GUI (see Figure 21)

a Verify the PCIe throughput

b Verify the DMA channel throughput for the Raw Data Path0

c Verify the DMA channel throughput for the Raw Data Path1

d Verify there are no buffer descriptor errors for error-free operation

X-Ref Target - Figure 20

Figure 20 Start Data Traffic from the Performance Monitor

UG883_20_121112

KC705 Getting Started Guide wwwxilinxcom 29UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

The Kintex-7 FPGA PCIe-DMA TRD is now set up and running Close the Application GUI to unload the software drivers and stop traffic flow

X-Ref Target - Figure 21

Figure 21 Verify Error-Free Operation in the Performance Monitor

UG883_21_121112

30 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

Evaluating the Kintex-7 FPGA Base TRDThe Kintex-7 FPGA Base TRD provides a Performance and Status monitor application and GUI The application enables customers to evaluate different system parameters This section demonstrates performance variances for the PCI Express and DMA interfaces based on the parameters set

To evaluate the Kintex-7 FPGA Base TRD

1 Launch the Performance Monitor for the Kintex-7 FPGA Base TRD

a Navigate to the k7_pcie_dma_ddr3_base folder

b Double-click k7_lin_trd_quickstart (Figure 22)mdashmake sure that the script has executable permissionmdashto launch the Performance Monitor and Status GUI

c A window prompt appears as shown in Figure 23 Click Run in Terminal to proceed

2 Set up the test parameters in the Performance Monitor

a Two data paths are available Raw Data Path0 and Raw Data Path1 On each path set the Packet Size to a value between 64 ndash 32768 bytes

X-Ref Target - Figure 22

Figure 22 Launch the Performance Monitor and Status GUI

UG883_22_121112

X-Ref Target - Figure 23

Figure 23 Run k7_lin_trd_quickstart

UG883_23_121112

KC705 Getting Started Guide wwwxilinxcom 31UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

3 Execute the test and view payload statistics in the Performance and Status Monitor (see Figure 24)

a Click Start Test to start the performance test

b Click the Payload Statistics tab to view data transfers on the DMA channels

c Click Stop Test to stop data traffic

d Vary the Packet Size parameters for the Raw Data Paths (see Figure 25) and click Start Test Then view the payload statistics to review data transfer rate on the DMA channels With a decrease in packet size the performance drops

Note Before changing packet size click Stop Test change the size and then click Start Test

X-Ref Target - Figure 24

Figure 24 Payload Statistics

UG883_24_121112

32 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

Note For packet sizes equal to 64 or 128 bytes the throughput is reduced and might not be visible on the Payload Statistics tab The exact values can be viewed on the System Status tab

4 Click the PCIe Statistics tab to view data transfer numbers with varying packet sizes on the PCIe interface (Figure 26)

X-Ref Target - Figure 25

Figure 25 Effect of Varying Packet Sizes on Performance

UG883_25_121112

KC705 Getting Started Guide wwwxilinxcom 33UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

The system performance of the Kintex-7 FPGA Base TRD has now been evaluated using the pre-built demonstration design bit file

Now that the Kintex-7 FPGA Base TRD demonstration has been set up and evaluated the design can be modified Before the design can be modified make sure to install the Vivado Design Suite on a PC It is not required that tools be installed on the PC system in which the KC705 evaluation board is plugged in by way of the PCIe edge connector

X-Ref Target - Figure 26

Figure 26 PCIe Statistics in the Performance Monitor

UG883_26_121112

34 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

KC705 Getting Started Guide wwwxilinxcom 35UG883 (v30) April 16 2013

Appendix A

Additional Resources

Xilinx ResourcesFor support resources such as Answers Documentation Downloads and Forums see the Xilinx Support website at

wwwxilinxcomsupport

For continual updates add the Answer Record to your myAlerts

wwwxilinxcomsupportmyalerts

For a glossary of technical terms used in Xilinx documentation see

wwwxilinxcomcompanytermshtm

Solution CentersSee the Xilinx Solution Centers for support on devices software tools and intellectual property at all stages of the design cycle Topics include design assistance advisories and troubleshooting tips

Further ResourcesThe most up to date information related to the KC705 board and its documentation is available on the following websites

The Kintex-7 FPGA KC705 Evaluation Kit Product Page

wwwxilinxcomkc705

The Kintex-7 FPGA KC705 Evaluation Kit Master Answer Record

wwwxilinxcomsupportanswers47787htm

Design advisories by software release for the Kintex-7 FPGA KC705 Evaluation Kit

wwwxilinxcomsupportnav=sd-nav-link-179661amptab=tab-bk

Kintex-7 FPGA Base Targeted Reference Design Release Notes and Known Issues Master Answer Record

wwwxilinxcomsupportanswers45679htm

These documents provide supplemental material useful with this user guide

UG810 KC705 Evaluation Board for the Kintex-7 FPGA User Guide

UG845 KC705 Reference Design User Guide

36 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Appendix A Additional Resources

UG798 Xilinx Design Tools Installation and Licensing Guide Vivado Design Suite and ISE Design Suite

UG908 Vivado Design Suite User Guide Programming and Debugging

UG882 Kintex-7 FPGA KC705 Base Targeted Reference Design User Guide (Vivado Design Suite 20124)

UG886 AMS101 Evaluation Card User Guide

UG477 7 Series FPGAs Integrated Block for PCI Express User Guide

ReferencesThe following websites provide supplemental material useful with this guide

1 LabVIEW 32-bit Run-Time Enginehttpjoulenicomniducdsviewpid2534langen

2 LabVIEW 64-bit Run-Time Engine httpjoulenicomniducdsviewpid2536langen

3 Tera Term Pro program httphpvectorcojpauthorsVA002416teratermhtml

4 Drivers on the Silicon Labs site httpwwwsilabscomSupport20DocumentsSoftwareCP210x_VCP_Win_XP_S2K3_Vista_7exe

5 Northwest Logic DMA back end core httpwwwnwlogiccompacketdma

6 Fedora project httpfedoraprojectorg

Fedora is a Linux-based operating system used in the development of this TRD

7 The GTK+ project API documentation httpwwwgtkorgdocumentationphp

GTK+ is a toolkit for creating graphical user interfaces (GUI)

KC705 Getting Started Guide wwwxilinxcom 37UG883 (v30) April 16 2013

Appendix B

Warranty

THIS LIMITED WARRANTY applies solely to standard hardware development boards and standard hardware programming cables manufactured by or on behalf of Xilinx (ldquoDevelopment Systemsrdquo) Subject to the limitations herein Xilinx warrants that Development Systems when delivered by Xilinx or its authorized distributor for ninety (90) days following the delivery date will be free from defects in material and workmanship and will substantially conform to Xilinx publicly available specifications for such products in effect at the time of delivery This limited warranty excludes (i) engineering samples or beta versions of Development Systems (which are provided ldquoAS ISrdquo without warranty) (ii) design defects or errors known as ldquoerratardquo (iii) Development Systems procured through unauthorized third parties and (iv) Development Systems that have been subject to misuse mishandling accident alteration neglect unauthorized repair or installation Furthermore this limited warranty shall not apply to the use of covered products in an application or environment that is not within Xilinx specifications or in the event of any act error neglect or default of Customer For any breach by Xilinx of this limited warranty the exclusive remedy of Customer and the sole liability of Xilinx shall be at the option of Xilinx to replace or repair the affected products or to refund to Customer the price of the affected products The availability of replacement products is subject to product discontinuation policies at Xilinx Customer may not return product without first obtaining a customer return material authorization (RMA) number from XilinxTHE WARRANTIES SET FORTH HEREIN ARE EXCLUSIVE XILINX DISCLAIMS ALL OTHER WARRANTIES WHETHER EXPRESS IMPLIED OR STATUTORY INCLUDING WITHOUT LIMITATION ANY WARRANTY OF MERCHANTABILITY FITNESS FOR A PARTICULAR PURPOSE OR NON-INFRINGEMENT AND ANY WARRANTY THAT MAY ARISE FROM COURSE OF DEALING COURSE OF PERFORMANCE OR USAGE OF TRADE (200810)

Do not throw Xilinx products marked with the ldquocrossed out wheeled binrdquo in the trash Directive 200296EC on waste electrical and electronic equipment (WEEE) requires the separate collection of WEEE Your cooperation is essential in ensuring the proper management of WEEE and the protection of the environment and human health from potential effects arising from the presence of hazardous substances in WEEE Return the marked products to Xilinx for proper disposal Further information and instructions for free-of-charge return available at httpwwwxilinxcomehsweeehtm

38 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Appendix B Warranty

  • Kintex-7 FPGA KC705 Evaluation Kit (Vivado Design Suite 20131)
    • Revision History
    • Table of Contents
    • Getting Started with the Kintex-7 FPGA KC705 Evaluation Kit (Vivado Design Suite 20131)
      • Introduction
      • Kit Contents
      • Basic Hardware Bring-up Using the BIST
        • Hardware Test Setup Requirements
          • Hardware Test Board Setup Requirements
            • Hardware Bring-Up
            • Run the BIST Application
              • AMS Bring-up with the AMS101 Evaluation Card
                • Requirements to Get Started
                • Evaluating AMS
                  • Advanced Bring-up Using the Base Targeted Reference Design
                    • Components
                    • Hardware Test Setup Requirements
                    • TRD Demonstration Setup
                    • Evaluating the Kintex-7 FPGA Base TRD
                        • Additional Resources
                          • Xilinx Resources
                          • Solution Centers
                          • Further Resources
                          • References
                            • Warranty
                                • ltlt ASCII85EncodePages false AllowTransparency false AutoPositionEPSFiles true AutoRotatePages All Binding Left CalGrayProfile (Dot Gain 20) CalRGBProfile (sRGB IEC61966-21) CalCMYKProfile (US Web Coated 050SWOP051 v2) sRGBProfile (sRGB IEC61966-21) CannotEmbedFontPolicy Warning CompatibilityLevel 16 CompressObjects Tags CompressPages true ConvertImagesToIndexed true PassThroughJPEGImages true CreateJobTicket false DefaultRenderingIntent Default DetectBlends true DetectCurves 00000 ColorConversionStrategy LeaveColorUnchanged DoThumbnails false EmbedAllFonts true EmbedOpenType false ParseICCProfilesInComments true EmbedJobOptions true DSCReportingLevel 0 EmitDSCWarnings false EndPage -1 ImageMemory 1048576 LockDistillerParams true MaxSubsetPct 100 Optimize true OPM 1 ParseDSCComments true ParseDSCCommentsForDocInfo true PreserveCopyPage true PreserveDICMYKValues true PreserveEPSInfo true PreserveFlatness true PreserveHalftoneInfo false PreserveOPIComments false PreserveOverprintSettings true StartPage 1 SubsetFonts true TransferFunctionInfo Apply UCRandBGInfo Preserve UsePrologue false ColorSettingsFile () AlwaysEmbed [ true ] NeverEmbed [ true ] AntiAliasColorImages false CropColorImages true ColorImageMinResolution 300 ColorImageMinResolutionPolicy OK DownsampleColorImages false ColorImageDownsampleType Bicubic ColorImageResolution 300 ColorImageDepth -1 ColorImageMinDownsampleDepth 1 ColorImageDownsampleThreshold 150000 EncodeColorImages true ColorImageFilter DCTEncode AutoFilterColorImages true ColorImageAutoFilterStrategy JPEG ColorACSImageDict ltlt QFactor 040 HSamples [1 1 1 1] VSamples [1 1 1 1] gtgt ColorImageDict ltlt QFactor 015 HSamples [1 1 1 1] VSamples [1 1 1 1] gtgt JPEG2000ColorACSImageDict ltlt TileWidth 256 TileHeight 256 Quality 30 gtgt JPEG2000ColorImageDict ltlt TileWidth 256 TileHeight 256 Quality 30 gtgt AntiAliasGrayImages false CropGrayImages true GrayImageMinResolution 300 GrayImageMinResolutionPolicy OK DownsampleGrayImages false GrayImageDownsampleType Bicubic GrayImageResolution 300 GrayImageDepth -1 GrayImageMinDownsampleDepth 2 GrayImageDownsampleThreshold 150000 EncodeGrayImages true GrayImageFilter DCTEncode AutoFilterGrayImages true GrayImageAutoFilterStrategy JPEG GrayACSImageDict ltlt QFactor 040 HSamples [1 1 1 1] VSamples [1 1 1 1] gtgt GrayImageDict ltlt QFactor 015 HSamples [1 1 1 1] VSamples [1 1 1 1] gtgt JPEG2000GrayACSImageDict ltlt TileWidth 256 TileHeight 256 Quality 30 gtgt JPEG2000GrayImageDict ltlt TileWidth 256 TileHeight 256 Quality 30 gtgt AntiAliasMonoImages false CropMonoImages true MonoImageMinResolution 1200 MonoImageMinResolutionPolicy OK DownsampleMonoImages false MonoImageDownsampleType Bicubic MonoImageResolution 1200 MonoImageDepth -1 MonoImageDownsampleThreshold 150000 EncodeMonoImages true MonoImageFilter CCITTFaxEncode MonoImageDict ltlt K -1 gtgt AllowPSXObjects false CheckCompliance [ None ] PDFX1aCheck false PDFX3Check false PDFXCompliantPDFOnly false PDFXNoTrimBoxError true PDFXTrimBoxToMediaBoxOffset [ 000000 000000 000000 000000 ] PDFXSetBleedBoxToMediaBox true PDFXBleedBoxToTrimBoxOffset [ 000000 000000 000000 000000 ] PDFXOutputIntentProfile (None) PDFXOutputConditionIdentifier () PDFXOutputCondition () PDFXRegistryName () PDFXTrapped False CreateJDFFile false Description ltlt ARA ltFEFF06270633062A062E062F0645002006470630064700200627064406250639062F0627062F0627062A002006440625064606340627062100200648062B062706260642002000410064006F00620065002000500044004600200645062A0648062706410642062900200644064406370628062706390629002006300627062A002006270644062C0648062F0629002006270644063906270644064A06290020064506460020062E06440627064400200627064406370627062806390627062A00200627064406450643062A0628064A062900200623064800200623062C06470632062900200625062C06310627062100200627064406280631064806410627062A061B0020064A06450643064600200641062A062D00200648062B0627062606420020005000440046002006270644064506460634062306290020062806270633062A062E062F062706450020004100630072006F0062006100740020064800410064006F006200650020005200650061006400650072002006250635062F0627063100200035002E0030002006480627064406250635062F062706310627062A0020062706440623062D062F062B002E0020064506390020005000440046002F0041060C0020062706440631062C062706210020064506310627062C063906290020062F0644064A0644002006450633062A062E062F06450020004100630072006F006200610074061B0020064A06450643064600200641062A062D00200648062B0627062606420020005000440046002006270644064506460634062306290020062806270633062A062E062F062706450020004100630072006F0062006100740020064800410064006F006200650020005200650061006400650072002006250635062F0627063100200035002E0030002006480627064406250635062F062706310627062A0020062706440623062D062F062B002Egt BGR ltFEFF04180437043f043e043b043704320430043904420435002004420435043704380020043d0430044104420440043e0439043a0438002c00200437043000200434043000200441044a0437043404300432043004420435002000410064006f00620065002000500044004600200434043e043a0443043c0435043d044204380020043704300020043a0430044704350441044204320435043d0020043f04350447043004420020043d04300020043d043004410442043e043b043d04380020043f04400438043d04420435044004380020043800200443044104420440043e043904410442043204300020043704300020043f04350447043004420020043d04300020043f0440043e0431043d04380020044004300437043f0435044704300442043a0438002e002000200421044a04370434043004340435043d043804420435002000500044004600200434043e043a0443043c0435043d044204380020043c043e0433043004420020043404300020044104350020043e0442043204300440044f0442002004410020004100630072006f00620061007400200438002000410064006f00620065002000520065006100640065007200200035002e00300020043800200441043b0435043404320430044904380020043204350440044104380438002egt CHS ltFEFF4f7f75288fd94e9b8bbe5b9a521b5efa7684002000500044004600206587686353ef901a8fc7684c976262535370673a548c002000700072006f006f00660065007200208fdb884c9ad88d2891cf62535370300260a853ef4ee54f7f75280020004100630072006f0062006100740020548c002000410064006f00620065002000520065006100640065007200200035002e003000204ee553ca66f49ad87248672c676562535f00521b5efa768400200050004400460020658768633002gt CHT ltFEFF4f7f752890194e9b8a2d7f6e5efa7acb7684002000410064006f006200650020005000440046002065874ef653ef5728684c9762537088686a5f548c002000700072006f006f00660065007200204e0a73725f979ad854c18cea7684521753706548679c300260a853ef4ee54f7f75280020004100630072006f0062006100740020548c002000410064006f00620065002000520065006100640065007200200035002e003000204ee553ca66f49ad87248672c4f86958b555f5df25efa7acb76840020005000440046002065874ef63002gt CZE ltFEFF005400610074006f0020006e006100730074006100760065006e00ed00200070006f0075017e0069006a007400650020006b0020007600790074007600e101590065006e00ed00200064006f006b0075006d0065006e0074016f002000410064006f006200650020005000440046002000700072006f0020006b00760061006c00690074006e00ed0020007400690073006b0020006e0061002000730074006f006c006e00ed006300680020007400690073006b00e10072006e00e100630068002000610020006e00e1007400690073006b006f007600fd006300680020007a0061015900ed007a0065006e00ed00630068002e002000200056007900740076006f01590065006e00e900200064006f006b0075006d0065006e007400790020005000440046002000620075006400650020006d006f017e006e00e90020006f007400650076015900ed007400200076002000700072006f006700720061006d0065006300680020004100630072006f00620061007400200061002000410064006f00620065002000520065006100640065007200200035002e0030002000610020006e006f0076011b006a016100ed00630068002egt DAN ltFEFF004200720075006700200069006e0064007300740069006c006c0069006e006700650072006e0065002000740069006c0020006100740020006f007000720065007400740065002000410064006f006200650020005000440046002d0064006f006b0075006d0065006e007400650072002000740069006c0020006b00760061006c00690074006500740073007500640073006b007200690076006e0069006e006700200065006c006c006500720020006b006f007200720065006b007400750072006c00e60073006e0069006e0067002e0020004400650020006f007000720065007400740065006400650020005000440046002d0064006f006b0075006d0065006e0074006500720020006b0061006e002000e50062006e00650073002000690020004100630072006f00620061007400200065006c006c006500720020004100630072006f006200610074002000520065006100640065007200200035002e00300020006f00670020006e0079006500720065002egt DEU ltFEFF00560065007200770065006e00640065006e0020005300690065002000640069006500730065002000450069006e007300740065006c006c0075006e00670065006e0020007a0075006d002000450072007300740065006c006c0065006e00200076006f006e002000410064006f006200650020005000440046002d0044006f006b0075006d0065006e00740065006e002c00200076006f006e002000640065006e0065006e002000530069006500200068006f00630068007700650072007400690067006500200044007200750063006b006500200061007500660020004400650073006b0074006f0070002d0044007200750063006b00650072006e00200075006e0064002000500072006f006f0066002d00470065007200e400740065006e002000650072007a0065007500670065006e0020006d00f60063006800740065006e002e002000450072007300740065006c006c007400650020005000440046002d0044006f006b0075006d0065006e007400650020006b00f6006e006e0065006e0020006d006900740020004100630072006f00620061007400200075006e0064002000410064006f00620065002000520065006100640065007200200035002e00300020006f0064006500720020006800f600680065007200200067006500f600660066006e00650074002000770065007200640065006e002egt ESP ltFEFF005500740069006c0069006300650020006500730074006100200063006f006e0066006900670075007200610063006900f3006e0020007000610072006100200063007200650061007200200064006f00630075006d0065006e0074006f0073002000640065002000410064006f0062006500200050004400460020007000610072006100200063006f006e00730065006700750069007200200069006d0070007200650073006900f3006e002000640065002000630061006c006900640061006400200065006e00200069006d0070007200650073006f0072006100730020006400650020006500730063007200690074006f00720069006f00200079002000680065007200720061006d00690065006e00740061007300200064006500200063006f00720072006500630063006900f3006e002e002000530065002000700075006500640065006e00200061006200720069007200200064006f00630075006d0065006e0074006f00730020005000440046002000630072006500610064006f007300200063006f006e0020004100630072006f006200610074002c002000410064006f00620065002000520065006100640065007200200035002e003000200079002000760065007200730069006f006e0065007300200070006f00730074006500720069006f007200650073002egt ETI ltFEFF004b00610073007500740061006700650020006e0065006900640020007300e4007400740065006900640020006c006100750061002d0020006a00610020006b006f006e00740072006f006c006c007400f5006d006d006900730065007000720069006e0074006500720069007400650020006a0061006f006b00730020006b00760061006c006900740065006500740073006500740065002000410064006f006200650020005000440046002d0064006f006b0075006d0065006e00740069006400650020006c006f006f006d006900730065006b0073002e002e00200020004c006f006f0064007500640020005000440046002d0064006f006b0075006d0065006e00740065002000730061006100740065002000610076006100640061002000700072006f006700720061006d006d006900640065006700610020004100630072006f0062006100740020006e0069006e0067002000410064006f00620065002000520065006100640065007200200035002e00300020006a00610020007500750065006d006100740065002000760065007200730069006f006f006e00690064006500670061002e000d000agt FRA ltFEFF005500740069006c006900730065007a00200063006500730020006f007000740069006f006e00730020006100660069006e00200064006500200063007200e900650072002000640065007300200064006f00630075006d0065006e00740073002000410064006f00620065002000500044004600200070006f007500720020006400650073002000e90070007200650075007600650073002000650074002000640065007300200069006d007000720065007300730069006f006e00730020006400650020006800610075007400650020007100750061006c0069007400e90020007300750072002000640065007300200069006d007000720069006d0061006e0074006500730020006400650020006200750072006500610075002e0020004c0065007300200064006f00630075006d0065006e00740073002000500044004600200063007200e900e90073002000700065007500760065006e0074002000ea0074007200650020006f007500760065007200740073002000640061006e00730020004100630072006f006200610074002c002000610069006e00730069002000710075002700410064006f00620065002000520065006100640065007200200035002e0030002000650074002000760065007200730069006f006e007300200075006c007400e90072006900650075007200650073002egt GRE ltFEFF03a703c103b703c303b903bc03bf03c003bf03b903ae03c303c403b5002003b103c503c403ad03c2002003c403b903c2002003c103c503b803bc03af03c303b503b903c2002003b303b903b1002003bd03b1002003b403b703bc03b903bf03c503c103b303ae03c303b503c403b5002003ad03b303b303c103b103c603b1002000410064006f006200650020005000440046002003b303b903b1002003b503ba03c403cd03c003c903c303b7002003c003bf03b903cc03c403b703c403b103c2002003c303b5002003b503ba03c403c503c003c903c403ad03c2002003b303c103b103c603b503af03bf03c5002003ba03b103b9002003b403bf03ba03b903bc03b103c303c403ad03c2002e0020002003a403b10020005000440046002003ad03b303b303c103b103c603b1002003c003bf03c5002003ad03c703b503c403b5002003b403b703bc03b903bf03c503c103b303ae03c303b503b9002003bc03c003bf03c103bf03cd03bd002003bd03b1002003b103bd03bf03b903c703c403bf03cd03bd002003bc03b5002003c403bf0020004100630072006f006200610074002c002003c403bf002000410064006f006200650020005200650061006400650072002000200035002e0030002003ba03b103b9002003bc03b503c403b103b303b503bd03ad03c303c403b503c103b503c2002003b503ba03b403cc03c303b503b903c2002egt HEB ltFEFF05D405E905EA05DE05E905D5002005D105D405D205D305E805D505EA002005D005DC05D4002005DB05D305D9002005DC05D905E605D505E8002005DE05E105DE05DB05D9002000410064006F006200650020005000440046002005E205D105D505E8002005D405D305E405E105D4002005D005D905DB05D505EA05D905EA002005D105DE05D305E405E105D505EA002005E905D505DC05D705E005D905D505EA002005D505DB05DC05D9002005D405D205D405D4002E002005DE05E105DE05DB05D9002005D4002D005000440046002005E905E005D505E605E805D905DD002005E005D905EA05E005D905DD002005DC05E405EA05D905D705D4002005D105D005DE05E605E205D505EA0020004100630072006F006200610074002005D5002D00410064006F00620065002000520065006100640065007200200035002E0030002005D505D205E805E105D005D505EA002005DE05EA05E705D305DE05D505EA002005D905D505EA05E8002Egt HRV ltFEFF005a00610020007300740076006100720061006e006a0065002000410064006f00620065002000500044004600200064006f006b0075006d0065006e0061007400610020007a00610020006b00760061006c00690074006500740061006e0020006900730070006900730020006e006100200070006900730061010d0069006d006100200069006c0069002000700072006f006f006600650072002000750072006501110061006a0069006d0061002e00200020005300740076006f00720065006e0069002000500044004600200064006f006b0075006d0065006e007400690020006d006f006700750020007300650020006f00740076006f00720069007400690020004100630072006f00620061007400200069002000410064006f00620065002000520065006100640065007200200035002e0030002000690020006b00610073006e0069006a0069006d0020007600650072007a0069006a0061006d0061002egt HUN ltFEFF004d0069006e0151007300e9006700690020006e0079006f006d00610074006f006b0020006b00e90073007a00ed007400e9007300e900680065007a002000610073007a00740061006c00690020006e0079006f006d00740061007400f3006b006f006e002000e9007300200070007200f300620061006e0079006f006d00f3006b006f006e00200065007a0065006b006b0065006c0020006100200062006500e1006c006c00ed007400e10073006f006b006b0061006c002c00200068006f007a007a006f006e0020006c00e9007400720065002000410064006f00620065002000500044004600200064006f006b0075006d0065006e00740075006d006f006b00610074002e0020002000410020006c00e90074007200650068006f007a006f00740074002000500044004600200064006f006b0075006d0065006e00740075006d006f006b00200061007a0020004100630072006f006200610074002c00200061007a002000410064006f00620065002000520065006100640065007200200035002e0030002000e9007300200061007a002000610074007400f3006c0020006b00e9007301510062006200690020007600650072007a006900f3006b006b0061006c00200020006e00790069007400680061007400f3006b0020006d00650067002egt ITA ltFEFF005500740069006c0069007a007a006100720065002000710075006500730074006500200069006d0070006f007300740061007a0069006f006e00690020007000650072002000630072006500610072006500200064006f00630075006d0065006e00740069002000410064006f006200650020005000440046002000700065007200200075006e00610020007300740061006d007000610020006400690020007100750061006c0069007400e00020007300750020007300740061006d00700061006e0074006900200065002000700072006f006f0066006500720020006400650073006b0074006f0070002e0020004900200064006f00630075006d0065006e007400690020005000440046002000630072006500610074006900200070006f00730073006f006e006f0020006500730073006500720065002000610070006500720074006900200063006f006e0020004100630072006f00620061007400200065002000410064006f00620065002000520065006100640065007200200035002e003000200065002000760065007200730069006f006e006900200073007500630063006500730073006900760065002egt JPN ltFEFF9ad854c18cea51fa529b7528002000410064006f0062006500200050004400460020658766f8306e4f5c6210306b4f7f75283057307e30593002537052376642306e753b8cea3092670059279650306b4fdd306430533068304c3067304d307e3059300230c730b930af30c830c330d730d730ea30f330bf3067306e53705237307e305f306f30d730eb30fc30d57528306b9069305730663044307e305930023053306e8a2d5b9a30674f5c62103055308c305f0020005000440046002030d530a130a430eb306f3001004100630072006f0062006100740020304a30883073002000410064006f00620065002000520065006100640065007200200035002e003000204ee5964d3067958b304f30533068304c3067304d307e30593002gt KOR ltFEFFc7740020c124c815c7440020c0acc6a9d558c5ec0020b370c2a4d06cd0d10020d504b9b0d1300020bc0f0020ad50c815ae30c5d0c11c0020ace0d488c9c8b85c0020c778c1c4d560002000410064006f0062006500200050004400460020bb38c11cb97c0020c791c131d569b2c8b2e4002e0020c774b807ac8c0020c791c131b41c00200050004400460020bb38c11cb2940020004100630072006f0062006100740020bc0f002000410064006f00620065002000520065006100640065007200200035002e00300020c774c0c1c5d0c11c0020c5f40020c2180020c788c2b5b2c8b2e4002egt LTH ltFEFF004e006100750064006f006b0069007400650020016100690075006f007300200070006100720061006d006500740072007500730020006e006f0072011700640061006d00690020006b0075007200740069002000410064006f00620065002000500044004600200064006f006b0075006d0065006e007400750073002c0020006b007500720069006500200073006b00690072007400690020006b006f006b0079006200690161006b0061006900200073007000610075007300640069006e007400690020007300740061006c0069006e0069006100690073002000690072002000620061006e00640079006d006f00200073007000610075007300640069006e007400750076006100690073002e0020002000530075006b0075007200740069002000500044004600200064006f006b0075006d0065006e007400610069002000670061006c006900200062016b007400690020006100740069006400610072006f006d00690020004100630072006f006200610074002000690072002000410064006f00620065002000520065006100640065007200200035002e0030002000610072002000760117006c00650073006e0117006d00690073002000760065007200730069006a006f006d00690073002egt LVI ltFEFF0049007a006d0061006e0074006f006a00690065007400200161006f00730020006900650073007400610074012b006a0075006d00750073002c0020006c0061006900200069007a0076006500690064006f00740075002000410064006f00620065002000500044004600200064006f006b0075006d0065006e0074007500730020006b00760061006c0069007400610074012b0076006100690020006400720075006b010101610061006e00610069002000610072002000670061006c006400610020007000720069006e00740065007200690065006d00200075006e0020007000610072006100750067006e006f00760069006c006b0075006d0075002000690065007300700069006500640113006a00690065006d002e00200049007a0076006500690064006f006a006900650074002000500044004600200064006f006b0075006d0065006e007400750073002c0020006b006f002000760061007200200061007400760113007200740020006100720020004100630072006f00620061007400200075006e002000410064006f00620065002000520065006100640065007200200035002e0030002c0020006b0101002000610072012b00200074006f0020006a00610075006e0101006b0101006d002000760065007200730069006a0101006d002egt NLD (Gebruik deze instellingen om Adobe PDF-documenten te maken voor kwaliteitsafdrukken op desktopprinters en proofers De gemaakte PDF-documenten kunnen worden geopend met Acrobat en Adobe Reader 50 en hoger) NOR ltFEFF004200720075006b00200064006900730073006500200069006e006e007300740069006c006c0069006e00670065006e0065002000740069006c002000e50020006f0070007000720065007400740065002000410064006f006200650020005000440046002d0064006f006b0075006d0065006e00740065007200200066006f00720020007500740073006b00720069006600740020006100760020006800f800790020006b00760061006c00690074006500740020007000e500200062006f007200640073006b0072006900760065007200200065006c006c00650072002000700072006f006f006600650072002e0020005000440046002d0064006f006b0075006d0065006e00740065006e00650020006b0061006e002000e50070006e00650073002000690020004100630072006f00620061007400200065006c006c00650072002000410064006f00620065002000520065006100640065007200200035002e003000200065006c006c00650072002000730065006e006500720065002egt POL ltFEFF0055007300740061007700690065006e0069006100200064006f002000740077006f0072007a0065006e0069006100200064006f006b0075006d0065006e007400f3007700200050004400460020007a002000770079017c0073007a010500200072006f007a0064007a00690065006c0063007a006f015b0063006901050020006f006200720061007a006b00f30077002c0020007a0061007000650077006e00690061006a0105006301050020006c006500700073007a01050020006a0061006b006f015b0107002000770079006400720075006b00f30077002e00200044006f006b0075006d0065006e0074007900200050004400460020006d006f017c006e00610020006f007400770069006500720061010700200077002000700072006f006700720061006d006900650020004100630072006f00620061007400200069002000410064006f00620065002000520065006100640065007200200035002e0030002000690020006e006f00770073007a0079006d002egt PTB ltFEFF005500740069006c0069007a006500200065007300730061007300200063006f006e00660069006700750072006100e700f50065007300200064006500200066006f0072006d00610020006100200063007200690061007200200064006f00630075006d0065006e0074006f0073002000410064006f0062006500200050004400460020007000610072006100200069006d0070007200650073007300f5006500730020006400650020007100750061006c0069006400610064006500200065006d00200069006d00700072006500730073006f0072006100730020006400650073006b0074006f00700020006500200064006900730070006f00730069007400690076006f0073002000640065002000700072006f00760061002e0020004f007300200064006f00630075006d0065006e0074006f00730020005000440046002000630072006900610064006f007300200070006f00640065006d0020007300650072002000610062006500720074006f007300200063006f006d0020006f0020004100630072006f006200610074002000650020006f002000410064006f00620065002000520065006100640065007200200035002e0030002000650020007600650072007300f50065007300200070006f00730074006500720069006f007200650073002egt RUM ltFEFF005500740069006c0069007a00610163006900200061006300650073007400650020007300650074010300720069002000700065006e007400720075002000610020006300720065006100200064006f00630075006d0065006e00740065002000410064006f006200650020005000440046002000700065006e007400720075002000740069007001030072006900720065002000640065002000630061006c006900740061007400650020006c006100200069006d007000720069006d0061006e007400650020006400650073006b0074006f00700020015f0069002000700065006e0074007200750020007600650072006900660069006300610074006f00720069002e002000200044006f00630075006d0065006e00740065006c00650020005000440046002000630072006500610074006500200070006f00740020006600690020006400650073006300680069007300650020006300750020004100630072006f006200610074002c002000410064006f00620065002000520065006100640065007200200035002e00300020015f00690020007600650072007300690075006e0069006c006500200075006c0074006500720069006f006100720065002egt RUS ltFEFF04180441043f043e043b044c04370443043904420435002004340430043d043d044b04350020043d0430044104420440043e0439043a043800200434043b044f00200441043e043704340430043d0438044f00200434043e043a0443043c0435043d0442043e0432002000410064006f006200650020005000440046002c0020043f044004350434043d04300437043d043004470435043d043d044b044500200434043b044f0020043a0430044704350441044204320435043d043d043e04390020043f043504470430044204380020043d04300020043d043004410442043e043b044c043d044b04450020043f04400438043d044204350440043004450020043800200443044104420440043e04390441044204320430044500200434043b044f0020043f043e043b044304470435043d0438044f0020043f0440043e0431043d044b04450020043e0442044204380441043a043e0432002e002000200421043e043704340430043d043d044b04350020005000440046002d0434043e043a0443043c0435043d0442044b0020043c043e0436043d043e00200020043e0442043a0440044b043204300442044c002004410020043f043e043c043e0449044c044e0020004100630072006f00620061007400200438002000410064006f00620065002000520065006100640065007200200035002e00300020043800200431043e043b043504350020043f043e04370434043d043804450020043204350440044104380439002egt SKY ltFEFF0054006900650074006f0020006e006100730074006100760065006e0069006100200070006f0075017e0069007400650020006e00610020007600790074007600e100720061006e0069006500200064006f006b0075006d0065006e0074006f0076002000410064006f00620065002000500044004600200070007200650020006b00760061006c00690074006e00fa00200074006c0061010d0020006e0061002000730074006f006c006e00fd0063006800200074006c0061010d00690061007201480061006300680020006100200074006c0061010d006f007600fd006300680020007a006100720069006100640065006e0069006100630068002e00200056007900740076006f00720065006e00e900200064006f006b0075006d0065006e007400790020005000440046002000620075006400650020006d006f017e006e00e90020006f00740076006f00720069016500200076002000700072006f006700720061006d006f006300680020004100630072006f00620061007400200061002000410064006f00620065002000520065006100640065007200200035002e0030002000610020006e006f0076016100ed00630068002e000d000agt SLV ltFEFF005400650020006e006100730074006100760069007400760065002000750070006f0072006100620069007400650020007a00610020007500730074007600610072006a0061006e006a006500200064006f006b0075006d0065006e0074006f0076002000410064006f0062006500200050004400460020007a00610020006b0061006b006f0076006f00730074006e006f0020007400690073006b0061006e006a00650020006e00610020006e0061006d0069007a006e006900680020007400690073006b0061006c006e0069006b0069006800200069006e0020007000720065007600650072006a0061006c006e0069006b00690068002e00200020005500730074007600610072006a0065006e006500200064006f006b0075006d0065006e0074006500200050004400460020006a00650020006d006f0067006f010d00650020006f0064007000720065007400690020007a0020004100630072006f00620061007400200069006e002000410064006f00620065002000520065006100640065007200200035002e003000200069006e0020006e006f00760065006a01610069006d002egt SUO ltFEFF004b00e40079007400e40020006e00e40069007400e4002000610073006500740075006b007300690061002c0020006b0075006e0020006c0075006f0074002000410064006f0062006500200050004400460020002d0064006f006b0075006d0065006e007400740065006a00610020006c0061006100640075006b006100730074006100200074007900f6007000f60079007400e400740075006c006f0073007400750073007400610020006a00610020007600650064006f007300740075007300740061002000760061007200740065006e002e00200020004c0075006f0064007500740020005000440046002d0064006f006b0075006d0065006e00740069007400200076006f0069006400610061006e0020006100760061007400610020004100630072006f0062006100740069006c006c00610020006a0061002000410064006f00620065002000520065006100640065007200200035002e0030003a006c006c00610020006a006100200075007500640065006d006d0069006c006c0061002egt SVE ltFEFF0041006e007600e4006e00640020006400650020006800e4007200200069006e0073007400e4006c006c006e0069006e006700610072006e00610020006f006d002000640075002000760069006c006c00200073006b006100700061002000410064006f006200650020005000440046002d0064006f006b0075006d0065006e00740020006600f600720020006b00760061006c00690074006500740073007500740073006b0072006900660074006500720020007000e5002000760061006e006c00690067006100200073006b0072006900760061007200650020006f006300680020006600f600720020006b006f007200720065006b007400750072002e002000200053006b006100700061006400650020005000440046002d0064006f006b0075006d0065006e00740020006b0061006e002000f600700070006e00610073002000690020004100630072006f0062006100740020006f00630068002000410064006f00620065002000520065006100640065007200200035002e00300020006f00630068002000730065006e006100720065002egt TUR ltFEFF004d00610073006100fc0073007400fc002000790061007a013100630131006c006100720020007600650020006200610073006b01310020006d0061006b0069006e0065006c006500720069006e006400650020006b0061006c006900740065006c00690020006200610073006b013100200061006d0061006301310079006c0061002000410064006f006200650020005000440046002000620065006c00670065006c0065007200690020006f006c0075015f007400750072006d0061006b0020006900e70069006e00200062007500200061007900610072006c0061007201310020006b0075006c006c0061006e0131006e002e00200020004f006c0075015f0074007500720075006c0061006e0020005000440046002000620065006c00670065006c0065007200690020004100630072006f006200610074002000760065002000410064006f00620065002000520065006100640065007200200035002e003000200076006500200073006f006e0072006100730131006e00640061006b00690020007300fc007200fc006d006c00650072006c00650020006100e70131006c006100620069006c00690072002egt UKR ltFEFF04120438043a043e0440043804410442043e043204430439044204350020044604560020043f043004400430043c043504420440043800200434043b044f0020044104420432043e04400435043d043d044f00200434043e043a0443043c0435043d044204560432002000410064006f006200650020005000440046002c0020044f043a04560020043d04300439043a04400430044904350020043f045604340445043e0434044f0442044c00200434043b044f0020043204380441043e043a043e044f043a04560441043d043e0433043e0020043404400443043a04430020043d04300020043d0430044104420456043b044c043d043804450020043f04400438043d044204350440043004450020044204300020043f04400438044104420440043e044f044500200434043b044f0020043e044204400438043c0430043d043d044f0020043f0440043e0431043d0438044500200437043e04310440043004360435043d044c002e00200020042104420432043e04400435043d045600200434043e043a0443043c0435043d0442043800200050004400460020043c043e0436043d04300020043204560434043a0440043804420438002004430020004100630072006f006200610074002004420430002000410064006f00620065002000520065006100640065007200200035002e0030002004300431043e0020043f04560437043d04560448043e04570020043204350440044104560457002egt ENU ltFEFF005500730065002000740068006500730065002000730065007400740069006e0067007300200074006f0020006300720065006100740065002000410064006f0062006500200050004400460020006200610073006500640020006f006e0020007400680065002000640065006600610075006c0074002000580069006c0069006e007800200070006100720061006d0065007400650072002000730065007400740069006e0067007300200064006500660069006e00650064002000620079002000500053003000300034002e002000460069006c0065006e0061006d0065003a002000780069006c0069006e0078002e006a006f0062006f007000740069006f006e0073002e000d0043006f006d007000610074006100620069006c006900740079003a0020004100630072006f00620061007400200037002e0030002000280050004400460031002e00360029002e0020005500730065003a00200046006f00720020006300720065006100740069006e0067002000460069006e0061006c002c0020005000750062006c0069007300680065006400200050004400460073002e000d0043007200650061007400650064002000310030002f00310032002f003100310020006200790020006a0066006f007200730074002egt gtgt Namespace [ (Adobe) (Common) (10) ] OtherNamespaces [ ltlt AsReaderSpreads false CropImagesToFrames true ErrorControl WarnAndContinue FlattenerIgnoreSpreadOverrides false IncludeGuidesGrids false IncludeNonPrinting false IncludeSlug false Namespace [ (Adobe) (InDesign) (40) ] OmitPlacedBitmaps false OmitPlacedEPS false OmitPlacedPDF false SimulateOverprint Legacy gtgt ltlt AddBleedMarks false AddColorBars false AddCropMarks false AddPageInfo false AddRegMarks false ConvertColors NoConversion DestinationProfileName () DestinationProfileSelector NA Downsample16BitImages true FlattenerPreset ltlt PresetSelector MediumResolution gtgt FormElements false GenerateStructure true IncludeBookmarks false IncludeHyperlinks false IncludeInteractive false IncludeLayers false IncludeProfiles true MultimediaHandling UseObjectSettings Namespace [ (Adobe) (CreativeSuite) (20) ] PDFXOutputIntentProfileSelector NA PreserveEditing true UntaggedCMYKHandling LeaveUntagged UntaggedRGBHandling LeaveUntagged UseDocumentBleed false gtgt ]gtgt setdistillerparamsltlt HWResolution [1200 1200] PageSize [612000 792000]gtgt setpagedevice

Page 13: Xilinx UG883 Kintex-7 FPGA KC705 Evaluation Kit Getting ...€¦ · Updated step 2 and step 3 in Requirements to Get Started, page 14. ... KC705 Getting Started Guide 9 UG883 (v3.0)

KC705 Getting Started Guide wwwxilinxcom 13UG883 (v30) April 16 2013

AMS Bring-up with the AMS101 Evaluation Card

Run the BIST Application1 Start the installed terminal program

2 Press PROG (SW14) on the KC705 board and view the BIST output on the terminal window (see Figure 6)

3 Select the relevant tests to run and observe the results

For more information on the BIST software and additional tutorials including how to restore the default content of the onboard nonvolatile storage see the KC705 support website at httpwwwxilinxcomkc705 under the Docs amp Designs tab

AMS Bring-up with the AMS101 Evaluation CardThe Xilinxreg 7 series FPGAs each feature a 1 MSPS 12-bit analog-to-digital converter built into the FPGA for everything from simple analog monitoring to more signal processing intensive tasks like linearization calibration oversampling and filtering The Kintex-7 FPGA KC705 evaluation kit includes the hardware and software to evaluate this feature and determine its usefulness in the userrsquos end system

For evaluation of Xilinx Analog Mixed Signal (AMS) capability the following items from your kit are needed

bull Access to XADC header in your FPGA base board

bull AMS101 evaluation card (Figure 7)

bull FPGA design and software files downloaded from the Docs amp Designs tab at wwwxilinxcomkc705

bull USBUART drivers from Silicon Labs

bull AMS Evaluator tool

X-Ref Target - Figure 6

Figure 6 BIST Main Menu

UG883_06_040913

14 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

AMS Bring-up with the AMS101 Evaluation Card

Requirements to Get Started1 Open the USBUART as described in Install the UART Driver page 11

2 The AMS101 evaluation card requires a Windows host PC to install the AMS Evaluator tool which uses National Instruments LabView run-time engine Install the AMS Evaluator tool by unzipping the AMS Evaluator installer fileshttpssecurexilinxcomwebregclickthroughdocid=199651amplicense=RefDesLicenseampfilename=ams101_gui_installer_v1_1zip located in the Targeted Reference Designs section in the Docs amp Designs tab at wwwxilinxcomkc705 After opening the zip file folder click the setupexe file to begin the installation When loading the National Instruments LabView runtime engine Click OK to accept the license agreement Running the setup program loads the AMS101 Evaluator tool with the red Xilinx logo on the desktop

3 Download the AMS Targeted Reference Design files from the Docs amp Designs tab Kintex-7 FPGA KC705 Evaluation Kit - (7 Series FPGA AMS Targeted Reference Design User Guide (Vivado Design Suite 20124 and ISE Design Suite 144)) section at wwwxilinxcomkc705 Unzip the xadc_eval_design_kc705_V1_0zip files Open the ready_to_test folder Save the xadc_eval_designbit bitstream

X-Ref Target - Figure 7

Figure 7 AMS101 Evaluation Card

UG883_07_121112

KC705 Getting Started Guide wwwxilinxcom 15UG883 (v30) April 16 2013

AMS Bring-up with the AMS101 Evaluation Card

Evaluating AMS1 Connect and power the hardware

a Plug the AMS101 evaluation card into the XADC header on your FPGA base board

Note Ensure the notch on the XADC header lines up correctly with the AMS101 evaluation card (see Figure 8)

b Power up the FPGA base board

2 Download the design to the FPGA Installation of ISE Programming tools or Lab Tools is required for downloading the bitstream to the FPGA

Refer to the Vivado Design Suite User Guide Programming and Debugging (UG908) at httpwwwxilinxcomsupportdocumentationdt_vivadohtm to obtain information on downloading the design to the FPGA

a Open the xadc_eval_designbit file from the AMS Targeted Reference Design files

3 Run the AMS101 Evaluator LabVIEW GUI executable from your desktop After loading the AMS Evaluator installer files a red X with the AMS Evaluator program should reside on your desktop

Note The AMS bitstream can also be loaded with ChipScope analyzer

The AMS101 Evaluator GUI is shown in Figure 9

X-Ref Target - Figure 8

Figure 8 KC705 Base Board with AMS101 Evaluation Card Plugged into XADC Header

UG883_08_121112

16 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

AMS Bring-up with the AMS101 Evaluation Card

The AMS Evaluator Tool allows designers to quickly evaluate the analog signals in the time domain frequency domain display linearity verify the XADC register settings and measure the internal temperature sensor and supply voltages

For a more extensive explanation of the AMS101 evaluation card and the applicable files refer to AMS101 Evaluation Platform User Guide (UG886)

X-Ref Target - Figure 9

Figure 9 AMS101 Evaluator GUI

UG883_09_121112

Select COM Port Here

KC705 Getting Started Guide wwwxilinxcom 17UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

Advanced Bring-up Using the Base Targeted Reference DesignThe primary components of the Kintex-7 FPGA Base TRD are

bull Integrated Endpoint block for PCI Express (PCIe) See 7 Series FPGAs Integrated Block for PCI Express User Guide (UG477)

bull Northwest Logic Packet DMA [Ref 5]

bull Multiport Virtual FIFO

The TRD system can sustain up to 10 Gbs throughput end to end

Figure 10 provides an overview of the TRD

Note In Figure 10 the arrows indicate AXI interface directions (from master to slave) They do not indicate data flow directions

ComponentsThe Kintex-7 FPGA Base TRD features these components

bull Kintex-7 FPGA integrated Endpoint block for PCI Express

bull Configured with 4 lanes at a 5 Gbs link rate (Gen2) or 8 lanes at a 25 Gbs link rate (Gen1) for PCI Express v20

bull Provides a user interface compliant with AXI4-Stream interface protocol

bull Performance monitor tracks the integrated blockrsquos AXI4-Stream interface for PCIe transactions

bull Bus Mastering Scatter-Gather Packet DMA from Northwest Logic a multichannel DMA

bull Supports full-duplex operation with independent transmit and receive paths

X-Ref Target - Figure 10

Figure 10 Kintex-7 FPGA Base TRD Block Diagram

UG883_10_121112

Multiport Virtual FIFO

DD

R3

IO

Software

Multi-ChannelDMA for PCIe DDR3

Cha

nnel

-0C

2SS

2CC

hann

el-1

S2C

C2S

64 x1600 Mbs

PC

Ie x

4Gen

2 Li

nk

PC

Ie x

8Gen

1 Li

nk

VFIFOController

SoftwareDriver

Interface Blocks in FPGA Third Party IPXilinx IP On BoardCustom Logic

AXI-ST AXI-MM

Hardware

VFIFOController

VFIFOController

VFIFOController

Raw Packet Data Block

Checker

Generator

Loop

back

Loop

back

Raw Packet Data Block

Generator

Checker

User SpaceRegisters

Target InterfaceAXI Master

256 x200 MHz

64 x

250

MH

z

64 x250 MHz

64 x250 MHz

64 x250 MHz

64 x250 MHz

PerformanceMonitor

GU

I

GT

X T

rans

ceiv

er

Inte

grat

ed E

ndpo

int B

lock

for

PC

I Exp

ress

AX

I-S

T B

asic

Wra

pper

AXIMIG

AXIInterconnect

SI SI

SI SIM

I

18 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

bull Provides an AXI4-Stream interface on the back end

bull Monitors the performance of data transfers in receive and transmit directions

bull Provides an AXI4 memory-mapped target interface to access user-defined registers

Note The Northwest Logic Packet DMA shipped with the Base TRD is an evaluation version and expires after 12 hours of run time To get the full version contact Northwest Logic [Ref 7]

bull Multiport Virtual FIFO

bull DDR3 SDRAM SODIMM (64-bit 1600 Mbs 800 MHz) is used for buffering packets The memory controller delivered through the memory interface generator (MIG) tool interfaces to the DDR3 memory

bull AXI Interconnect IP along with the memory controller supports multiple ports on the memory

bull The Packetized Virtual FIFO controller controls addressing of the DDR3 memory for each port allowing DDR3 to be used as Virtual Packet FIFO

bull Software driver for a 32-bit Linux platform

bull Configures the hardware design parameters

bull Generates and consumes traffic

bull Provides a GUI to report status and performance statistics

The Kintex-7 FPGA integrated Endpoint block for PCI Express and the Packet DMA are responsible for data transfers from host system to Endpoint card (S2C) and Endpoint card to host system (C2S) Data to and from the host is stored in a Virtual FIFO built around the DDR3 memory This Multiport Virtual FIFO abstraction layer around the DDR3 memory allows the user to move traffic efficiently without the need to manage addressing and arbitration on the memory interface It also provides more depth than storage implemented using Block RAMs

The integrated Endpoint block for PCI Express Packet DMA and Multiport Virtual FIFO form the base system The base system can bridge the host to any user application running on the other end The raw data packet module is a dummy application that generates and consumes packets It can be replaced by any user specific protocol like Aurora or XAUI

The software driver runs on the host system It generates raw data traffic for transmit operations in the S2C direction It also consumes the data looped back or generated at the application end in the C2S direction

Hardware Test Setup RequirementsThese are the prerequisites for testing the design in hardware

bull KC705 Evaluation board with the Kintex-7 FPGA XC7K325T-2FFG900C device

bull Design files provided as a zipped collection under the Docs amp Designs tab at wwwxilinxcomkc705 include

bull Design source files

bull Device driver files

bull Board design files

bull Documentation

bull Vivado Design Suite

bull ISE Programming tools or LabTools

KC705 Getting Started Guide wwwxilinxcom 19UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

bull Micro USB cable

bull 4-pin to 6-pin PCIe adapter cable

bull Fedora 16 Live DVD [Ref 6]

bull PC with PCIe v20 slot For a complete list of machines tested and all known issues refer to the Kintex-7 FPGA Base Targeted Reference Design Release Notes and Known Issues Master Answer Record (httpwwwxilinxcomsupportanswers45679htm) This PC could also have Fedora Core 16 Linux OS installed on it

TRD Demonstration SetupThis section describes hardware setup and use of the application GUI to help the user get started quickly with the design in hardware It provides a step-by-step explanation of hardware bring-up and describes using the application GUI

When following the demonstration setup steps for the Kintex-7 FPGA Base TRD if the behavior is not as described refer to the known issues at wwwxilinxcomsupportanswers45679htm

Board Setup

This section describes how to set up the KC705 Evaluation board required to demonstrate the TRD

1 Set the KC705 Jumpers and Switches Verify that the KC705 Evaluation board jumpers and switches are set as shown in Table 2 and Figure 11

Table 2 Switch and Jumper Settings

Jumper Function Setting

J32 PCIe configuration width mdash 4 lane design Jump 3-4

Switch Function or Type Setting

SW15 Board power slide-switch Off

SW11 User GPIO DIP switch

4 Off

3 Off

2 Off

1 Off

S13 DIP switch SW13 positions 1 and 2 control the setting of address bits of the flashDIP switch SW13 positions 3 4 and 5 control which configuration mode

5 (M0) M2 =0 M1=1 M0=0 ndash Master BPIM2 =0 M1=0 M0=1 ndash Master SPIM2 =1 M1=0 M0=1 ndash JTAG

Off

4 (M1) On

3 (M2) Off

2 Off

1 Off

20 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

Hardware Bring-Up

This section presents steps for hardware bring-up

1 With the host system switched off insert the KC705 board in the PCIe slot through the PCI Express x8 or x16 edge connector (Figure 12)

The TRD programmed on the KC705 board has a 4-lane PCIe v20 configuration running at a 5 Gbs link rate per lane The PCI Express specification allows for a smaller lane width Endpoint to be installed into a larger lane width PCIe connector

2 Figure 13 shows the 12V power connection Connect the 12V ATX power supplyrsquos available 4-pin connector to the board (J49) via a 4-pin to 6-pin PCIe adapter cable Toggle the Power switch SW15 to the ON position

X-Ref Target - Figure 11

Figure 11 Switch and Jumper Settings

UG883_11_040913

J27 J28

J29 J30SW13

J32

SW15SW11

X-Ref Target - Figure 12if

Figure 12 KC705 Board Plugged into a PCIe x16 Slot

UG883_12_040913

KC705 Getting Started Guide wwwxilinxcom 21UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

3 Make sure the connections are tight and then power on the PC system

Note If the user wishes to boot Linux from the Fedora 16 Live DVD place the DVD in the PCrsquos DVD-ROM drive as soon as the PC system is powered on

4 Verify the status of the design on the KC705 LEDs The design provides status on the GPIO LEDs on the upper right of the KC705 board (Figure 14) After the PC system is powered on and the TRD has successfully configured status LEDs from right to left should indicate

bull LED 0 mdash ON if the PCIe link is up

bull LED 1 mdash Flashes if the PCIe user clock is present

bull LED 2 mdash ON if lane width is what is expected else it flashes (for a 4 lane design the expected lane width is 4 for an 8 lane design the expected lane width is 8)

bull LED 3 mdash ON if memory calibration is done

bull LED 4 to LED 7 mdash Not connected

X-Ref Target - Figure 13

Figure 13 Power Supply Connection

UG883_13_121112

SW15

J49 6-pinConnector

12V ATX PowerSupply Pluggedinto the 4-pinConnector

22 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

Install the Linux Driver

1 If Fedora 16 is installed on the PC systemrsquos hard disk boot as a root-privileged user and skip to step 3 page 23

2 To boot from the Fedora 16 Live DVD provided in the kit place the DVD in the PCrsquos DVD-ROM drive The Fedora 16 Live Media is for Intel-compatible PCs The DVD contains a complete bootable 32-bit Fedora 16 environment with the proper packages installed for the TRD demonstration environment For more details see Fedora Information page 2 The PC boots from the DVD-ROM drive and logs into a liveuser account This account has kernel development root privileges required to install and remove device driver modules

Note Users might have to adjust BIOS boot order settings to make sure that the DVD-ROM drive is the first drive in the boot order To enter the BIOS menu to set the boot order press the DEL or F2 key when the system is powered on Set the boot order and save the changes (The DEL or F2 key is used by most PC systems to enter the BIOS setup Some PCs might have a different way to enter the BIOS setup)

The PC should boot from the DVD-ROM drive The images in Figure 15 are seen on the monitor during boot up

X-Ref Target - Figure 14

Figure 14 Location of GPIO Status LEDs (Indicates TRD Status)

UG883_14_121112

KC705 Getting Started Guide wwwxilinxcom 23UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

3 After Fedora 16 Core boots open a terminal window (click Activities click Application scroll down and click the Terminal icon) To find out if the PCIe Endpoint is detected at the terminal command line type

$ lspci

The lspci command displays the devices in the PCI and PCI Express buses of the PC On the bus of the KC705 card slot is the message

Communication controller Xilinx Corporation Device 7042

This message confirms that the design programmed into the KC705 board has been found by the BIOS and the Fedora 16 OS The bus number varies depending on which PC motherboard and slot are used Figure 16 shows a lspci output for an example system Xilinx device 7042 has been found by the BIOS on bus number 2 (02000 - busdevfunction)

X-Ref Target - Figure 15

Figure 15 Fedora 16 Live DVD BootingFirst Screen Last Boot Screen Booted

UG883 15 040913

X-Ref Target - Figure 16

Figure 16 PCI and PCI Express Bus Devices

UG883_16_040913

24 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

4 Download the reference design from the Docs amp Designs tab at wwwxilinxcomkc705 and copy the k7_pcie_dma_ddr3_base folder into any directory

5 To set up and run the TRD demonstration the software driver should be installed on the PC system Software driver installation involves

a Building the kernel objects and the GUI

b Inserting the driver modules into the kernel

After the driver modules are loaded the application GUI can be invoked The user can set parameters through the GUI and run the TRD

When the user is done running the TRD the application GUI can be closed and the drivers can be removed

A script is provided to execute all the above actions so that the user can quickly start the TRD

The k7_trd_lin_quickstart script is available in the k7_pcie_dma_ddr3_base folder Right click the script select properties go to the permissions tab check the box Allow executing file as programmdashthis makes the script executable Close the window

To run the script double-click k7_trd_lin_quickstart in the k7_pcie_dma_ddr3_base folder (Figure 17) The window prompt in Figure 18 appears

X-Ref Target - Figure 17

Figure 17 Load Driver and Launch Application GUI

UG883_17_121112

KC705 Getting Started Guide wwwxilinxcom 25UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

Click Run in Terminal to proceed The application GUI is invoked

Proceed to the next section Using the Application GUI to set design parameters and run the TRD

X-Ref Target - Figure 18

Figure 18 Run in Terminal

UG883_18_040913

26 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

Using the Application GUI

When the drivers are loaded and the Performance Monitor GUI is invoked the user can configure the sending and receiving of data The GUI allows the user to observe the collected statistics and other status information

1 Click the System Status tab to verify the status of the KC705 board and the PCIe link (see Figure 19 and Table 3)

X-Ref Target - Figure 19

Figure 19 Verify Board Status in the Performance Monitor

UG883_19_121112

KC705 Getting Started Guide wwwxilinxcom 27UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

2 To start data traffic on the two data paths

a Click Start Test on Raw Data Path0 as shown in Figure 20 This enables the driver to start generating the data for Raw Data Path0

b Click Start Test on Raw Data Path1 as shown in Figure 20 This enables the driver to start generating the data for Raw Data Path1

Table 3 KC705 Board Status Field Explanations

Field Status Explanation

Link Status Up This confirms that the PCIe link is up and a PCIe connection is established between the Kintex-7 FPGA Endpoint for PCI Express and the PC motherboard chipset

Link Speed 50 Gbs This confirms that the PCIe link is operating at line rate speed per PCI Express v20

Link Width x4 This confirms that the PCIe link is trained as a x4 link

28 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

3 Verify TRD operations through the status information provided by the GUI (see Figure 21)

a Verify the PCIe throughput

b Verify the DMA channel throughput for the Raw Data Path0

c Verify the DMA channel throughput for the Raw Data Path1

d Verify there are no buffer descriptor errors for error-free operation

X-Ref Target - Figure 20

Figure 20 Start Data Traffic from the Performance Monitor

UG883_20_121112

KC705 Getting Started Guide wwwxilinxcom 29UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

The Kintex-7 FPGA PCIe-DMA TRD is now set up and running Close the Application GUI to unload the software drivers and stop traffic flow

X-Ref Target - Figure 21

Figure 21 Verify Error-Free Operation in the Performance Monitor

UG883_21_121112

30 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

Evaluating the Kintex-7 FPGA Base TRDThe Kintex-7 FPGA Base TRD provides a Performance and Status monitor application and GUI The application enables customers to evaluate different system parameters This section demonstrates performance variances for the PCI Express and DMA interfaces based on the parameters set

To evaluate the Kintex-7 FPGA Base TRD

1 Launch the Performance Monitor for the Kintex-7 FPGA Base TRD

a Navigate to the k7_pcie_dma_ddr3_base folder

b Double-click k7_lin_trd_quickstart (Figure 22)mdashmake sure that the script has executable permissionmdashto launch the Performance Monitor and Status GUI

c A window prompt appears as shown in Figure 23 Click Run in Terminal to proceed

2 Set up the test parameters in the Performance Monitor

a Two data paths are available Raw Data Path0 and Raw Data Path1 On each path set the Packet Size to a value between 64 ndash 32768 bytes

X-Ref Target - Figure 22

Figure 22 Launch the Performance Monitor and Status GUI

UG883_22_121112

X-Ref Target - Figure 23

Figure 23 Run k7_lin_trd_quickstart

UG883_23_121112

KC705 Getting Started Guide wwwxilinxcom 31UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

3 Execute the test and view payload statistics in the Performance and Status Monitor (see Figure 24)

a Click Start Test to start the performance test

b Click the Payload Statistics tab to view data transfers on the DMA channels

c Click Stop Test to stop data traffic

d Vary the Packet Size parameters for the Raw Data Paths (see Figure 25) and click Start Test Then view the payload statistics to review data transfer rate on the DMA channels With a decrease in packet size the performance drops

Note Before changing packet size click Stop Test change the size and then click Start Test

X-Ref Target - Figure 24

Figure 24 Payload Statistics

UG883_24_121112

32 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

Note For packet sizes equal to 64 or 128 bytes the throughput is reduced and might not be visible on the Payload Statistics tab The exact values can be viewed on the System Status tab

4 Click the PCIe Statistics tab to view data transfer numbers with varying packet sizes on the PCIe interface (Figure 26)

X-Ref Target - Figure 25

Figure 25 Effect of Varying Packet Sizes on Performance

UG883_25_121112

KC705 Getting Started Guide wwwxilinxcom 33UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

The system performance of the Kintex-7 FPGA Base TRD has now been evaluated using the pre-built demonstration design bit file

Now that the Kintex-7 FPGA Base TRD demonstration has been set up and evaluated the design can be modified Before the design can be modified make sure to install the Vivado Design Suite on a PC It is not required that tools be installed on the PC system in which the KC705 evaluation board is plugged in by way of the PCIe edge connector

X-Ref Target - Figure 26

Figure 26 PCIe Statistics in the Performance Monitor

UG883_26_121112

34 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

KC705 Getting Started Guide wwwxilinxcom 35UG883 (v30) April 16 2013

Appendix A

Additional Resources

Xilinx ResourcesFor support resources such as Answers Documentation Downloads and Forums see the Xilinx Support website at

wwwxilinxcomsupport

For continual updates add the Answer Record to your myAlerts

wwwxilinxcomsupportmyalerts

For a glossary of technical terms used in Xilinx documentation see

wwwxilinxcomcompanytermshtm

Solution CentersSee the Xilinx Solution Centers for support on devices software tools and intellectual property at all stages of the design cycle Topics include design assistance advisories and troubleshooting tips

Further ResourcesThe most up to date information related to the KC705 board and its documentation is available on the following websites

The Kintex-7 FPGA KC705 Evaluation Kit Product Page

wwwxilinxcomkc705

The Kintex-7 FPGA KC705 Evaluation Kit Master Answer Record

wwwxilinxcomsupportanswers47787htm

Design advisories by software release for the Kintex-7 FPGA KC705 Evaluation Kit

wwwxilinxcomsupportnav=sd-nav-link-179661amptab=tab-bk

Kintex-7 FPGA Base Targeted Reference Design Release Notes and Known Issues Master Answer Record

wwwxilinxcomsupportanswers45679htm

These documents provide supplemental material useful with this user guide

UG810 KC705 Evaluation Board for the Kintex-7 FPGA User Guide

UG845 KC705 Reference Design User Guide

36 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Appendix A Additional Resources

UG798 Xilinx Design Tools Installation and Licensing Guide Vivado Design Suite and ISE Design Suite

UG908 Vivado Design Suite User Guide Programming and Debugging

UG882 Kintex-7 FPGA KC705 Base Targeted Reference Design User Guide (Vivado Design Suite 20124)

UG886 AMS101 Evaluation Card User Guide

UG477 7 Series FPGAs Integrated Block for PCI Express User Guide

ReferencesThe following websites provide supplemental material useful with this guide

1 LabVIEW 32-bit Run-Time Enginehttpjoulenicomniducdsviewpid2534langen

2 LabVIEW 64-bit Run-Time Engine httpjoulenicomniducdsviewpid2536langen

3 Tera Term Pro program httphpvectorcojpauthorsVA002416teratermhtml

4 Drivers on the Silicon Labs site httpwwwsilabscomSupport20DocumentsSoftwareCP210x_VCP_Win_XP_S2K3_Vista_7exe

5 Northwest Logic DMA back end core httpwwwnwlogiccompacketdma

6 Fedora project httpfedoraprojectorg

Fedora is a Linux-based operating system used in the development of this TRD

7 The GTK+ project API documentation httpwwwgtkorgdocumentationphp

GTK+ is a toolkit for creating graphical user interfaces (GUI)

KC705 Getting Started Guide wwwxilinxcom 37UG883 (v30) April 16 2013

Appendix B

Warranty

THIS LIMITED WARRANTY applies solely to standard hardware development boards and standard hardware programming cables manufactured by or on behalf of Xilinx (ldquoDevelopment Systemsrdquo) Subject to the limitations herein Xilinx warrants that Development Systems when delivered by Xilinx or its authorized distributor for ninety (90) days following the delivery date will be free from defects in material and workmanship and will substantially conform to Xilinx publicly available specifications for such products in effect at the time of delivery This limited warranty excludes (i) engineering samples or beta versions of Development Systems (which are provided ldquoAS ISrdquo without warranty) (ii) design defects or errors known as ldquoerratardquo (iii) Development Systems procured through unauthorized third parties and (iv) Development Systems that have been subject to misuse mishandling accident alteration neglect unauthorized repair or installation Furthermore this limited warranty shall not apply to the use of covered products in an application or environment that is not within Xilinx specifications or in the event of any act error neglect or default of Customer For any breach by Xilinx of this limited warranty the exclusive remedy of Customer and the sole liability of Xilinx shall be at the option of Xilinx to replace or repair the affected products or to refund to Customer the price of the affected products The availability of replacement products is subject to product discontinuation policies at Xilinx Customer may not return product without first obtaining a customer return material authorization (RMA) number from XilinxTHE WARRANTIES SET FORTH HEREIN ARE EXCLUSIVE XILINX DISCLAIMS ALL OTHER WARRANTIES WHETHER EXPRESS IMPLIED OR STATUTORY INCLUDING WITHOUT LIMITATION ANY WARRANTY OF MERCHANTABILITY FITNESS FOR A PARTICULAR PURPOSE OR NON-INFRINGEMENT AND ANY WARRANTY THAT MAY ARISE FROM COURSE OF DEALING COURSE OF PERFORMANCE OR USAGE OF TRADE (200810)

Do not throw Xilinx products marked with the ldquocrossed out wheeled binrdquo in the trash Directive 200296EC on waste electrical and electronic equipment (WEEE) requires the separate collection of WEEE Your cooperation is essential in ensuring the proper management of WEEE and the protection of the environment and human health from potential effects arising from the presence of hazardous substances in WEEE Return the marked products to Xilinx for proper disposal Further information and instructions for free-of-charge return available at httpwwwxilinxcomehsweeehtm

38 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Appendix B Warranty

  • Kintex-7 FPGA KC705 Evaluation Kit (Vivado Design Suite 20131)
    • Revision History
    • Table of Contents
    • Getting Started with the Kintex-7 FPGA KC705 Evaluation Kit (Vivado Design Suite 20131)
      • Introduction
      • Kit Contents
      • Basic Hardware Bring-up Using the BIST
        • Hardware Test Setup Requirements
          • Hardware Test Board Setup Requirements
            • Hardware Bring-Up
            • Run the BIST Application
              • AMS Bring-up with the AMS101 Evaluation Card
                • Requirements to Get Started
                • Evaluating AMS
                  • Advanced Bring-up Using the Base Targeted Reference Design
                    • Components
                    • Hardware Test Setup Requirements
                    • TRD Demonstration Setup
                    • Evaluating the Kintex-7 FPGA Base TRD
                        • Additional Resources
                          • Xilinx Resources
                          • Solution Centers
                          • Further Resources
                          • References
                            • Warranty
                                • ltlt ASCII85EncodePages false AllowTransparency false AutoPositionEPSFiles true AutoRotatePages All Binding Left CalGrayProfile (Dot Gain 20) CalRGBProfile (sRGB IEC61966-21) CalCMYKProfile (US Web Coated 050SWOP051 v2) sRGBProfile (sRGB IEC61966-21) CannotEmbedFontPolicy Warning CompatibilityLevel 16 CompressObjects Tags CompressPages true ConvertImagesToIndexed true PassThroughJPEGImages true CreateJobTicket false DefaultRenderingIntent Default DetectBlends true DetectCurves 00000 ColorConversionStrategy LeaveColorUnchanged DoThumbnails false EmbedAllFonts true EmbedOpenType false ParseICCProfilesInComments true EmbedJobOptions true DSCReportingLevel 0 EmitDSCWarnings false EndPage -1 ImageMemory 1048576 LockDistillerParams true MaxSubsetPct 100 Optimize true OPM 1 ParseDSCComments true ParseDSCCommentsForDocInfo true PreserveCopyPage true PreserveDICMYKValues true PreserveEPSInfo true PreserveFlatness true PreserveHalftoneInfo false PreserveOPIComments false PreserveOverprintSettings true StartPage 1 SubsetFonts true TransferFunctionInfo Apply UCRandBGInfo Preserve UsePrologue false ColorSettingsFile () AlwaysEmbed [ true ] NeverEmbed [ true ] AntiAliasColorImages false CropColorImages true ColorImageMinResolution 300 ColorImageMinResolutionPolicy OK DownsampleColorImages false ColorImageDownsampleType Bicubic ColorImageResolution 300 ColorImageDepth -1 ColorImageMinDownsampleDepth 1 ColorImageDownsampleThreshold 150000 EncodeColorImages true ColorImageFilter DCTEncode AutoFilterColorImages true ColorImageAutoFilterStrategy JPEG ColorACSImageDict ltlt QFactor 040 HSamples [1 1 1 1] VSamples [1 1 1 1] gtgt ColorImageDict ltlt QFactor 015 HSamples [1 1 1 1] VSamples [1 1 1 1] gtgt JPEG2000ColorACSImageDict ltlt TileWidth 256 TileHeight 256 Quality 30 gtgt JPEG2000ColorImageDict ltlt TileWidth 256 TileHeight 256 Quality 30 gtgt AntiAliasGrayImages false CropGrayImages true GrayImageMinResolution 300 GrayImageMinResolutionPolicy OK DownsampleGrayImages false GrayImageDownsampleType Bicubic GrayImageResolution 300 GrayImageDepth -1 GrayImageMinDownsampleDepth 2 GrayImageDownsampleThreshold 150000 EncodeGrayImages true GrayImageFilter DCTEncode AutoFilterGrayImages true GrayImageAutoFilterStrategy JPEG GrayACSImageDict ltlt QFactor 040 HSamples [1 1 1 1] VSamples [1 1 1 1] gtgt GrayImageDict ltlt QFactor 015 HSamples [1 1 1 1] VSamples [1 1 1 1] gtgt JPEG2000GrayACSImageDict ltlt TileWidth 256 TileHeight 256 Quality 30 gtgt JPEG2000GrayImageDict ltlt TileWidth 256 TileHeight 256 Quality 30 gtgt AntiAliasMonoImages false CropMonoImages true MonoImageMinResolution 1200 MonoImageMinResolutionPolicy OK DownsampleMonoImages false MonoImageDownsampleType Bicubic MonoImageResolution 1200 MonoImageDepth -1 MonoImageDownsampleThreshold 150000 EncodeMonoImages true MonoImageFilter CCITTFaxEncode MonoImageDict ltlt K -1 gtgt AllowPSXObjects false CheckCompliance [ None ] PDFX1aCheck false PDFX3Check false PDFXCompliantPDFOnly false PDFXNoTrimBoxError true PDFXTrimBoxToMediaBoxOffset [ 000000 000000 000000 000000 ] PDFXSetBleedBoxToMediaBox true PDFXBleedBoxToTrimBoxOffset [ 000000 000000 000000 000000 ] PDFXOutputIntentProfile (None) PDFXOutputConditionIdentifier () PDFXOutputCondition () PDFXRegistryName () PDFXTrapped False CreateJDFFile false Description ltlt ARA ltFEFF06270633062A062E062F0645002006470630064700200627064406250639062F0627062F0627062A002006440625064606340627062100200648062B062706260642002000410064006F00620065002000500044004600200645062A0648062706410642062900200644064406370628062706390629002006300627062A002006270644062C0648062F0629002006270644063906270644064A06290020064506460020062E06440627064400200627064406370627062806390627062A00200627064406450643062A0628064A062900200623064800200623062C06470632062900200625062C06310627062100200627064406280631064806410627062A061B0020064A06450643064600200641062A062D00200648062B0627062606420020005000440046002006270644064506460634062306290020062806270633062A062E062F062706450020004100630072006F0062006100740020064800410064006F006200650020005200650061006400650072002006250635062F0627063100200035002E0030002006480627064406250635062F062706310627062A0020062706440623062D062F062B002E0020064506390020005000440046002F0041060C0020062706440631062C062706210020064506310627062C063906290020062F0644064A0644002006450633062A062E062F06450020004100630072006F006200610074061B0020064A06450643064600200641062A062D00200648062B0627062606420020005000440046002006270644064506460634062306290020062806270633062A062E062F062706450020004100630072006F0062006100740020064800410064006F006200650020005200650061006400650072002006250635062F0627063100200035002E0030002006480627064406250635062F062706310627062A0020062706440623062D062F062B002Egt BGR ltFEFF04180437043f043e043b043704320430043904420435002004420435043704380020043d0430044104420440043e0439043a0438002c00200437043000200434043000200441044a0437043404300432043004420435002000410064006f00620065002000500044004600200434043e043a0443043c0435043d044204380020043704300020043a0430044704350441044204320435043d0020043f04350447043004420020043d04300020043d043004410442043e043b043d04380020043f04400438043d04420435044004380020043800200443044104420440043e043904410442043204300020043704300020043f04350447043004420020043d04300020043f0440043e0431043d04380020044004300437043f0435044704300442043a0438002e002000200421044a04370434043004340435043d043804420435002000500044004600200434043e043a0443043c0435043d044204380020043c043e0433043004420020043404300020044104350020043e0442043204300440044f0442002004410020004100630072006f00620061007400200438002000410064006f00620065002000520065006100640065007200200035002e00300020043800200441043b0435043404320430044904380020043204350440044104380438002egt CHS ltFEFF4f7f75288fd94e9b8bbe5b9a521b5efa7684002000500044004600206587686353ef901a8fc7684c976262535370673a548c002000700072006f006f00660065007200208fdb884c9ad88d2891cf62535370300260a853ef4ee54f7f75280020004100630072006f0062006100740020548c002000410064006f00620065002000520065006100640065007200200035002e003000204ee553ca66f49ad87248672c676562535f00521b5efa768400200050004400460020658768633002gt CHT ltFEFF4f7f752890194e9b8a2d7f6e5efa7acb7684002000410064006f006200650020005000440046002065874ef653ef5728684c9762537088686a5f548c002000700072006f006f00660065007200204e0a73725f979ad854c18cea7684521753706548679c300260a853ef4ee54f7f75280020004100630072006f0062006100740020548c002000410064006f00620065002000520065006100640065007200200035002e003000204ee553ca66f49ad87248672c4f86958b555f5df25efa7acb76840020005000440046002065874ef63002gt CZE ltFEFF005400610074006f0020006e006100730074006100760065006e00ed00200070006f0075017e0069006a007400650020006b0020007600790074007600e101590065006e00ed00200064006f006b0075006d0065006e0074016f002000410064006f006200650020005000440046002000700072006f0020006b00760061006c00690074006e00ed0020007400690073006b0020006e0061002000730074006f006c006e00ed006300680020007400690073006b00e10072006e00e100630068002000610020006e00e1007400690073006b006f007600fd006300680020007a0061015900ed007a0065006e00ed00630068002e002000200056007900740076006f01590065006e00e900200064006f006b0075006d0065006e007400790020005000440046002000620075006400650020006d006f017e006e00e90020006f007400650076015900ed007400200076002000700072006f006700720061006d0065006300680020004100630072006f00620061007400200061002000410064006f00620065002000520065006100640065007200200035002e0030002000610020006e006f0076011b006a016100ed00630068002egt DAN ltFEFF004200720075006700200069006e0064007300740069006c006c0069006e006700650072006e0065002000740069006c0020006100740020006f007000720065007400740065002000410064006f006200650020005000440046002d0064006f006b0075006d0065006e007400650072002000740069006c0020006b00760061006c00690074006500740073007500640073006b007200690076006e0069006e006700200065006c006c006500720020006b006f007200720065006b007400750072006c00e60073006e0069006e0067002e0020004400650020006f007000720065007400740065006400650020005000440046002d0064006f006b0075006d0065006e0074006500720020006b0061006e002000e50062006e00650073002000690020004100630072006f00620061007400200065006c006c006500720020004100630072006f006200610074002000520065006100640065007200200035002e00300020006f00670020006e0079006500720065002egt DEU ltFEFF00560065007200770065006e00640065006e0020005300690065002000640069006500730065002000450069006e007300740065006c006c0075006e00670065006e0020007a0075006d002000450072007300740065006c006c0065006e00200076006f006e002000410064006f006200650020005000440046002d0044006f006b0075006d0065006e00740065006e002c00200076006f006e002000640065006e0065006e002000530069006500200068006f00630068007700650072007400690067006500200044007200750063006b006500200061007500660020004400650073006b0074006f0070002d0044007200750063006b00650072006e00200075006e0064002000500072006f006f0066002d00470065007200e400740065006e002000650072007a0065007500670065006e0020006d00f60063006800740065006e002e002000450072007300740065006c006c007400650020005000440046002d0044006f006b0075006d0065006e007400650020006b00f6006e006e0065006e0020006d006900740020004100630072006f00620061007400200075006e0064002000410064006f00620065002000520065006100640065007200200035002e00300020006f0064006500720020006800f600680065007200200067006500f600660066006e00650074002000770065007200640065006e002egt ESP ltFEFF005500740069006c0069006300650020006500730074006100200063006f006e0066006900670075007200610063006900f3006e0020007000610072006100200063007200650061007200200064006f00630075006d0065006e0074006f0073002000640065002000410064006f0062006500200050004400460020007000610072006100200063006f006e00730065006700750069007200200069006d0070007200650073006900f3006e002000640065002000630061006c006900640061006400200065006e00200069006d0070007200650073006f0072006100730020006400650020006500730063007200690074006f00720069006f00200079002000680065007200720061006d00690065006e00740061007300200064006500200063006f00720072006500630063006900f3006e002e002000530065002000700075006500640065006e00200061006200720069007200200064006f00630075006d0065006e0074006f00730020005000440046002000630072006500610064006f007300200063006f006e0020004100630072006f006200610074002c002000410064006f00620065002000520065006100640065007200200035002e003000200079002000760065007200730069006f006e0065007300200070006f00730074006500720069006f007200650073002egt ETI ltFEFF004b00610073007500740061006700650020006e0065006900640020007300e4007400740065006900640020006c006100750061002d0020006a00610020006b006f006e00740072006f006c006c007400f5006d006d006900730065007000720069006e0074006500720069007400650020006a0061006f006b00730020006b00760061006c006900740065006500740073006500740065002000410064006f006200650020005000440046002d0064006f006b0075006d0065006e00740069006400650020006c006f006f006d006900730065006b0073002e002e00200020004c006f006f0064007500640020005000440046002d0064006f006b0075006d0065006e00740065002000730061006100740065002000610076006100640061002000700072006f006700720061006d006d006900640065006700610020004100630072006f0062006100740020006e0069006e0067002000410064006f00620065002000520065006100640065007200200035002e00300020006a00610020007500750065006d006100740065002000760065007200730069006f006f006e00690064006500670061002e000d000agt FRA ltFEFF005500740069006c006900730065007a00200063006500730020006f007000740069006f006e00730020006100660069006e00200064006500200063007200e900650072002000640065007300200064006f00630075006d0065006e00740073002000410064006f00620065002000500044004600200070006f007500720020006400650073002000e90070007200650075007600650073002000650074002000640065007300200069006d007000720065007300730069006f006e00730020006400650020006800610075007400650020007100750061006c0069007400e90020007300750072002000640065007300200069006d007000720069006d0061006e0074006500730020006400650020006200750072006500610075002e0020004c0065007300200064006f00630075006d0065006e00740073002000500044004600200063007200e900e90073002000700065007500760065006e0074002000ea0074007200650020006f007500760065007200740073002000640061006e00730020004100630072006f006200610074002c002000610069006e00730069002000710075002700410064006f00620065002000520065006100640065007200200035002e0030002000650074002000760065007200730069006f006e007300200075006c007400e90072006900650075007200650073002egt GRE ltFEFF03a703c103b703c303b903bc03bf03c003bf03b903ae03c303c403b5002003b103c503c403ad03c2002003c403b903c2002003c103c503b803bc03af03c303b503b903c2002003b303b903b1002003bd03b1002003b403b703bc03b903bf03c503c103b303ae03c303b503c403b5002003ad03b303b303c103b103c603b1002000410064006f006200650020005000440046002003b303b903b1002003b503ba03c403cd03c003c903c303b7002003c003bf03b903cc03c403b703c403b103c2002003c303b5002003b503ba03c403c503c003c903c403ad03c2002003b303c103b103c603b503af03bf03c5002003ba03b103b9002003b403bf03ba03b903bc03b103c303c403ad03c2002e0020002003a403b10020005000440046002003ad03b303b303c103b103c603b1002003c003bf03c5002003ad03c703b503c403b5002003b403b703bc03b903bf03c503c103b303ae03c303b503b9002003bc03c003bf03c103bf03cd03bd002003bd03b1002003b103bd03bf03b903c703c403bf03cd03bd002003bc03b5002003c403bf0020004100630072006f006200610074002c002003c403bf002000410064006f006200650020005200650061006400650072002000200035002e0030002003ba03b103b9002003bc03b503c403b103b303b503bd03ad03c303c403b503c103b503c2002003b503ba03b403cc03c303b503b903c2002egt HEB ltFEFF05D405E905EA05DE05E905D5002005D105D405D205D305E805D505EA002005D005DC05D4002005DB05D305D9002005DC05D905E605D505E8002005DE05E105DE05DB05D9002000410064006F006200650020005000440046002005E205D105D505E8002005D405D305E405E105D4002005D005D905DB05D505EA05D905EA002005D105DE05D305E405E105D505EA002005E905D505DC05D705E005D905D505EA002005D505DB05DC05D9002005D405D205D405D4002E002005DE05E105DE05DB05D9002005D4002D005000440046002005E905E005D505E605E805D905DD002005E005D905EA05E005D905DD002005DC05E405EA05D905D705D4002005D105D005DE05E605E205D505EA0020004100630072006F006200610074002005D5002D00410064006F00620065002000520065006100640065007200200035002E0030002005D505D205E805E105D005D505EA002005DE05EA05E705D305DE05D505EA002005D905D505EA05E8002Egt HRV ltFEFF005a00610020007300740076006100720061006e006a0065002000410064006f00620065002000500044004600200064006f006b0075006d0065006e0061007400610020007a00610020006b00760061006c00690074006500740061006e0020006900730070006900730020006e006100200070006900730061010d0069006d006100200069006c0069002000700072006f006f006600650072002000750072006501110061006a0069006d0061002e00200020005300740076006f00720065006e0069002000500044004600200064006f006b0075006d0065006e007400690020006d006f006700750020007300650020006f00740076006f00720069007400690020004100630072006f00620061007400200069002000410064006f00620065002000520065006100640065007200200035002e0030002000690020006b00610073006e0069006a0069006d0020007600650072007a0069006a0061006d0061002egt HUN ltFEFF004d0069006e0151007300e9006700690020006e0079006f006d00610074006f006b0020006b00e90073007a00ed007400e9007300e900680065007a002000610073007a00740061006c00690020006e0079006f006d00740061007400f3006b006f006e002000e9007300200070007200f300620061006e0079006f006d00f3006b006f006e00200065007a0065006b006b0065006c0020006100200062006500e1006c006c00ed007400e10073006f006b006b0061006c002c00200068006f007a007a006f006e0020006c00e9007400720065002000410064006f00620065002000500044004600200064006f006b0075006d0065006e00740075006d006f006b00610074002e0020002000410020006c00e90074007200650068006f007a006f00740074002000500044004600200064006f006b0075006d0065006e00740075006d006f006b00200061007a0020004100630072006f006200610074002c00200061007a002000410064006f00620065002000520065006100640065007200200035002e0030002000e9007300200061007a002000610074007400f3006c0020006b00e9007301510062006200690020007600650072007a006900f3006b006b0061006c00200020006e00790069007400680061007400f3006b0020006d00650067002egt ITA ltFEFF005500740069006c0069007a007a006100720065002000710075006500730074006500200069006d0070006f007300740061007a0069006f006e00690020007000650072002000630072006500610072006500200064006f00630075006d0065006e00740069002000410064006f006200650020005000440046002000700065007200200075006e00610020007300740061006d007000610020006400690020007100750061006c0069007400e00020007300750020007300740061006d00700061006e0074006900200065002000700072006f006f0066006500720020006400650073006b0074006f0070002e0020004900200064006f00630075006d0065006e007400690020005000440046002000630072006500610074006900200070006f00730073006f006e006f0020006500730073006500720065002000610070006500720074006900200063006f006e0020004100630072006f00620061007400200065002000410064006f00620065002000520065006100640065007200200035002e003000200065002000760065007200730069006f006e006900200073007500630063006500730073006900760065002egt JPN ltFEFF9ad854c18cea51fa529b7528002000410064006f0062006500200050004400460020658766f8306e4f5c6210306b4f7f75283057307e30593002537052376642306e753b8cea3092670059279650306b4fdd306430533068304c3067304d307e3059300230c730b930af30c830c330d730d730ea30f330bf3067306e53705237307e305f306f30d730eb30fc30d57528306b9069305730663044307e305930023053306e8a2d5b9a30674f5c62103055308c305f0020005000440046002030d530a130a430eb306f3001004100630072006f0062006100740020304a30883073002000410064006f00620065002000520065006100640065007200200035002e003000204ee5964d3067958b304f30533068304c3067304d307e30593002gt KOR ltFEFFc7740020c124c815c7440020c0acc6a9d558c5ec0020b370c2a4d06cd0d10020d504b9b0d1300020bc0f0020ad50c815ae30c5d0c11c0020ace0d488c9c8b85c0020c778c1c4d560002000410064006f0062006500200050004400460020bb38c11cb97c0020c791c131d569b2c8b2e4002e0020c774b807ac8c0020c791c131b41c00200050004400460020bb38c11cb2940020004100630072006f0062006100740020bc0f002000410064006f00620065002000520065006100640065007200200035002e00300020c774c0c1c5d0c11c0020c5f40020c2180020c788c2b5b2c8b2e4002egt LTH ltFEFF004e006100750064006f006b0069007400650020016100690075006f007300200070006100720061006d006500740072007500730020006e006f0072011700640061006d00690020006b0075007200740069002000410064006f00620065002000500044004600200064006f006b0075006d0065006e007400750073002c0020006b007500720069006500200073006b00690072007400690020006b006f006b0079006200690161006b0061006900200073007000610075007300640069006e007400690020007300740061006c0069006e0069006100690073002000690072002000620061006e00640079006d006f00200073007000610075007300640069006e007400750076006100690073002e0020002000530075006b0075007200740069002000500044004600200064006f006b0075006d0065006e007400610069002000670061006c006900200062016b007400690020006100740069006400610072006f006d00690020004100630072006f006200610074002000690072002000410064006f00620065002000520065006100640065007200200035002e0030002000610072002000760117006c00650073006e0117006d00690073002000760065007200730069006a006f006d00690073002egt LVI ltFEFF0049007a006d0061006e0074006f006a00690065007400200161006f00730020006900650073007400610074012b006a0075006d00750073002c0020006c0061006900200069007a0076006500690064006f00740075002000410064006f00620065002000500044004600200064006f006b0075006d0065006e0074007500730020006b00760061006c0069007400610074012b0076006100690020006400720075006b010101610061006e00610069002000610072002000670061006c006400610020007000720069006e00740065007200690065006d00200075006e0020007000610072006100750067006e006f00760069006c006b0075006d0075002000690065007300700069006500640113006a00690065006d002e00200049007a0076006500690064006f006a006900650074002000500044004600200064006f006b0075006d0065006e007400750073002c0020006b006f002000760061007200200061007400760113007200740020006100720020004100630072006f00620061007400200075006e002000410064006f00620065002000520065006100640065007200200035002e0030002c0020006b0101002000610072012b00200074006f0020006a00610075006e0101006b0101006d002000760065007200730069006a0101006d002egt NLD (Gebruik deze instellingen om Adobe PDF-documenten te maken voor kwaliteitsafdrukken op desktopprinters en proofers De gemaakte PDF-documenten kunnen worden geopend met Acrobat en Adobe Reader 50 en hoger) NOR ltFEFF004200720075006b00200064006900730073006500200069006e006e007300740069006c006c0069006e00670065006e0065002000740069006c002000e50020006f0070007000720065007400740065002000410064006f006200650020005000440046002d0064006f006b0075006d0065006e00740065007200200066006f00720020007500740073006b00720069006600740020006100760020006800f800790020006b00760061006c00690074006500740020007000e500200062006f007200640073006b0072006900760065007200200065006c006c00650072002000700072006f006f006600650072002e0020005000440046002d0064006f006b0075006d0065006e00740065006e00650020006b0061006e002000e50070006e00650073002000690020004100630072006f00620061007400200065006c006c00650072002000410064006f00620065002000520065006100640065007200200035002e003000200065006c006c00650072002000730065006e006500720065002egt POL ltFEFF0055007300740061007700690065006e0069006100200064006f002000740077006f0072007a0065006e0069006100200064006f006b0075006d0065006e007400f3007700200050004400460020007a002000770079017c0073007a010500200072006f007a0064007a00690065006c0063007a006f015b0063006901050020006f006200720061007a006b00f30077002c0020007a0061007000650077006e00690061006a0105006301050020006c006500700073007a01050020006a0061006b006f015b0107002000770079006400720075006b00f30077002e00200044006f006b0075006d0065006e0074007900200050004400460020006d006f017c006e00610020006f007400770069006500720061010700200077002000700072006f006700720061006d006900650020004100630072006f00620061007400200069002000410064006f00620065002000520065006100640065007200200035002e0030002000690020006e006f00770073007a0079006d002egt PTB ltFEFF005500740069006c0069007a006500200065007300730061007300200063006f006e00660069006700750072006100e700f50065007300200064006500200066006f0072006d00610020006100200063007200690061007200200064006f00630075006d0065006e0074006f0073002000410064006f0062006500200050004400460020007000610072006100200069006d0070007200650073007300f5006500730020006400650020007100750061006c0069006400610064006500200065006d00200069006d00700072006500730073006f0072006100730020006400650073006b0074006f00700020006500200064006900730070006f00730069007400690076006f0073002000640065002000700072006f00760061002e0020004f007300200064006f00630075006d0065006e0074006f00730020005000440046002000630072006900610064006f007300200070006f00640065006d0020007300650072002000610062006500720074006f007300200063006f006d0020006f0020004100630072006f006200610074002000650020006f002000410064006f00620065002000520065006100640065007200200035002e0030002000650020007600650072007300f50065007300200070006f00730074006500720069006f007200650073002egt RUM ltFEFF005500740069006c0069007a00610163006900200061006300650073007400650020007300650074010300720069002000700065006e007400720075002000610020006300720065006100200064006f00630075006d0065006e00740065002000410064006f006200650020005000440046002000700065006e007400720075002000740069007001030072006900720065002000640065002000630061006c006900740061007400650020006c006100200069006d007000720069006d0061006e007400650020006400650073006b0074006f00700020015f0069002000700065006e0074007200750020007600650072006900660069006300610074006f00720069002e002000200044006f00630075006d0065006e00740065006c00650020005000440046002000630072006500610074006500200070006f00740020006600690020006400650073006300680069007300650020006300750020004100630072006f006200610074002c002000410064006f00620065002000520065006100640065007200200035002e00300020015f00690020007600650072007300690075006e0069006c006500200075006c0074006500720069006f006100720065002egt RUS ltFEFF04180441043f043e043b044c04370443043904420435002004340430043d043d044b04350020043d0430044104420440043e0439043a043800200434043b044f00200441043e043704340430043d0438044f00200434043e043a0443043c0435043d0442043e0432002000410064006f006200650020005000440046002c0020043f044004350434043d04300437043d043004470435043d043d044b044500200434043b044f0020043a0430044704350441044204320435043d043d043e04390020043f043504470430044204380020043d04300020043d043004410442043e043b044c043d044b04450020043f04400438043d044204350440043004450020043800200443044104420440043e04390441044204320430044500200434043b044f0020043f043e043b044304470435043d0438044f0020043f0440043e0431043d044b04450020043e0442044204380441043a043e0432002e002000200421043e043704340430043d043d044b04350020005000440046002d0434043e043a0443043c0435043d0442044b0020043c043e0436043d043e00200020043e0442043a0440044b043204300442044c002004410020043f043e043c043e0449044c044e0020004100630072006f00620061007400200438002000410064006f00620065002000520065006100640065007200200035002e00300020043800200431043e043b043504350020043f043e04370434043d043804450020043204350440044104380439002egt SKY ltFEFF0054006900650074006f0020006e006100730074006100760065006e0069006100200070006f0075017e0069007400650020006e00610020007600790074007600e100720061006e0069006500200064006f006b0075006d0065006e0074006f0076002000410064006f00620065002000500044004600200070007200650020006b00760061006c00690074006e00fa00200074006c0061010d0020006e0061002000730074006f006c006e00fd0063006800200074006c0061010d00690061007201480061006300680020006100200074006c0061010d006f007600fd006300680020007a006100720069006100640065006e0069006100630068002e00200056007900740076006f00720065006e00e900200064006f006b0075006d0065006e007400790020005000440046002000620075006400650020006d006f017e006e00e90020006f00740076006f00720069016500200076002000700072006f006700720061006d006f006300680020004100630072006f00620061007400200061002000410064006f00620065002000520065006100640065007200200035002e0030002000610020006e006f0076016100ed00630068002e000d000agt SLV ltFEFF005400650020006e006100730074006100760069007400760065002000750070006f0072006100620069007400650020007a00610020007500730074007600610072006a0061006e006a006500200064006f006b0075006d0065006e0074006f0076002000410064006f0062006500200050004400460020007a00610020006b0061006b006f0076006f00730074006e006f0020007400690073006b0061006e006a00650020006e00610020006e0061006d0069007a006e006900680020007400690073006b0061006c006e0069006b0069006800200069006e0020007000720065007600650072006a0061006c006e0069006b00690068002e00200020005500730074007600610072006a0065006e006500200064006f006b0075006d0065006e0074006500200050004400460020006a00650020006d006f0067006f010d00650020006f0064007000720065007400690020007a0020004100630072006f00620061007400200069006e002000410064006f00620065002000520065006100640065007200200035002e003000200069006e0020006e006f00760065006a01610069006d002egt SUO ltFEFF004b00e40079007400e40020006e00e40069007400e4002000610073006500740075006b007300690061002c0020006b0075006e0020006c0075006f0074002000410064006f0062006500200050004400460020002d0064006f006b0075006d0065006e007400740065006a00610020006c0061006100640075006b006100730074006100200074007900f6007000f60079007400e400740075006c006f0073007400750073007400610020006a00610020007600650064006f007300740075007300740061002000760061007200740065006e002e00200020004c0075006f0064007500740020005000440046002d0064006f006b0075006d0065006e00740069007400200076006f0069006400610061006e0020006100760061007400610020004100630072006f0062006100740069006c006c00610020006a0061002000410064006f00620065002000520065006100640065007200200035002e0030003a006c006c00610020006a006100200075007500640065006d006d0069006c006c0061002egt SVE ltFEFF0041006e007600e4006e00640020006400650020006800e4007200200069006e0073007400e4006c006c006e0069006e006700610072006e00610020006f006d002000640075002000760069006c006c00200073006b006100700061002000410064006f006200650020005000440046002d0064006f006b0075006d0065006e00740020006600f600720020006b00760061006c00690074006500740073007500740073006b0072006900660074006500720020007000e5002000760061006e006c00690067006100200073006b0072006900760061007200650020006f006300680020006600f600720020006b006f007200720065006b007400750072002e002000200053006b006100700061006400650020005000440046002d0064006f006b0075006d0065006e00740020006b0061006e002000f600700070006e00610073002000690020004100630072006f0062006100740020006f00630068002000410064006f00620065002000520065006100640065007200200035002e00300020006f00630068002000730065006e006100720065002egt TUR ltFEFF004d00610073006100fc0073007400fc002000790061007a013100630131006c006100720020007600650020006200610073006b01310020006d0061006b0069006e0065006c006500720069006e006400650020006b0061006c006900740065006c00690020006200610073006b013100200061006d0061006301310079006c0061002000410064006f006200650020005000440046002000620065006c00670065006c0065007200690020006f006c0075015f007400750072006d0061006b0020006900e70069006e00200062007500200061007900610072006c0061007201310020006b0075006c006c0061006e0131006e002e00200020004f006c0075015f0074007500720075006c0061006e0020005000440046002000620065006c00670065006c0065007200690020004100630072006f006200610074002000760065002000410064006f00620065002000520065006100640065007200200035002e003000200076006500200073006f006e0072006100730131006e00640061006b00690020007300fc007200fc006d006c00650072006c00650020006100e70131006c006100620069006c00690072002egt UKR ltFEFF04120438043a043e0440043804410442043e043204430439044204350020044604560020043f043004400430043c043504420440043800200434043b044f0020044104420432043e04400435043d043d044f00200434043e043a0443043c0435043d044204560432002000410064006f006200650020005000440046002c0020044f043a04560020043d04300439043a04400430044904350020043f045604340445043e0434044f0442044c00200434043b044f0020043204380441043e043a043e044f043a04560441043d043e0433043e0020043404400443043a04430020043d04300020043d0430044104420456043b044c043d043804450020043f04400438043d044204350440043004450020044204300020043f04400438044104420440043e044f044500200434043b044f0020043e044204400438043c0430043d043d044f0020043f0440043e0431043d0438044500200437043e04310440043004360435043d044c002e00200020042104420432043e04400435043d045600200434043e043a0443043c0435043d0442043800200050004400460020043c043e0436043d04300020043204560434043a0440043804420438002004430020004100630072006f006200610074002004420430002000410064006f00620065002000520065006100640065007200200035002e0030002004300431043e0020043f04560437043d04560448043e04570020043204350440044104560457002egt ENU ltFEFF005500730065002000740068006500730065002000730065007400740069006e0067007300200074006f0020006300720065006100740065002000410064006f0062006500200050004400460020006200610073006500640020006f006e0020007400680065002000640065006600610075006c0074002000580069006c0069006e007800200070006100720061006d0065007400650072002000730065007400740069006e0067007300200064006500660069006e00650064002000620079002000500053003000300034002e002000460069006c0065006e0061006d0065003a002000780069006c0069006e0078002e006a006f0062006f007000740069006f006e0073002e000d0043006f006d007000610074006100620069006c006900740079003a0020004100630072006f00620061007400200037002e0030002000280050004400460031002e00360029002e0020005500730065003a00200046006f00720020006300720065006100740069006e0067002000460069006e0061006c002c0020005000750062006c0069007300680065006400200050004400460073002e000d0043007200650061007400650064002000310030002f00310032002f003100310020006200790020006a0066006f007200730074002egt gtgt Namespace [ (Adobe) (Common) (10) ] OtherNamespaces [ ltlt AsReaderSpreads false CropImagesToFrames true ErrorControl WarnAndContinue FlattenerIgnoreSpreadOverrides false IncludeGuidesGrids false IncludeNonPrinting false IncludeSlug false Namespace [ (Adobe) (InDesign) (40) ] OmitPlacedBitmaps false OmitPlacedEPS false OmitPlacedPDF false SimulateOverprint Legacy gtgt ltlt AddBleedMarks false AddColorBars false AddCropMarks false AddPageInfo false AddRegMarks false ConvertColors NoConversion DestinationProfileName () DestinationProfileSelector NA Downsample16BitImages true FlattenerPreset ltlt PresetSelector MediumResolution gtgt FormElements false GenerateStructure true IncludeBookmarks false IncludeHyperlinks false IncludeInteractive false IncludeLayers false IncludeProfiles true MultimediaHandling UseObjectSettings Namespace [ (Adobe) (CreativeSuite) (20) ] PDFXOutputIntentProfileSelector NA PreserveEditing true UntaggedCMYKHandling LeaveUntagged UntaggedRGBHandling LeaveUntagged UseDocumentBleed false gtgt ]gtgt setdistillerparamsltlt HWResolution [1200 1200] PageSize [612000 792000]gtgt setpagedevice

Page 14: Xilinx UG883 Kintex-7 FPGA KC705 Evaluation Kit Getting ...€¦ · Updated step 2 and step 3 in Requirements to Get Started, page 14. ... KC705 Getting Started Guide 9 UG883 (v3.0)

14 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

AMS Bring-up with the AMS101 Evaluation Card

Requirements to Get Started1 Open the USBUART as described in Install the UART Driver page 11

2 The AMS101 evaluation card requires a Windows host PC to install the AMS Evaluator tool which uses National Instruments LabView run-time engine Install the AMS Evaluator tool by unzipping the AMS Evaluator installer fileshttpssecurexilinxcomwebregclickthroughdocid=199651amplicense=RefDesLicenseampfilename=ams101_gui_installer_v1_1zip located in the Targeted Reference Designs section in the Docs amp Designs tab at wwwxilinxcomkc705 After opening the zip file folder click the setupexe file to begin the installation When loading the National Instruments LabView runtime engine Click OK to accept the license agreement Running the setup program loads the AMS101 Evaluator tool with the red Xilinx logo on the desktop

3 Download the AMS Targeted Reference Design files from the Docs amp Designs tab Kintex-7 FPGA KC705 Evaluation Kit - (7 Series FPGA AMS Targeted Reference Design User Guide (Vivado Design Suite 20124 and ISE Design Suite 144)) section at wwwxilinxcomkc705 Unzip the xadc_eval_design_kc705_V1_0zip files Open the ready_to_test folder Save the xadc_eval_designbit bitstream

X-Ref Target - Figure 7

Figure 7 AMS101 Evaluation Card

UG883_07_121112

KC705 Getting Started Guide wwwxilinxcom 15UG883 (v30) April 16 2013

AMS Bring-up with the AMS101 Evaluation Card

Evaluating AMS1 Connect and power the hardware

a Plug the AMS101 evaluation card into the XADC header on your FPGA base board

Note Ensure the notch on the XADC header lines up correctly with the AMS101 evaluation card (see Figure 8)

b Power up the FPGA base board

2 Download the design to the FPGA Installation of ISE Programming tools or Lab Tools is required for downloading the bitstream to the FPGA

Refer to the Vivado Design Suite User Guide Programming and Debugging (UG908) at httpwwwxilinxcomsupportdocumentationdt_vivadohtm to obtain information on downloading the design to the FPGA

a Open the xadc_eval_designbit file from the AMS Targeted Reference Design files

3 Run the AMS101 Evaluator LabVIEW GUI executable from your desktop After loading the AMS Evaluator installer files a red X with the AMS Evaluator program should reside on your desktop

Note The AMS bitstream can also be loaded with ChipScope analyzer

The AMS101 Evaluator GUI is shown in Figure 9

X-Ref Target - Figure 8

Figure 8 KC705 Base Board with AMS101 Evaluation Card Plugged into XADC Header

UG883_08_121112

16 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

AMS Bring-up with the AMS101 Evaluation Card

The AMS Evaluator Tool allows designers to quickly evaluate the analog signals in the time domain frequency domain display linearity verify the XADC register settings and measure the internal temperature sensor and supply voltages

For a more extensive explanation of the AMS101 evaluation card and the applicable files refer to AMS101 Evaluation Platform User Guide (UG886)

X-Ref Target - Figure 9

Figure 9 AMS101 Evaluator GUI

UG883_09_121112

Select COM Port Here

KC705 Getting Started Guide wwwxilinxcom 17UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

Advanced Bring-up Using the Base Targeted Reference DesignThe primary components of the Kintex-7 FPGA Base TRD are

bull Integrated Endpoint block for PCI Express (PCIe) See 7 Series FPGAs Integrated Block for PCI Express User Guide (UG477)

bull Northwest Logic Packet DMA [Ref 5]

bull Multiport Virtual FIFO

The TRD system can sustain up to 10 Gbs throughput end to end

Figure 10 provides an overview of the TRD

Note In Figure 10 the arrows indicate AXI interface directions (from master to slave) They do not indicate data flow directions

ComponentsThe Kintex-7 FPGA Base TRD features these components

bull Kintex-7 FPGA integrated Endpoint block for PCI Express

bull Configured with 4 lanes at a 5 Gbs link rate (Gen2) or 8 lanes at a 25 Gbs link rate (Gen1) for PCI Express v20

bull Provides a user interface compliant with AXI4-Stream interface protocol

bull Performance monitor tracks the integrated blockrsquos AXI4-Stream interface for PCIe transactions

bull Bus Mastering Scatter-Gather Packet DMA from Northwest Logic a multichannel DMA

bull Supports full-duplex operation with independent transmit and receive paths

X-Ref Target - Figure 10

Figure 10 Kintex-7 FPGA Base TRD Block Diagram

UG883_10_121112

Multiport Virtual FIFO

DD

R3

IO

Software

Multi-ChannelDMA for PCIe DDR3

Cha

nnel

-0C

2SS

2CC

hann

el-1

S2C

C2S

64 x1600 Mbs

PC

Ie x

4Gen

2 Li

nk

PC

Ie x

8Gen

1 Li

nk

VFIFOController

SoftwareDriver

Interface Blocks in FPGA Third Party IPXilinx IP On BoardCustom Logic

AXI-ST AXI-MM

Hardware

VFIFOController

VFIFOController

VFIFOController

Raw Packet Data Block

Checker

Generator

Loop

back

Loop

back

Raw Packet Data Block

Generator

Checker

User SpaceRegisters

Target InterfaceAXI Master

256 x200 MHz

64 x

250

MH

z

64 x250 MHz

64 x250 MHz

64 x250 MHz

64 x250 MHz

PerformanceMonitor

GU

I

GT

X T

rans

ceiv

er

Inte

grat

ed E

ndpo

int B

lock

for

PC

I Exp

ress

AX

I-S

T B

asic

Wra

pper

AXIMIG

AXIInterconnect

SI SI

SI SIM

I

18 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

bull Provides an AXI4-Stream interface on the back end

bull Monitors the performance of data transfers in receive and transmit directions

bull Provides an AXI4 memory-mapped target interface to access user-defined registers

Note The Northwest Logic Packet DMA shipped with the Base TRD is an evaluation version and expires after 12 hours of run time To get the full version contact Northwest Logic [Ref 7]

bull Multiport Virtual FIFO

bull DDR3 SDRAM SODIMM (64-bit 1600 Mbs 800 MHz) is used for buffering packets The memory controller delivered through the memory interface generator (MIG) tool interfaces to the DDR3 memory

bull AXI Interconnect IP along with the memory controller supports multiple ports on the memory

bull The Packetized Virtual FIFO controller controls addressing of the DDR3 memory for each port allowing DDR3 to be used as Virtual Packet FIFO

bull Software driver for a 32-bit Linux platform

bull Configures the hardware design parameters

bull Generates and consumes traffic

bull Provides a GUI to report status and performance statistics

The Kintex-7 FPGA integrated Endpoint block for PCI Express and the Packet DMA are responsible for data transfers from host system to Endpoint card (S2C) and Endpoint card to host system (C2S) Data to and from the host is stored in a Virtual FIFO built around the DDR3 memory This Multiport Virtual FIFO abstraction layer around the DDR3 memory allows the user to move traffic efficiently without the need to manage addressing and arbitration on the memory interface It also provides more depth than storage implemented using Block RAMs

The integrated Endpoint block for PCI Express Packet DMA and Multiport Virtual FIFO form the base system The base system can bridge the host to any user application running on the other end The raw data packet module is a dummy application that generates and consumes packets It can be replaced by any user specific protocol like Aurora or XAUI

The software driver runs on the host system It generates raw data traffic for transmit operations in the S2C direction It also consumes the data looped back or generated at the application end in the C2S direction

Hardware Test Setup RequirementsThese are the prerequisites for testing the design in hardware

bull KC705 Evaluation board with the Kintex-7 FPGA XC7K325T-2FFG900C device

bull Design files provided as a zipped collection under the Docs amp Designs tab at wwwxilinxcomkc705 include

bull Design source files

bull Device driver files

bull Board design files

bull Documentation

bull Vivado Design Suite

bull ISE Programming tools or LabTools

KC705 Getting Started Guide wwwxilinxcom 19UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

bull Micro USB cable

bull 4-pin to 6-pin PCIe adapter cable

bull Fedora 16 Live DVD [Ref 6]

bull PC with PCIe v20 slot For a complete list of machines tested and all known issues refer to the Kintex-7 FPGA Base Targeted Reference Design Release Notes and Known Issues Master Answer Record (httpwwwxilinxcomsupportanswers45679htm) This PC could also have Fedora Core 16 Linux OS installed on it

TRD Demonstration SetupThis section describes hardware setup and use of the application GUI to help the user get started quickly with the design in hardware It provides a step-by-step explanation of hardware bring-up and describes using the application GUI

When following the demonstration setup steps for the Kintex-7 FPGA Base TRD if the behavior is not as described refer to the known issues at wwwxilinxcomsupportanswers45679htm

Board Setup

This section describes how to set up the KC705 Evaluation board required to demonstrate the TRD

1 Set the KC705 Jumpers and Switches Verify that the KC705 Evaluation board jumpers and switches are set as shown in Table 2 and Figure 11

Table 2 Switch and Jumper Settings

Jumper Function Setting

J32 PCIe configuration width mdash 4 lane design Jump 3-4

Switch Function or Type Setting

SW15 Board power slide-switch Off

SW11 User GPIO DIP switch

4 Off

3 Off

2 Off

1 Off

S13 DIP switch SW13 positions 1 and 2 control the setting of address bits of the flashDIP switch SW13 positions 3 4 and 5 control which configuration mode

5 (M0) M2 =0 M1=1 M0=0 ndash Master BPIM2 =0 M1=0 M0=1 ndash Master SPIM2 =1 M1=0 M0=1 ndash JTAG

Off

4 (M1) On

3 (M2) Off

2 Off

1 Off

20 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

Hardware Bring-Up

This section presents steps for hardware bring-up

1 With the host system switched off insert the KC705 board in the PCIe slot through the PCI Express x8 or x16 edge connector (Figure 12)

The TRD programmed on the KC705 board has a 4-lane PCIe v20 configuration running at a 5 Gbs link rate per lane The PCI Express specification allows for a smaller lane width Endpoint to be installed into a larger lane width PCIe connector

2 Figure 13 shows the 12V power connection Connect the 12V ATX power supplyrsquos available 4-pin connector to the board (J49) via a 4-pin to 6-pin PCIe adapter cable Toggle the Power switch SW15 to the ON position

X-Ref Target - Figure 11

Figure 11 Switch and Jumper Settings

UG883_11_040913

J27 J28

J29 J30SW13

J32

SW15SW11

X-Ref Target - Figure 12if

Figure 12 KC705 Board Plugged into a PCIe x16 Slot

UG883_12_040913

KC705 Getting Started Guide wwwxilinxcom 21UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

3 Make sure the connections are tight and then power on the PC system

Note If the user wishes to boot Linux from the Fedora 16 Live DVD place the DVD in the PCrsquos DVD-ROM drive as soon as the PC system is powered on

4 Verify the status of the design on the KC705 LEDs The design provides status on the GPIO LEDs on the upper right of the KC705 board (Figure 14) After the PC system is powered on and the TRD has successfully configured status LEDs from right to left should indicate

bull LED 0 mdash ON if the PCIe link is up

bull LED 1 mdash Flashes if the PCIe user clock is present

bull LED 2 mdash ON if lane width is what is expected else it flashes (for a 4 lane design the expected lane width is 4 for an 8 lane design the expected lane width is 8)

bull LED 3 mdash ON if memory calibration is done

bull LED 4 to LED 7 mdash Not connected

X-Ref Target - Figure 13

Figure 13 Power Supply Connection

UG883_13_121112

SW15

J49 6-pinConnector

12V ATX PowerSupply Pluggedinto the 4-pinConnector

22 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

Install the Linux Driver

1 If Fedora 16 is installed on the PC systemrsquos hard disk boot as a root-privileged user and skip to step 3 page 23

2 To boot from the Fedora 16 Live DVD provided in the kit place the DVD in the PCrsquos DVD-ROM drive The Fedora 16 Live Media is for Intel-compatible PCs The DVD contains a complete bootable 32-bit Fedora 16 environment with the proper packages installed for the TRD demonstration environment For more details see Fedora Information page 2 The PC boots from the DVD-ROM drive and logs into a liveuser account This account has kernel development root privileges required to install and remove device driver modules

Note Users might have to adjust BIOS boot order settings to make sure that the DVD-ROM drive is the first drive in the boot order To enter the BIOS menu to set the boot order press the DEL or F2 key when the system is powered on Set the boot order and save the changes (The DEL or F2 key is used by most PC systems to enter the BIOS setup Some PCs might have a different way to enter the BIOS setup)

The PC should boot from the DVD-ROM drive The images in Figure 15 are seen on the monitor during boot up

X-Ref Target - Figure 14

Figure 14 Location of GPIO Status LEDs (Indicates TRD Status)

UG883_14_121112

KC705 Getting Started Guide wwwxilinxcom 23UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

3 After Fedora 16 Core boots open a terminal window (click Activities click Application scroll down and click the Terminal icon) To find out if the PCIe Endpoint is detected at the terminal command line type

$ lspci

The lspci command displays the devices in the PCI and PCI Express buses of the PC On the bus of the KC705 card slot is the message

Communication controller Xilinx Corporation Device 7042

This message confirms that the design programmed into the KC705 board has been found by the BIOS and the Fedora 16 OS The bus number varies depending on which PC motherboard and slot are used Figure 16 shows a lspci output for an example system Xilinx device 7042 has been found by the BIOS on bus number 2 (02000 - busdevfunction)

X-Ref Target - Figure 15

Figure 15 Fedora 16 Live DVD BootingFirst Screen Last Boot Screen Booted

UG883 15 040913

X-Ref Target - Figure 16

Figure 16 PCI and PCI Express Bus Devices

UG883_16_040913

24 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

4 Download the reference design from the Docs amp Designs tab at wwwxilinxcomkc705 and copy the k7_pcie_dma_ddr3_base folder into any directory

5 To set up and run the TRD demonstration the software driver should be installed on the PC system Software driver installation involves

a Building the kernel objects and the GUI

b Inserting the driver modules into the kernel

After the driver modules are loaded the application GUI can be invoked The user can set parameters through the GUI and run the TRD

When the user is done running the TRD the application GUI can be closed and the drivers can be removed

A script is provided to execute all the above actions so that the user can quickly start the TRD

The k7_trd_lin_quickstart script is available in the k7_pcie_dma_ddr3_base folder Right click the script select properties go to the permissions tab check the box Allow executing file as programmdashthis makes the script executable Close the window

To run the script double-click k7_trd_lin_quickstart in the k7_pcie_dma_ddr3_base folder (Figure 17) The window prompt in Figure 18 appears

X-Ref Target - Figure 17

Figure 17 Load Driver and Launch Application GUI

UG883_17_121112

KC705 Getting Started Guide wwwxilinxcom 25UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

Click Run in Terminal to proceed The application GUI is invoked

Proceed to the next section Using the Application GUI to set design parameters and run the TRD

X-Ref Target - Figure 18

Figure 18 Run in Terminal

UG883_18_040913

26 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

Using the Application GUI

When the drivers are loaded and the Performance Monitor GUI is invoked the user can configure the sending and receiving of data The GUI allows the user to observe the collected statistics and other status information

1 Click the System Status tab to verify the status of the KC705 board and the PCIe link (see Figure 19 and Table 3)

X-Ref Target - Figure 19

Figure 19 Verify Board Status in the Performance Monitor

UG883_19_121112

KC705 Getting Started Guide wwwxilinxcom 27UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

2 To start data traffic on the two data paths

a Click Start Test on Raw Data Path0 as shown in Figure 20 This enables the driver to start generating the data for Raw Data Path0

b Click Start Test on Raw Data Path1 as shown in Figure 20 This enables the driver to start generating the data for Raw Data Path1

Table 3 KC705 Board Status Field Explanations

Field Status Explanation

Link Status Up This confirms that the PCIe link is up and a PCIe connection is established between the Kintex-7 FPGA Endpoint for PCI Express and the PC motherboard chipset

Link Speed 50 Gbs This confirms that the PCIe link is operating at line rate speed per PCI Express v20

Link Width x4 This confirms that the PCIe link is trained as a x4 link

28 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

3 Verify TRD operations through the status information provided by the GUI (see Figure 21)

a Verify the PCIe throughput

b Verify the DMA channel throughput for the Raw Data Path0

c Verify the DMA channel throughput for the Raw Data Path1

d Verify there are no buffer descriptor errors for error-free operation

X-Ref Target - Figure 20

Figure 20 Start Data Traffic from the Performance Monitor

UG883_20_121112

KC705 Getting Started Guide wwwxilinxcom 29UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

The Kintex-7 FPGA PCIe-DMA TRD is now set up and running Close the Application GUI to unload the software drivers and stop traffic flow

X-Ref Target - Figure 21

Figure 21 Verify Error-Free Operation in the Performance Monitor

UG883_21_121112

30 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

Evaluating the Kintex-7 FPGA Base TRDThe Kintex-7 FPGA Base TRD provides a Performance and Status monitor application and GUI The application enables customers to evaluate different system parameters This section demonstrates performance variances for the PCI Express and DMA interfaces based on the parameters set

To evaluate the Kintex-7 FPGA Base TRD

1 Launch the Performance Monitor for the Kintex-7 FPGA Base TRD

a Navigate to the k7_pcie_dma_ddr3_base folder

b Double-click k7_lin_trd_quickstart (Figure 22)mdashmake sure that the script has executable permissionmdashto launch the Performance Monitor and Status GUI

c A window prompt appears as shown in Figure 23 Click Run in Terminal to proceed

2 Set up the test parameters in the Performance Monitor

a Two data paths are available Raw Data Path0 and Raw Data Path1 On each path set the Packet Size to a value between 64 ndash 32768 bytes

X-Ref Target - Figure 22

Figure 22 Launch the Performance Monitor and Status GUI

UG883_22_121112

X-Ref Target - Figure 23

Figure 23 Run k7_lin_trd_quickstart

UG883_23_121112

KC705 Getting Started Guide wwwxilinxcom 31UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

3 Execute the test and view payload statistics in the Performance and Status Monitor (see Figure 24)

a Click Start Test to start the performance test

b Click the Payload Statistics tab to view data transfers on the DMA channels

c Click Stop Test to stop data traffic

d Vary the Packet Size parameters for the Raw Data Paths (see Figure 25) and click Start Test Then view the payload statistics to review data transfer rate on the DMA channels With a decrease in packet size the performance drops

Note Before changing packet size click Stop Test change the size and then click Start Test

X-Ref Target - Figure 24

Figure 24 Payload Statistics

UG883_24_121112

32 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

Note For packet sizes equal to 64 or 128 bytes the throughput is reduced and might not be visible on the Payload Statistics tab The exact values can be viewed on the System Status tab

4 Click the PCIe Statistics tab to view data transfer numbers with varying packet sizes on the PCIe interface (Figure 26)

X-Ref Target - Figure 25

Figure 25 Effect of Varying Packet Sizes on Performance

UG883_25_121112

KC705 Getting Started Guide wwwxilinxcom 33UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

The system performance of the Kintex-7 FPGA Base TRD has now been evaluated using the pre-built demonstration design bit file

Now that the Kintex-7 FPGA Base TRD demonstration has been set up and evaluated the design can be modified Before the design can be modified make sure to install the Vivado Design Suite on a PC It is not required that tools be installed on the PC system in which the KC705 evaluation board is plugged in by way of the PCIe edge connector

X-Ref Target - Figure 26

Figure 26 PCIe Statistics in the Performance Monitor

UG883_26_121112

34 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

KC705 Getting Started Guide wwwxilinxcom 35UG883 (v30) April 16 2013

Appendix A

Additional Resources

Xilinx ResourcesFor support resources such as Answers Documentation Downloads and Forums see the Xilinx Support website at

wwwxilinxcomsupport

For continual updates add the Answer Record to your myAlerts

wwwxilinxcomsupportmyalerts

For a glossary of technical terms used in Xilinx documentation see

wwwxilinxcomcompanytermshtm

Solution CentersSee the Xilinx Solution Centers for support on devices software tools and intellectual property at all stages of the design cycle Topics include design assistance advisories and troubleshooting tips

Further ResourcesThe most up to date information related to the KC705 board and its documentation is available on the following websites

The Kintex-7 FPGA KC705 Evaluation Kit Product Page

wwwxilinxcomkc705

The Kintex-7 FPGA KC705 Evaluation Kit Master Answer Record

wwwxilinxcomsupportanswers47787htm

Design advisories by software release for the Kintex-7 FPGA KC705 Evaluation Kit

wwwxilinxcomsupportnav=sd-nav-link-179661amptab=tab-bk

Kintex-7 FPGA Base Targeted Reference Design Release Notes and Known Issues Master Answer Record

wwwxilinxcomsupportanswers45679htm

These documents provide supplemental material useful with this user guide

UG810 KC705 Evaluation Board for the Kintex-7 FPGA User Guide

UG845 KC705 Reference Design User Guide

36 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Appendix A Additional Resources

UG798 Xilinx Design Tools Installation and Licensing Guide Vivado Design Suite and ISE Design Suite

UG908 Vivado Design Suite User Guide Programming and Debugging

UG882 Kintex-7 FPGA KC705 Base Targeted Reference Design User Guide (Vivado Design Suite 20124)

UG886 AMS101 Evaluation Card User Guide

UG477 7 Series FPGAs Integrated Block for PCI Express User Guide

ReferencesThe following websites provide supplemental material useful with this guide

1 LabVIEW 32-bit Run-Time Enginehttpjoulenicomniducdsviewpid2534langen

2 LabVIEW 64-bit Run-Time Engine httpjoulenicomniducdsviewpid2536langen

3 Tera Term Pro program httphpvectorcojpauthorsVA002416teratermhtml

4 Drivers on the Silicon Labs site httpwwwsilabscomSupport20DocumentsSoftwareCP210x_VCP_Win_XP_S2K3_Vista_7exe

5 Northwest Logic DMA back end core httpwwwnwlogiccompacketdma

6 Fedora project httpfedoraprojectorg

Fedora is a Linux-based operating system used in the development of this TRD

7 The GTK+ project API documentation httpwwwgtkorgdocumentationphp

GTK+ is a toolkit for creating graphical user interfaces (GUI)

KC705 Getting Started Guide wwwxilinxcom 37UG883 (v30) April 16 2013

Appendix B

Warranty

THIS LIMITED WARRANTY applies solely to standard hardware development boards and standard hardware programming cables manufactured by or on behalf of Xilinx (ldquoDevelopment Systemsrdquo) Subject to the limitations herein Xilinx warrants that Development Systems when delivered by Xilinx or its authorized distributor for ninety (90) days following the delivery date will be free from defects in material and workmanship and will substantially conform to Xilinx publicly available specifications for such products in effect at the time of delivery This limited warranty excludes (i) engineering samples or beta versions of Development Systems (which are provided ldquoAS ISrdquo without warranty) (ii) design defects or errors known as ldquoerratardquo (iii) Development Systems procured through unauthorized third parties and (iv) Development Systems that have been subject to misuse mishandling accident alteration neglect unauthorized repair or installation Furthermore this limited warranty shall not apply to the use of covered products in an application or environment that is not within Xilinx specifications or in the event of any act error neglect or default of Customer For any breach by Xilinx of this limited warranty the exclusive remedy of Customer and the sole liability of Xilinx shall be at the option of Xilinx to replace or repair the affected products or to refund to Customer the price of the affected products The availability of replacement products is subject to product discontinuation policies at Xilinx Customer may not return product without first obtaining a customer return material authorization (RMA) number from XilinxTHE WARRANTIES SET FORTH HEREIN ARE EXCLUSIVE XILINX DISCLAIMS ALL OTHER WARRANTIES WHETHER EXPRESS IMPLIED OR STATUTORY INCLUDING WITHOUT LIMITATION ANY WARRANTY OF MERCHANTABILITY FITNESS FOR A PARTICULAR PURPOSE OR NON-INFRINGEMENT AND ANY WARRANTY THAT MAY ARISE FROM COURSE OF DEALING COURSE OF PERFORMANCE OR USAGE OF TRADE (200810)

Do not throw Xilinx products marked with the ldquocrossed out wheeled binrdquo in the trash Directive 200296EC on waste electrical and electronic equipment (WEEE) requires the separate collection of WEEE Your cooperation is essential in ensuring the proper management of WEEE and the protection of the environment and human health from potential effects arising from the presence of hazardous substances in WEEE Return the marked products to Xilinx for proper disposal Further information and instructions for free-of-charge return available at httpwwwxilinxcomehsweeehtm

38 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Appendix B Warranty

  • Kintex-7 FPGA KC705 Evaluation Kit (Vivado Design Suite 20131)
    • Revision History
    • Table of Contents
    • Getting Started with the Kintex-7 FPGA KC705 Evaluation Kit (Vivado Design Suite 20131)
      • Introduction
      • Kit Contents
      • Basic Hardware Bring-up Using the BIST
        • Hardware Test Setup Requirements
          • Hardware Test Board Setup Requirements
            • Hardware Bring-Up
            • Run the BIST Application
              • AMS Bring-up with the AMS101 Evaluation Card
                • Requirements to Get Started
                • Evaluating AMS
                  • Advanced Bring-up Using the Base Targeted Reference Design
                    • Components
                    • Hardware Test Setup Requirements
                    • TRD Demonstration Setup
                    • Evaluating the Kintex-7 FPGA Base TRD
                        • Additional Resources
                          • Xilinx Resources
                          • Solution Centers
                          • Further Resources
                          • References
                            • Warranty
                                • ltlt ASCII85EncodePages false AllowTransparency false AutoPositionEPSFiles true AutoRotatePages All Binding Left CalGrayProfile (Dot Gain 20) CalRGBProfile (sRGB IEC61966-21) CalCMYKProfile (US Web Coated 050SWOP051 v2) sRGBProfile (sRGB IEC61966-21) CannotEmbedFontPolicy Warning CompatibilityLevel 16 CompressObjects Tags CompressPages true ConvertImagesToIndexed true PassThroughJPEGImages true CreateJobTicket false DefaultRenderingIntent Default DetectBlends true DetectCurves 00000 ColorConversionStrategy LeaveColorUnchanged DoThumbnails false EmbedAllFonts true EmbedOpenType false ParseICCProfilesInComments true EmbedJobOptions true DSCReportingLevel 0 EmitDSCWarnings false EndPage -1 ImageMemory 1048576 LockDistillerParams true MaxSubsetPct 100 Optimize true OPM 1 ParseDSCComments true ParseDSCCommentsForDocInfo true PreserveCopyPage true PreserveDICMYKValues true PreserveEPSInfo true PreserveFlatness true PreserveHalftoneInfo false PreserveOPIComments false PreserveOverprintSettings true StartPage 1 SubsetFonts true TransferFunctionInfo Apply UCRandBGInfo Preserve UsePrologue false ColorSettingsFile () AlwaysEmbed [ true ] NeverEmbed [ true ] AntiAliasColorImages false CropColorImages true ColorImageMinResolution 300 ColorImageMinResolutionPolicy OK DownsampleColorImages false ColorImageDownsampleType Bicubic ColorImageResolution 300 ColorImageDepth -1 ColorImageMinDownsampleDepth 1 ColorImageDownsampleThreshold 150000 EncodeColorImages true ColorImageFilter DCTEncode AutoFilterColorImages true ColorImageAutoFilterStrategy JPEG ColorACSImageDict ltlt QFactor 040 HSamples [1 1 1 1] VSamples [1 1 1 1] gtgt ColorImageDict ltlt QFactor 015 HSamples [1 1 1 1] VSamples [1 1 1 1] gtgt JPEG2000ColorACSImageDict ltlt TileWidth 256 TileHeight 256 Quality 30 gtgt JPEG2000ColorImageDict ltlt TileWidth 256 TileHeight 256 Quality 30 gtgt AntiAliasGrayImages false CropGrayImages true GrayImageMinResolution 300 GrayImageMinResolutionPolicy OK DownsampleGrayImages false GrayImageDownsampleType Bicubic GrayImageResolution 300 GrayImageDepth -1 GrayImageMinDownsampleDepth 2 GrayImageDownsampleThreshold 150000 EncodeGrayImages true GrayImageFilter DCTEncode AutoFilterGrayImages true GrayImageAutoFilterStrategy JPEG GrayACSImageDict ltlt QFactor 040 HSamples [1 1 1 1] VSamples [1 1 1 1] gtgt GrayImageDict ltlt QFactor 015 HSamples [1 1 1 1] VSamples [1 1 1 1] gtgt JPEG2000GrayACSImageDict ltlt TileWidth 256 TileHeight 256 Quality 30 gtgt JPEG2000GrayImageDict ltlt TileWidth 256 TileHeight 256 Quality 30 gtgt AntiAliasMonoImages false CropMonoImages true MonoImageMinResolution 1200 MonoImageMinResolutionPolicy OK DownsampleMonoImages false MonoImageDownsampleType Bicubic MonoImageResolution 1200 MonoImageDepth -1 MonoImageDownsampleThreshold 150000 EncodeMonoImages true MonoImageFilter CCITTFaxEncode MonoImageDict ltlt K -1 gtgt AllowPSXObjects false CheckCompliance [ None ] PDFX1aCheck false PDFX3Check false PDFXCompliantPDFOnly false PDFXNoTrimBoxError true PDFXTrimBoxToMediaBoxOffset [ 000000 000000 000000 000000 ] PDFXSetBleedBoxToMediaBox true PDFXBleedBoxToTrimBoxOffset [ 000000 000000 000000 000000 ] PDFXOutputIntentProfile (None) PDFXOutputConditionIdentifier () PDFXOutputCondition () PDFXRegistryName () PDFXTrapped False CreateJDFFile false Description ltlt ARA ltFEFF06270633062A062E062F0645002006470630064700200627064406250639062F0627062F0627062A002006440625064606340627062100200648062B062706260642002000410064006F00620065002000500044004600200645062A0648062706410642062900200644064406370628062706390629002006300627062A002006270644062C0648062F0629002006270644063906270644064A06290020064506460020062E06440627064400200627064406370627062806390627062A00200627064406450643062A0628064A062900200623064800200623062C06470632062900200625062C06310627062100200627064406280631064806410627062A061B0020064A06450643064600200641062A062D00200648062B0627062606420020005000440046002006270644064506460634062306290020062806270633062A062E062F062706450020004100630072006F0062006100740020064800410064006F006200650020005200650061006400650072002006250635062F0627063100200035002E0030002006480627064406250635062F062706310627062A0020062706440623062D062F062B002E0020064506390020005000440046002F0041060C0020062706440631062C062706210020064506310627062C063906290020062F0644064A0644002006450633062A062E062F06450020004100630072006F006200610074061B0020064A06450643064600200641062A062D00200648062B0627062606420020005000440046002006270644064506460634062306290020062806270633062A062E062F062706450020004100630072006F0062006100740020064800410064006F006200650020005200650061006400650072002006250635062F0627063100200035002E0030002006480627064406250635062F062706310627062A0020062706440623062D062F062B002Egt BGR ltFEFF04180437043f043e043b043704320430043904420435002004420435043704380020043d0430044104420440043e0439043a0438002c00200437043000200434043000200441044a0437043404300432043004420435002000410064006f00620065002000500044004600200434043e043a0443043c0435043d044204380020043704300020043a0430044704350441044204320435043d0020043f04350447043004420020043d04300020043d043004410442043e043b043d04380020043f04400438043d04420435044004380020043800200443044104420440043e043904410442043204300020043704300020043f04350447043004420020043d04300020043f0440043e0431043d04380020044004300437043f0435044704300442043a0438002e002000200421044a04370434043004340435043d043804420435002000500044004600200434043e043a0443043c0435043d044204380020043c043e0433043004420020043404300020044104350020043e0442043204300440044f0442002004410020004100630072006f00620061007400200438002000410064006f00620065002000520065006100640065007200200035002e00300020043800200441043b0435043404320430044904380020043204350440044104380438002egt CHS ltFEFF4f7f75288fd94e9b8bbe5b9a521b5efa7684002000500044004600206587686353ef901a8fc7684c976262535370673a548c002000700072006f006f00660065007200208fdb884c9ad88d2891cf62535370300260a853ef4ee54f7f75280020004100630072006f0062006100740020548c002000410064006f00620065002000520065006100640065007200200035002e003000204ee553ca66f49ad87248672c676562535f00521b5efa768400200050004400460020658768633002gt CHT ltFEFF4f7f752890194e9b8a2d7f6e5efa7acb7684002000410064006f006200650020005000440046002065874ef653ef5728684c9762537088686a5f548c002000700072006f006f00660065007200204e0a73725f979ad854c18cea7684521753706548679c300260a853ef4ee54f7f75280020004100630072006f0062006100740020548c002000410064006f00620065002000520065006100640065007200200035002e003000204ee553ca66f49ad87248672c4f86958b555f5df25efa7acb76840020005000440046002065874ef63002gt CZE ltFEFF005400610074006f0020006e006100730074006100760065006e00ed00200070006f0075017e0069006a007400650020006b0020007600790074007600e101590065006e00ed00200064006f006b0075006d0065006e0074016f002000410064006f006200650020005000440046002000700072006f0020006b00760061006c00690074006e00ed0020007400690073006b0020006e0061002000730074006f006c006e00ed006300680020007400690073006b00e10072006e00e100630068002000610020006e00e1007400690073006b006f007600fd006300680020007a0061015900ed007a0065006e00ed00630068002e002000200056007900740076006f01590065006e00e900200064006f006b0075006d0065006e007400790020005000440046002000620075006400650020006d006f017e006e00e90020006f007400650076015900ed007400200076002000700072006f006700720061006d0065006300680020004100630072006f00620061007400200061002000410064006f00620065002000520065006100640065007200200035002e0030002000610020006e006f0076011b006a016100ed00630068002egt DAN ltFEFF004200720075006700200069006e0064007300740069006c006c0069006e006700650072006e0065002000740069006c0020006100740020006f007000720065007400740065002000410064006f006200650020005000440046002d0064006f006b0075006d0065006e007400650072002000740069006c0020006b00760061006c00690074006500740073007500640073006b007200690076006e0069006e006700200065006c006c006500720020006b006f007200720065006b007400750072006c00e60073006e0069006e0067002e0020004400650020006f007000720065007400740065006400650020005000440046002d0064006f006b0075006d0065006e0074006500720020006b0061006e002000e50062006e00650073002000690020004100630072006f00620061007400200065006c006c006500720020004100630072006f006200610074002000520065006100640065007200200035002e00300020006f00670020006e0079006500720065002egt DEU ltFEFF00560065007200770065006e00640065006e0020005300690065002000640069006500730065002000450069006e007300740065006c006c0075006e00670065006e0020007a0075006d002000450072007300740065006c006c0065006e00200076006f006e002000410064006f006200650020005000440046002d0044006f006b0075006d0065006e00740065006e002c00200076006f006e002000640065006e0065006e002000530069006500200068006f00630068007700650072007400690067006500200044007200750063006b006500200061007500660020004400650073006b0074006f0070002d0044007200750063006b00650072006e00200075006e0064002000500072006f006f0066002d00470065007200e400740065006e002000650072007a0065007500670065006e0020006d00f60063006800740065006e002e002000450072007300740065006c006c007400650020005000440046002d0044006f006b0075006d0065006e007400650020006b00f6006e006e0065006e0020006d006900740020004100630072006f00620061007400200075006e0064002000410064006f00620065002000520065006100640065007200200035002e00300020006f0064006500720020006800f600680065007200200067006500f600660066006e00650074002000770065007200640065006e002egt ESP ltFEFF005500740069006c0069006300650020006500730074006100200063006f006e0066006900670075007200610063006900f3006e0020007000610072006100200063007200650061007200200064006f00630075006d0065006e0074006f0073002000640065002000410064006f0062006500200050004400460020007000610072006100200063006f006e00730065006700750069007200200069006d0070007200650073006900f3006e002000640065002000630061006c006900640061006400200065006e00200069006d0070007200650073006f0072006100730020006400650020006500730063007200690074006f00720069006f00200079002000680065007200720061006d00690065006e00740061007300200064006500200063006f00720072006500630063006900f3006e002e002000530065002000700075006500640065006e00200061006200720069007200200064006f00630075006d0065006e0074006f00730020005000440046002000630072006500610064006f007300200063006f006e0020004100630072006f006200610074002c002000410064006f00620065002000520065006100640065007200200035002e003000200079002000760065007200730069006f006e0065007300200070006f00730074006500720069006f007200650073002egt ETI ltFEFF004b00610073007500740061006700650020006e0065006900640020007300e4007400740065006900640020006c006100750061002d0020006a00610020006b006f006e00740072006f006c006c007400f5006d006d006900730065007000720069006e0074006500720069007400650020006a0061006f006b00730020006b00760061006c006900740065006500740073006500740065002000410064006f006200650020005000440046002d0064006f006b0075006d0065006e00740069006400650020006c006f006f006d006900730065006b0073002e002e00200020004c006f006f0064007500640020005000440046002d0064006f006b0075006d0065006e00740065002000730061006100740065002000610076006100640061002000700072006f006700720061006d006d006900640065006700610020004100630072006f0062006100740020006e0069006e0067002000410064006f00620065002000520065006100640065007200200035002e00300020006a00610020007500750065006d006100740065002000760065007200730069006f006f006e00690064006500670061002e000d000agt FRA ltFEFF005500740069006c006900730065007a00200063006500730020006f007000740069006f006e00730020006100660069006e00200064006500200063007200e900650072002000640065007300200064006f00630075006d0065006e00740073002000410064006f00620065002000500044004600200070006f007500720020006400650073002000e90070007200650075007600650073002000650074002000640065007300200069006d007000720065007300730069006f006e00730020006400650020006800610075007400650020007100750061006c0069007400e90020007300750072002000640065007300200069006d007000720069006d0061006e0074006500730020006400650020006200750072006500610075002e0020004c0065007300200064006f00630075006d0065006e00740073002000500044004600200063007200e900e90073002000700065007500760065006e0074002000ea0074007200650020006f007500760065007200740073002000640061006e00730020004100630072006f006200610074002c002000610069006e00730069002000710075002700410064006f00620065002000520065006100640065007200200035002e0030002000650074002000760065007200730069006f006e007300200075006c007400e90072006900650075007200650073002egt GRE ltFEFF03a703c103b703c303b903bc03bf03c003bf03b903ae03c303c403b5002003b103c503c403ad03c2002003c403b903c2002003c103c503b803bc03af03c303b503b903c2002003b303b903b1002003bd03b1002003b403b703bc03b903bf03c503c103b303ae03c303b503c403b5002003ad03b303b303c103b103c603b1002000410064006f006200650020005000440046002003b303b903b1002003b503ba03c403cd03c003c903c303b7002003c003bf03b903cc03c403b703c403b103c2002003c303b5002003b503ba03c403c503c003c903c403ad03c2002003b303c103b103c603b503af03bf03c5002003ba03b103b9002003b403bf03ba03b903bc03b103c303c403ad03c2002e0020002003a403b10020005000440046002003ad03b303b303c103b103c603b1002003c003bf03c5002003ad03c703b503c403b5002003b403b703bc03b903bf03c503c103b303ae03c303b503b9002003bc03c003bf03c103bf03cd03bd002003bd03b1002003b103bd03bf03b903c703c403bf03cd03bd002003bc03b5002003c403bf0020004100630072006f006200610074002c002003c403bf002000410064006f006200650020005200650061006400650072002000200035002e0030002003ba03b103b9002003bc03b503c403b103b303b503bd03ad03c303c403b503c103b503c2002003b503ba03b403cc03c303b503b903c2002egt HEB ltFEFF05D405E905EA05DE05E905D5002005D105D405D205D305E805D505EA002005D005DC05D4002005DB05D305D9002005DC05D905E605D505E8002005DE05E105DE05DB05D9002000410064006F006200650020005000440046002005E205D105D505E8002005D405D305E405E105D4002005D005D905DB05D505EA05D905EA002005D105DE05D305E405E105D505EA002005E905D505DC05D705E005D905D505EA002005D505DB05DC05D9002005D405D205D405D4002E002005DE05E105DE05DB05D9002005D4002D005000440046002005E905E005D505E605E805D905DD002005E005D905EA05E005D905DD002005DC05E405EA05D905D705D4002005D105D005DE05E605E205D505EA0020004100630072006F006200610074002005D5002D00410064006F00620065002000520065006100640065007200200035002E0030002005D505D205E805E105D005D505EA002005DE05EA05E705D305DE05D505EA002005D905D505EA05E8002Egt HRV ltFEFF005a00610020007300740076006100720061006e006a0065002000410064006f00620065002000500044004600200064006f006b0075006d0065006e0061007400610020007a00610020006b00760061006c00690074006500740061006e0020006900730070006900730020006e006100200070006900730061010d0069006d006100200069006c0069002000700072006f006f006600650072002000750072006501110061006a0069006d0061002e00200020005300740076006f00720065006e0069002000500044004600200064006f006b0075006d0065006e007400690020006d006f006700750020007300650020006f00740076006f00720069007400690020004100630072006f00620061007400200069002000410064006f00620065002000520065006100640065007200200035002e0030002000690020006b00610073006e0069006a0069006d0020007600650072007a0069006a0061006d0061002egt HUN ltFEFF004d0069006e0151007300e9006700690020006e0079006f006d00610074006f006b0020006b00e90073007a00ed007400e9007300e900680065007a002000610073007a00740061006c00690020006e0079006f006d00740061007400f3006b006f006e002000e9007300200070007200f300620061006e0079006f006d00f3006b006f006e00200065007a0065006b006b0065006c0020006100200062006500e1006c006c00ed007400e10073006f006b006b0061006c002c00200068006f007a007a006f006e0020006c00e9007400720065002000410064006f00620065002000500044004600200064006f006b0075006d0065006e00740075006d006f006b00610074002e0020002000410020006c00e90074007200650068006f007a006f00740074002000500044004600200064006f006b0075006d0065006e00740075006d006f006b00200061007a0020004100630072006f006200610074002c00200061007a002000410064006f00620065002000520065006100640065007200200035002e0030002000e9007300200061007a002000610074007400f3006c0020006b00e9007301510062006200690020007600650072007a006900f3006b006b0061006c00200020006e00790069007400680061007400f3006b0020006d00650067002egt ITA ltFEFF005500740069006c0069007a007a006100720065002000710075006500730074006500200069006d0070006f007300740061007a0069006f006e00690020007000650072002000630072006500610072006500200064006f00630075006d0065006e00740069002000410064006f006200650020005000440046002000700065007200200075006e00610020007300740061006d007000610020006400690020007100750061006c0069007400e00020007300750020007300740061006d00700061006e0074006900200065002000700072006f006f0066006500720020006400650073006b0074006f0070002e0020004900200064006f00630075006d0065006e007400690020005000440046002000630072006500610074006900200070006f00730073006f006e006f0020006500730073006500720065002000610070006500720074006900200063006f006e0020004100630072006f00620061007400200065002000410064006f00620065002000520065006100640065007200200035002e003000200065002000760065007200730069006f006e006900200073007500630063006500730073006900760065002egt JPN ltFEFF9ad854c18cea51fa529b7528002000410064006f0062006500200050004400460020658766f8306e4f5c6210306b4f7f75283057307e30593002537052376642306e753b8cea3092670059279650306b4fdd306430533068304c3067304d307e3059300230c730b930af30c830c330d730d730ea30f330bf3067306e53705237307e305f306f30d730eb30fc30d57528306b9069305730663044307e305930023053306e8a2d5b9a30674f5c62103055308c305f0020005000440046002030d530a130a430eb306f3001004100630072006f0062006100740020304a30883073002000410064006f00620065002000520065006100640065007200200035002e003000204ee5964d3067958b304f30533068304c3067304d307e30593002gt KOR ltFEFFc7740020c124c815c7440020c0acc6a9d558c5ec0020b370c2a4d06cd0d10020d504b9b0d1300020bc0f0020ad50c815ae30c5d0c11c0020ace0d488c9c8b85c0020c778c1c4d560002000410064006f0062006500200050004400460020bb38c11cb97c0020c791c131d569b2c8b2e4002e0020c774b807ac8c0020c791c131b41c00200050004400460020bb38c11cb2940020004100630072006f0062006100740020bc0f002000410064006f00620065002000520065006100640065007200200035002e00300020c774c0c1c5d0c11c0020c5f40020c2180020c788c2b5b2c8b2e4002egt LTH ltFEFF004e006100750064006f006b0069007400650020016100690075006f007300200070006100720061006d006500740072007500730020006e006f0072011700640061006d00690020006b0075007200740069002000410064006f00620065002000500044004600200064006f006b0075006d0065006e007400750073002c0020006b007500720069006500200073006b00690072007400690020006b006f006b0079006200690161006b0061006900200073007000610075007300640069006e007400690020007300740061006c0069006e0069006100690073002000690072002000620061006e00640079006d006f00200073007000610075007300640069006e007400750076006100690073002e0020002000530075006b0075007200740069002000500044004600200064006f006b0075006d0065006e007400610069002000670061006c006900200062016b007400690020006100740069006400610072006f006d00690020004100630072006f006200610074002000690072002000410064006f00620065002000520065006100640065007200200035002e0030002000610072002000760117006c00650073006e0117006d00690073002000760065007200730069006a006f006d00690073002egt LVI ltFEFF0049007a006d0061006e0074006f006a00690065007400200161006f00730020006900650073007400610074012b006a0075006d00750073002c0020006c0061006900200069007a0076006500690064006f00740075002000410064006f00620065002000500044004600200064006f006b0075006d0065006e0074007500730020006b00760061006c0069007400610074012b0076006100690020006400720075006b010101610061006e00610069002000610072002000670061006c006400610020007000720069006e00740065007200690065006d00200075006e0020007000610072006100750067006e006f00760069006c006b0075006d0075002000690065007300700069006500640113006a00690065006d002e00200049007a0076006500690064006f006a006900650074002000500044004600200064006f006b0075006d0065006e007400750073002c0020006b006f002000760061007200200061007400760113007200740020006100720020004100630072006f00620061007400200075006e002000410064006f00620065002000520065006100640065007200200035002e0030002c0020006b0101002000610072012b00200074006f0020006a00610075006e0101006b0101006d002000760065007200730069006a0101006d002egt NLD (Gebruik deze instellingen om Adobe PDF-documenten te maken voor kwaliteitsafdrukken op desktopprinters en proofers De gemaakte PDF-documenten kunnen worden geopend met Acrobat en Adobe Reader 50 en hoger) NOR ltFEFF004200720075006b00200064006900730073006500200069006e006e007300740069006c006c0069006e00670065006e0065002000740069006c002000e50020006f0070007000720065007400740065002000410064006f006200650020005000440046002d0064006f006b0075006d0065006e00740065007200200066006f00720020007500740073006b00720069006600740020006100760020006800f800790020006b00760061006c00690074006500740020007000e500200062006f007200640073006b0072006900760065007200200065006c006c00650072002000700072006f006f006600650072002e0020005000440046002d0064006f006b0075006d0065006e00740065006e00650020006b0061006e002000e50070006e00650073002000690020004100630072006f00620061007400200065006c006c00650072002000410064006f00620065002000520065006100640065007200200035002e003000200065006c006c00650072002000730065006e006500720065002egt POL ltFEFF0055007300740061007700690065006e0069006100200064006f002000740077006f0072007a0065006e0069006100200064006f006b0075006d0065006e007400f3007700200050004400460020007a002000770079017c0073007a010500200072006f007a0064007a00690065006c0063007a006f015b0063006901050020006f006200720061007a006b00f30077002c0020007a0061007000650077006e00690061006a0105006301050020006c006500700073007a01050020006a0061006b006f015b0107002000770079006400720075006b00f30077002e00200044006f006b0075006d0065006e0074007900200050004400460020006d006f017c006e00610020006f007400770069006500720061010700200077002000700072006f006700720061006d006900650020004100630072006f00620061007400200069002000410064006f00620065002000520065006100640065007200200035002e0030002000690020006e006f00770073007a0079006d002egt PTB ltFEFF005500740069006c0069007a006500200065007300730061007300200063006f006e00660069006700750072006100e700f50065007300200064006500200066006f0072006d00610020006100200063007200690061007200200064006f00630075006d0065006e0074006f0073002000410064006f0062006500200050004400460020007000610072006100200069006d0070007200650073007300f5006500730020006400650020007100750061006c0069006400610064006500200065006d00200069006d00700072006500730073006f0072006100730020006400650073006b0074006f00700020006500200064006900730070006f00730069007400690076006f0073002000640065002000700072006f00760061002e0020004f007300200064006f00630075006d0065006e0074006f00730020005000440046002000630072006900610064006f007300200070006f00640065006d0020007300650072002000610062006500720074006f007300200063006f006d0020006f0020004100630072006f006200610074002000650020006f002000410064006f00620065002000520065006100640065007200200035002e0030002000650020007600650072007300f50065007300200070006f00730074006500720069006f007200650073002egt RUM ltFEFF005500740069006c0069007a00610163006900200061006300650073007400650020007300650074010300720069002000700065006e007400720075002000610020006300720065006100200064006f00630075006d0065006e00740065002000410064006f006200650020005000440046002000700065006e007400720075002000740069007001030072006900720065002000640065002000630061006c006900740061007400650020006c006100200069006d007000720069006d0061006e007400650020006400650073006b0074006f00700020015f0069002000700065006e0074007200750020007600650072006900660069006300610074006f00720069002e002000200044006f00630075006d0065006e00740065006c00650020005000440046002000630072006500610074006500200070006f00740020006600690020006400650073006300680069007300650020006300750020004100630072006f006200610074002c002000410064006f00620065002000520065006100640065007200200035002e00300020015f00690020007600650072007300690075006e0069006c006500200075006c0074006500720069006f006100720065002egt RUS ltFEFF04180441043f043e043b044c04370443043904420435002004340430043d043d044b04350020043d0430044104420440043e0439043a043800200434043b044f00200441043e043704340430043d0438044f00200434043e043a0443043c0435043d0442043e0432002000410064006f006200650020005000440046002c0020043f044004350434043d04300437043d043004470435043d043d044b044500200434043b044f0020043a0430044704350441044204320435043d043d043e04390020043f043504470430044204380020043d04300020043d043004410442043e043b044c043d044b04450020043f04400438043d044204350440043004450020043800200443044104420440043e04390441044204320430044500200434043b044f0020043f043e043b044304470435043d0438044f0020043f0440043e0431043d044b04450020043e0442044204380441043a043e0432002e002000200421043e043704340430043d043d044b04350020005000440046002d0434043e043a0443043c0435043d0442044b0020043c043e0436043d043e00200020043e0442043a0440044b043204300442044c002004410020043f043e043c043e0449044c044e0020004100630072006f00620061007400200438002000410064006f00620065002000520065006100640065007200200035002e00300020043800200431043e043b043504350020043f043e04370434043d043804450020043204350440044104380439002egt SKY ltFEFF0054006900650074006f0020006e006100730074006100760065006e0069006100200070006f0075017e0069007400650020006e00610020007600790074007600e100720061006e0069006500200064006f006b0075006d0065006e0074006f0076002000410064006f00620065002000500044004600200070007200650020006b00760061006c00690074006e00fa00200074006c0061010d0020006e0061002000730074006f006c006e00fd0063006800200074006c0061010d00690061007201480061006300680020006100200074006c0061010d006f007600fd006300680020007a006100720069006100640065006e0069006100630068002e00200056007900740076006f00720065006e00e900200064006f006b0075006d0065006e007400790020005000440046002000620075006400650020006d006f017e006e00e90020006f00740076006f00720069016500200076002000700072006f006700720061006d006f006300680020004100630072006f00620061007400200061002000410064006f00620065002000520065006100640065007200200035002e0030002000610020006e006f0076016100ed00630068002e000d000agt SLV ltFEFF005400650020006e006100730074006100760069007400760065002000750070006f0072006100620069007400650020007a00610020007500730074007600610072006a0061006e006a006500200064006f006b0075006d0065006e0074006f0076002000410064006f0062006500200050004400460020007a00610020006b0061006b006f0076006f00730074006e006f0020007400690073006b0061006e006a00650020006e00610020006e0061006d0069007a006e006900680020007400690073006b0061006c006e0069006b0069006800200069006e0020007000720065007600650072006a0061006c006e0069006b00690068002e00200020005500730074007600610072006a0065006e006500200064006f006b0075006d0065006e0074006500200050004400460020006a00650020006d006f0067006f010d00650020006f0064007000720065007400690020007a0020004100630072006f00620061007400200069006e002000410064006f00620065002000520065006100640065007200200035002e003000200069006e0020006e006f00760065006a01610069006d002egt SUO ltFEFF004b00e40079007400e40020006e00e40069007400e4002000610073006500740075006b007300690061002c0020006b0075006e0020006c0075006f0074002000410064006f0062006500200050004400460020002d0064006f006b0075006d0065006e007400740065006a00610020006c0061006100640075006b006100730074006100200074007900f6007000f60079007400e400740075006c006f0073007400750073007400610020006a00610020007600650064006f007300740075007300740061002000760061007200740065006e002e00200020004c0075006f0064007500740020005000440046002d0064006f006b0075006d0065006e00740069007400200076006f0069006400610061006e0020006100760061007400610020004100630072006f0062006100740069006c006c00610020006a0061002000410064006f00620065002000520065006100640065007200200035002e0030003a006c006c00610020006a006100200075007500640065006d006d0069006c006c0061002egt SVE ltFEFF0041006e007600e4006e00640020006400650020006800e4007200200069006e0073007400e4006c006c006e0069006e006700610072006e00610020006f006d002000640075002000760069006c006c00200073006b006100700061002000410064006f006200650020005000440046002d0064006f006b0075006d0065006e00740020006600f600720020006b00760061006c00690074006500740073007500740073006b0072006900660074006500720020007000e5002000760061006e006c00690067006100200073006b0072006900760061007200650020006f006300680020006600f600720020006b006f007200720065006b007400750072002e002000200053006b006100700061006400650020005000440046002d0064006f006b0075006d0065006e00740020006b0061006e002000f600700070006e00610073002000690020004100630072006f0062006100740020006f00630068002000410064006f00620065002000520065006100640065007200200035002e00300020006f00630068002000730065006e006100720065002egt TUR ltFEFF004d00610073006100fc0073007400fc002000790061007a013100630131006c006100720020007600650020006200610073006b01310020006d0061006b0069006e0065006c006500720069006e006400650020006b0061006c006900740065006c00690020006200610073006b013100200061006d0061006301310079006c0061002000410064006f006200650020005000440046002000620065006c00670065006c0065007200690020006f006c0075015f007400750072006d0061006b0020006900e70069006e00200062007500200061007900610072006c0061007201310020006b0075006c006c0061006e0131006e002e00200020004f006c0075015f0074007500720075006c0061006e0020005000440046002000620065006c00670065006c0065007200690020004100630072006f006200610074002000760065002000410064006f00620065002000520065006100640065007200200035002e003000200076006500200073006f006e0072006100730131006e00640061006b00690020007300fc007200fc006d006c00650072006c00650020006100e70131006c006100620069006c00690072002egt UKR ltFEFF04120438043a043e0440043804410442043e043204430439044204350020044604560020043f043004400430043c043504420440043800200434043b044f0020044104420432043e04400435043d043d044f00200434043e043a0443043c0435043d044204560432002000410064006f006200650020005000440046002c0020044f043a04560020043d04300439043a04400430044904350020043f045604340445043e0434044f0442044c00200434043b044f0020043204380441043e043a043e044f043a04560441043d043e0433043e0020043404400443043a04430020043d04300020043d0430044104420456043b044c043d043804450020043f04400438043d044204350440043004450020044204300020043f04400438044104420440043e044f044500200434043b044f0020043e044204400438043c0430043d043d044f0020043f0440043e0431043d0438044500200437043e04310440043004360435043d044c002e00200020042104420432043e04400435043d045600200434043e043a0443043c0435043d0442043800200050004400460020043c043e0436043d04300020043204560434043a0440043804420438002004430020004100630072006f006200610074002004420430002000410064006f00620065002000520065006100640065007200200035002e0030002004300431043e0020043f04560437043d04560448043e04570020043204350440044104560457002egt ENU ltFEFF005500730065002000740068006500730065002000730065007400740069006e0067007300200074006f0020006300720065006100740065002000410064006f0062006500200050004400460020006200610073006500640020006f006e0020007400680065002000640065006600610075006c0074002000580069006c0069006e007800200070006100720061006d0065007400650072002000730065007400740069006e0067007300200064006500660069006e00650064002000620079002000500053003000300034002e002000460069006c0065006e0061006d0065003a002000780069006c0069006e0078002e006a006f0062006f007000740069006f006e0073002e000d0043006f006d007000610074006100620069006c006900740079003a0020004100630072006f00620061007400200037002e0030002000280050004400460031002e00360029002e0020005500730065003a00200046006f00720020006300720065006100740069006e0067002000460069006e0061006c002c0020005000750062006c0069007300680065006400200050004400460073002e000d0043007200650061007400650064002000310030002f00310032002f003100310020006200790020006a0066006f007200730074002egt gtgt Namespace [ (Adobe) (Common) (10) ] OtherNamespaces [ ltlt AsReaderSpreads false CropImagesToFrames true ErrorControl WarnAndContinue FlattenerIgnoreSpreadOverrides false IncludeGuidesGrids false IncludeNonPrinting false IncludeSlug false Namespace [ (Adobe) (InDesign) (40) ] OmitPlacedBitmaps false OmitPlacedEPS false OmitPlacedPDF false SimulateOverprint Legacy gtgt ltlt AddBleedMarks false AddColorBars false AddCropMarks false AddPageInfo false AddRegMarks false ConvertColors NoConversion DestinationProfileName () DestinationProfileSelector NA Downsample16BitImages true FlattenerPreset ltlt PresetSelector MediumResolution gtgt FormElements false GenerateStructure true IncludeBookmarks false IncludeHyperlinks false IncludeInteractive false IncludeLayers false IncludeProfiles true MultimediaHandling UseObjectSettings Namespace [ (Adobe) (CreativeSuite) (20) ] PDFXOutputIntentProfileSelector NA PreserveEditing true UntaggedCMYKHandling LeaveUntagged UntaggedRGBHandling LeaveUntagged UseDocumentBleed false gtgt ]gtgt setdistillerparamsltlt HWResolution [1200 1200] PageSize [612000 792000]gtgt setpagedevice

Page 15: Xilinx UG883 Kintex-7 FPGA KC705 Evaluation Kit Getting ...€¦ · Updated step 2 and step 3 in Requirements to Get Started, page 14. ... KC705 Getting Started Guide 9 UG883 (v3.0)

KC705 Getting Started Guide wwwxilinxcom 15UG883 (v30) April 16 2013

AMS Bring-up with the AMS101 Evaluation Card

Evaluating AMS1 Connect and power the hardware

a Plug the AMS101 evaluation card into the XADC header on your FPGA base board

Note Ensure the notch on the XADC header lines up correctly with the AMS101 evaluation card (see Figure 8)

b Power up the FPGA base board

2 Download the design to the FPGA Installation of ISE Programming tools or Lab Tools is required for downloading the bitstream to the FPGA

Refer to the Vivado Design Suite User Guide Programming and Debugging (UG908) at httpwwwxilinxcomsupportdocumentationdt_vivadohtm to obtain information on downloading the design to the FPGA

a Open the xadc_eval_designbit file from the AMS Targeted Reference Design files

3 Run the AMS101 Evaluator LabVIEW GUI executable from your desktop After loading the AMS Evaluator installer files a red X with the AMS Evaluator program should reside on your desktop

Note The AMS bitstream can also be loaded with ChipScope analyzer

The AMS101 Evaluator GUI is shown in Figure 9

X-Ref Target - Figure 8

Figure 8 KC705 Base Board with AMS101 Evaluation Card Plugged into XADC Header

UG883_08_121112

16 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

AMS Bring-up with the AMS101 Evaluation Card

The AMS Evaluator Tool allows designers to quickly evaluate the analog signals in the time domain frequency domain display linearity verify the XADC register settings and measure the internal temperature sensor and supply voltages

For a more extensive explanation of the AMS101 evaluation card and the applicable files refer to AMS101 Evaluation Platform User Guide (UG886)

X-Ref Target - Figure 9

Figure 9 AMS101 Evaluator GUI

UG883_09_121112

Select COM Port Here

KC705 Getting Started Guide wwwxilinxcom 17UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

Advanced Bring-up Using the Base Targeted Reference DesignThe primary components of the Kintex-7 FPGA Base TRD are

bull Integrated Endpoint block for PCI Express (PCIe) See 7 Series FPGAs Integrated Block for PCI Express User Guide (UG477)

bull Northwest Logic Packet DMA [Ref 5]

bull Multiport Virtual FIFO

The TRD system can sustain up to 10 Gbs throughput end to end

Figure 10 provides an overview of the TRD

Note In Figure 10 the arrows indicate AXI interface directions (from master to slave) They do not indicate data flow directions

ComponentsThe Kintex-7 FPGA Base TRD features these components

bull Kintex-7 FPGA integrated Endpoint block for PCI Express

bull Configured with 4 lanes at a 5 Gbs link rate (Gen2) or 8 lanes at a 25 Gbs link rate (Gen1) for PCI Express v20

bull Provides a user interface compliant with AXI4-Stream interface protocol

bull Performance monitor tracks the integrated blockrsquos AXI4-Stream interface for PCIe transactions

bull Bus Mastering Scatter-Gather Packet DMA from Northwest Logic a multichannel DMA

bull Supports full-duplex operation with independent transmit and receive paths

X-Ref Target - Figure 10

Figure 10 Kintex-7 FPGA Base TRD Block Diagram

UG883_10_121112

Multiport Virtual FIFO

DD

R3

IO

Software

Multi-ChannelDMA for PCIe DDR3

Cha

nnel

-0C

2SS

2CC

hann

el-1

S2C

C2S

64 x1600 Mbs

PC

Ie x

4Gen

2 Li

nk

PC

Ie x

8Gen

1 Li

nk

VFIFOController

SoftwareDriver

Interface Blocks in FPGA Third Party IPXilinx IP On BoardCustom Logic

AXI-ST AXI-MM

Hardware

VFIFOController

VFIFOController

VFIFOController

Raw Packet Data Block

Checker

Generator

Loop

back

Loop

back

Raw Packet Data Block

Generator

Checker

User SpaceRegisters

Target InterfaceAXI Master

256 x200 MHz

64 x

250

MH

z

64 x250 MHz

64 x250 MHz

64 x250 MHz

64 x250 MHz

PerformanceMonitor

GU

I

GT

X T

rans

ceiv

er

Inte

grat

ed E

ndpo

int B

lock

for

PC

I Exp

ress

AX

I-S

T B

asic

Wra

pper

AXIMIG

AXIInterconnect

SI SI

SI SIM

I

18 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

bull Provides an AXI4-Stream interface on the back end

bull Monitors the performance of data transfers in receive and transmit directions

bull Provides an AXI4 memory-mapped target interface to access user-defined registers

Note The Northwest Logic Packet DMA shipped with the Base TRD is an evaluation version and expires after 12 hours of run time To get the full version contact Northwest Logic [Ref 7]

bull Multiport Virtual FIFO

bull DDR3 SDRAM SODIMM (64-bit 1600 Mbs 800 MHz) is used for buffering packets The memory controller delivered through the memory interface generator (MIG) tool interfaces to the DDR3 memory

bull AXI Interconnect IP along with the memory controller supports multiple ports on the memory

bull The Packetized Virtual FIFO controller controls addressing of the DDR3 memory for each port allowing DDR3 to be used as Virtual Packet FIFO

bull Software driver for a 32-bit Linux platform

bull Configures the hardware design parameters

bull Generates and consumes traffic

bull Provides a GUI to report status and performance statistics

The Kintex-7 FPGA integrated Endpoint block for PCI Express and the Packet DMA are responsible for data transfers from host system to Endpoint card (S2C) and Endpoint card to host system (C2S) Data to and from the host is stored in a Virtual FIFO built around the DDR3 memory This Multiport Virtual FIFO abstraction layer around the DDR3 memory allows the user to move traffic efficiently without the need to manage addressing and arbitration on the memory interface It also provides more depth than storage implemented using Block RAMs

The integrated Endpoint block for PCI Express Packet DMA and Multiport Virtual FIFO form the base system The base system can bridge the host to any user application running on the other end The raw data packet module is a dummy application that generates and consumes packets It can be replaced by any user specific protocol like Aurora or XAUI

The software driver runs on the host system It generates raw data traffic for transmit operations in the S2C direction It also consumes the data looped back or generated at the application end in the C2S direction

Hardware Test Setup RequirementsThese are the prerequisites for testing the design in hardware

bull KC705 Evaluation board with the Kintex-7 FPGA XC7K325T-2FFG900C device

bull Design files provided as a zipped collection under the Docs amp Designs tab at wwwxilinxcomkc705 include

bull Design source files

bull Device driver files

bull Board design files

bull Documentation

bull Vivado Design Suite

bull ISE Programming tools or LabTools

KC705 Getting Started Guide wwwxilinxcom 19UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

bull Micro USB cable

bull 4-pin to 6-pin PCIe adapter cable

bull Fedora 16 Live DVD [Ref 6]

bull PC with PCIe v20 slot For a complete list of machines tested and all known issues refer to the Kintex-7 FPGA Base Targeted Reference Design Release Notes and Known Issues Master Answer Record (httpwwwxilinxcomsupportanswers45679htm) This PC could also have Fedora Core 16 Linux OS installed on it

TRD Demonstration SetupThis section describes hardware setup and use of the application GUI to help the user get started quickly with the design in hardware It provides a step-by-step explanation of hardware bring-up and describes using the application GUI

When following the demonstration setup steps for the Kintex-7 FPGA Base TRD if the behavior is not as described refer to the known issues at wwwxilinxcomsupportanswers45679htm

Board Setup

This section describes how to set up the KC705 Evaluation board required to demonstrate the TRD

1 Set the KC705 Jumpers and Switches Verify that the KC705 Evaluation board jumpers and switches are set as shown in Table 2 and Figure 11

Table 2 Switch and Jumper Settings

Jumper Function Setting

J32 PCIe configuration width mdash 4 lane design Jump 3-4

Switch Function or Type Setting

SW15 Board power slide-switch Off

SW11 User GPIO DIP switch

4 Off

3 Off

2 Off

1 Off

S13 DIP switch SW13 positions 1 and 2 control the setting of address bits of the flashDIP switch SW13 positions 3 4 and 5 control which configuration mode

5 (M0) M2 =0 M1=1 M0=0 ndash Master BPIM2 =0 M1=0 M0=1 ndash Master SPIM2 =1 M1=0 M0=1 ndash JTAG

Off

4 (M1) On

3 (M2) Off

2 Off

1 Off

20 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

Hardware Bring-Up

This section presents steps for hardware bring-up

1 With the host system switched off insert the KC705 board in the PCIe slot through the PCI Express x8 or x16 edge connector (Figure 12)

The TRD programmed on the KC705 board has a 4-lane PCIe v20 configuration running at a 5 Gbs link rate per lane The PCI Express specification allows for a smaller lane width Endpoint to be installed into a larger lane width PCIe connector

2 Figure 13 shows the 12V power connection Connect the 12V ATX power supplyrsquos available 4-pin connector to the board (J49) via a 4-pin to 6-pin PCIe adapter cable Toggle the Power switch SW15 to the ON position

X-Ref Target - Figure 11

Figure 11 Switch and Jumper Settings

UG883_11_040913

J27 J28

J29 J30SW13

J32

SW15SW11

X-Ref Target - Figure 12if

Figure 12 KC705 Board Plugged into a PCIe x16 Slot

UG883_12_040913

KC705 Getting Started Guide wwwxilinxcom 21UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

3 Make sure the connections are tight and then power on the PC system

Note If the user wishes to boot Linux from the Fedora 16 Live DVD place the DVD in the PCrsquos DVD-ROM drive as soon as the PC system is powered on

4 Verify the status of the design on the KC705 LEDs The design provides status on the GPIO LEDs on the upper right of the KC705 board (Figure 14) After the PC system is powered on and the TRD has successfully configured status LEDs from right to left should indicate

bull LED 0 mdash ON if the PCIe link is up

bull LED 1 mdash Flashes if the PCIe user clock is present

bull LED 2 mdash ON if lane width is what is expected else it flashes (for a 4 lane design the expected lane width is 4 for an 8 lane design the expected lane width is 8)

bull LED 3 mdash ON if memory calibration is done

bull LED 4 to LED 7 mdash Not connected

X-Ref Target - Figure 13

Figure 13 Power Supply Connection

UG883_13_121112

SW15

J49 6-pinConnector

12V ATX PowerSupply Pluggedinto the 4-pinConnector

22 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

Install the Linux Driver

1 If Fedora 16 is installed on the PC systemrsquos hard disk boot as a root-privileged user and skip to step 3 page 23

2 To boot from the Fedora 16 Live DVD provided in the kit place the DVD in the PCrsquos DVD-ROM drive The Fedora 16 Live Media is for Intel-compatible PCs The DVD contains a complete bootable 32-bit Fedora 16 environment with the proper packages installed for the TRD demonstration environment For more details see Fedora Information page 2 The PC boots from the DVD-ROM drive and logs into a liveuser account This account has kernel development root privileges required to install and remove device driver modules

Note Users might have to adjust BIOS boot order settings to make sure that the DVD-ROM drive is the first drive in the boot order To enter the BIOS menu to set the boot order press the DEL or F2 key when the system is powered on Set the boot order and save the changes (The DEL or F2 key is used by most PC systems to enter the BIOS setup Some PCs might have a different way to enter the BIOS setup)

The PC should boot from the DVD-ROM drive The images in Figure 15 are seen on the monitor during boot up

X-Ref Target - Figure 14

Figure 14 Location of GPIO Status LEDs (Indicates TRD Status)

UG883_14_121112

KC705 Getting Started Guide wwwxilinxcom 23UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

3 After Fedora 16 Core boots open a terminal window (click Activities click Application scroll down and click the Terminal icon) To find out if the PCIe Endpoint is detected at the terminal command line type

$ lspci

The lspci command displays the devices in the PCI and PCI Express buses of the PC On the bus of the KC705 card slot is the message

Communication controller Xilinx Corporation Device 7042

This message confirms that the design programmed into the KC705 board has been found by the BIOS and the Fedora 16 OS The bus number varies depending on which PC motherboard and slot are used Figure 16 shows a lspci output for an example system Xilinx device 7042 has been found by the BIOS on bus number 2 (02000 - busdevfunction)

X-Ref Target - Figure 15

Figure 15 Fedora 16 Live DVD BootingFirst Screen Last Boot Screen Booted

UG883 15 040913

X-Ref Target - Figure 16

Figure 16 PCI and PCI Express Bus Devices

UG883_16_040913

24 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

4 Download the reference design from the Docs amp Designs tab at wwwxilinxcomkc705 and copy the k7_pcie_dma_ddr3_base folder into any directory

5 To set up and run the TRD demonstration the software driver should be installed on the PC system Software driver installation involves

a Building the kernel objects and the GUI

b Inserting the driver modules into the kernel

After the driver modules are loaded the application GUI can be invoked The user can set parameters through the GUI and run the TRD

When the user is done running the TRD the application GUI can be closed and the drivers can be removed

A script is provided to execute all the above actions so that the user can quickly start the TRD

The k7_trd_lin_quickstart script is available in the k7_pcie_dma_ddr3_base folder Right click the script select properties go to the permissions tab check the box Allow executing file as programmdashthis makes the script executable Close the window

To run the script double-click k7_trd_lin_quickstart in the k7_pcie_dma_ddr3_base folder (Figure 17) The window prompt in Figure 18 appears

X-Ref Target - Figure 17

Figure 17 Load Driver and Launch Application GUI

UG883_17_121112

KC705 Getting Started Guide wwwxilinxcom 25UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

Click Run in Terminal to proceed The application GUI is invoked

Proceed to the next section Using the Application GUI to set design parameters and run the TRD

X-Ref Target - Figure 18

Figure 18 Run in Terminal

UG883_18_040913

26 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

Using the Application GUI

When the drivers are loaded and the Performance Monitor GUI is invoked the user can configure the sending and receiving of data The GUI allows the user to observe the collected statistics and other status information

1 Click the System Status tab to verify the status of the KC705 board and the PCIe link (see Figure 19 and Table 3)

X-Ref Target - Figure 19

Figure 19 Verify Board Status in the Performance Monitor

UG883_19_121112

KC705 Getting Started Guide wwwxilinxcom 27UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

2 To start data traffic on the two data paths

a Click Start Test on Raw Data Path0 as shown in Figure 20 This enables the driver to start generating the data for Raw Data Path0

b Click Start Test on Raw Data Path1 as shown in Figure 20 This enables the driver to start generating the data for Raw Data Path1

Table 3 KC705 Board Status Field Explanations

Field Status Explanation

Link Status Up This confirms that the PCIe link is up and a PCIe connection is established between the Kintex-7 FPGA Endpoint for PCI Express and the PC motherboard chipset

Link Speed 50 Gbs This confirms that the PCIe link is operating at line rate speed per PCI Express v20

Link Width x4 This confirms that the PCIe link is trained as a x4 link

28 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

3 Verify TRD operations through the status information provided by the GUI (see Figure 21)

a Verify the PCIe throughput

b Verify the DMA channel throughput for the Raw Data Path0

c Verify the DMA channel throughput for the Raw Data Path1

d Verify there are no buffer descriptor errors for error-free operation

X-Ref Target - Figure 20

Figure 20 Start Data Traffic from the Performance Monitor

UG883_20_121112

KC705 Getting Started Guide wwwxilinxcom 29UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

The Kintex-7 FPGA PCIe-DMA TRD is now set up and running Close the Application GUI to unload the software drivers and stop traffic flow

X-Ref Target - Figure 21

Figure 21 Verify Error-Free Operation in the Performance Monitor

UG883_21_121112

30 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

Evaluating the Kintex-7 FPGA Base TRDThe Kintex-7 FPGA Base TRD provides a Performance and Status monitor application and GUI The application enables customers to evaluate different system parameters This section demonstrates performance variances for the PCI Express and DMA interfaces based on the parameters set

To evaluate the Kintex-7 FPGA Base TRD

1 Launch the Performance Monitor for the Kintex-7 FPGA Base TRD

a Navigate to the k7_pcie_dma_ddr3_base folder

b Double-click k7_lin_trd_quickstart (Figure 22)mdashmake sure that the script has executable permissionmdashto launch the Performance Monitor and Status GUI

c A window prompt appears as shown in Figure 23 Click Run in Terminal to proceed

2 Set up the test parameters in the Performance Monitor

a Two data paths are available Raw Data Path0 and Raw Data Path1 On each path set the Packet Size to a value between 64 ndash 32768 bytes

X-Ref Target - Figure 22

Figure 22 Launch the Performance Monitor and Status GUI

UG883_22_121112

X-Ref Target - Figure 23

Figure 23 Run k7_lin_trd_quickstart

UG883_23_121112

KC705 Getting Started Guide wwwxilinxcom 31UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

3 Execute the test and view payload statistics in the Performance and Status Monitor (see Figure 24)

a Click Start Test to start the performance test

b Click the Payload Statistics tab to view data transfers on the DMA channels

c Click Stop Test to stop data traffic

d Vary the Packet Size parameters for the Raw Data Paths (see Figure 25) and click Start Test Then view the payload statistics to review data transfer rate on the DMA channels With a decrease in packet size the performance drops

Note Before changing packet size click Stop Test change the size and then click Start Test

X-Ref Target - Figure 24

Figure 24 Payload Statistics

UG883_24_121112

32 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

Note For packet sizes equal to 64 or 128 bytes the throughput is reduced and might not be visible on the Payload Statistics tab The exact values can be viewed on the System Status tab

4 Click the PCIe Statistics tab to view data transfer numbers with varying packet sizes on the PCIe interface (Figure 26)

X-Ref Target - Figure 25

Figure 25 Effect of Varying Packet Sizes on Performance

UG883_25_121112

KC705 Getting Started Guide wwwxilinxcom 33UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

The system performance of the Kintex-7 FPGA Base TRD has now been evaluated using the pre-built demonstration design bit file

Now that the Kintex-7 FPGA Base TRD demonstration has been set up and evaluated the design can be modified Before the design can be modified make sure to install the Vivado Design Suite on a PC It is not required that tools be installed on the PC system in which the KC705 evaluation board is plugged in by way of the PCIe edge connector

X-Ref Target - Figure 26

Figure 26 PCIe Statistics in the Performance Monitor

UG883_26_121112

34 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

KC705 Getting Started Guide wwwxilinxcom 35UG883 (v30) April 16 2013

Appendix A

Additional Resources

Xilinx ResourcesFor support resources such as Answers Documentation Downloads and Forums see the Xilinx Support website at

wwwxilinxcomsupport

For continual updates add the Answer Record to your myAlerts

wwwxilinxcomsupportmyalerts

For a glossary of technical terms used in Xilinx documentation see

wwwxilinxcomcompanytermshtm

Solution CentersSee the Xilinx Solution Centers for support on devices software tools and intellectual property at all stages of the design cycle Topics include design assistance advisories and troubleshooting tips

Further ResourcesThe most up to date information related to the KC705 board and its documentation is available on the following websites

The Kintex-7 FPGA KC705 Evaluation Kit Product Page

wwwxilinxcomkc705

The Kintex-7 FPGA KC705 Evaluation Kit Master Answer Record

wwwxilinxcomsupportanswers47787htm

Design advisories by software release for the Kintex-7 FPGA KC705 Evaluation Kit

wwwxilinxcomsupportnav=sd-nav-link-179661amptab=tab-bk

Kintex-7 FPGA Base Targeted Reference Design Release Notes and Known Issues Master Answer Record

wwwxilinxcomsupportanswers45679htm

These documents provide supplemental material useful with this user guide

UG810 KC705 Evaluation Board for the Kintex-7 FPGA User Guide

UG845 KC705 Reference Design User Guide

36 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Appendix A Additional Resources

UG798 Xilinx Design Tools Installation and Licensing Guide Vivado Design Suite and ISE Design Suite

UG908 Vivado Design Suite User Guide Programming and Debugging

UG882 Kintex-7 FPGA KC705 Base Targeted Reference Design User Guide (Vivado Design Suite 20124)

UG886 AMS101 Evaluation Card User Guide

UG477 7 Series FPGAs Integrated Block for PCI Express User Guide

ReferencesThe following websites provide supplemental material useful with this guide

1 LabVIEW 32-bit Run-Time Enginehttpjoulenicomniducdsviewpid2534langen

2 LabVIEW 64-bit Run-Time Engine httpjoulenicomniducdsviewpid2536langen

3 Tera Term Pro program httphpvectorcojpauthorsVA002416teratermhtml

4 Drivers on the Silicon Labs site httpwwwsilabscomSupport20DocumentsSoftwareCP210x_VCP_Win_XP_S2K3_Vista_7exe

5 Northwest Logic DMA back end core httpwwwnwlogiccompacketdma

6 Fedora project httpfedoraprojectorg

Fedora is a Linux-based operating system used in the development of this TRD

7 The GTK+ project API documentation httpwwwgtkorgdocumentationphp

GTK+ is a toolkit for creating graphical user interfaces (GUI)

KC705 Getting Started Guide wwwxilinxcom 37UG883 (v30) April 16 2013

Appendix B

Warranty

THIS LIMITED WARRANTY applies solely to standard hardware development boards and standard hardware programming cables manufactured by or on behalf of Xilinx (ldquoDevelopment Systemsrdquo) Subject to the limitations herein Xilinx warrants that Development Systems when delivered by Xilinx or its authorized distributor for ninety (90) days following the delivery date will be free from defects in material and workmanship and will substantially conform to Xilinx publicly available specifications for such products in effect at the time of delivery This limited warranty excludes (i) engineering samples or beta versions of Development Systems (which are provided ldquoAS ISrdquo without warranty) (ii) design defects or errors known as ldquoerratardquo (iii) Development Systems procured through unauthorized third parties and (iv) Development Systems that have been subject to misuse mishandling accident alteration neglect unauthorized repair or installation Furthermore this limited warranty shall not apply to the use of covered products in an application or environment that is not within Xilinx specifications or in the event of any act error neglect or default of Customer For any breach by Xilinx of this limited warranty the exclusive remedy of Customer and the sole liability of Xilinx shall be at the option of Xilinx to replace or repair the affected products or to refund to Customer the price of the affected products The availability of replacement products is subject to product discontinuation policies at Xilinx Customer may not return product without first obtaining a customer return material authorization (RMA) number from XilinxTHE WARRANTIES SET FORTH HEREIN ARE EXCLUSIVE XILINX DISCLAIMS ALL OTHER WARRANTIES WHETHER EXPRESS IMPLIED OR STATUTORY INCLUDING WITHOUT LIMITATION ANY WARRANTY OF MERCHANTABILITY FITNESS FOR A PARTICULAR PURPOSE OR NON-INFRINGEMENT AND ANY WARRANTY THAT MAY ARISE FROM COURSE OF DEALING COURSE OF PERFORMANCE OR USAGE OF TRADE (200810)

Do not throw Xilinx products marked with the ldquocrossed out wheeled binrdquo in the trash Directive 200296EC on waste electrical and electronic equipment (WEEE) requires the separate collection of WEEE Your cooperation is essential in ensuring the proper management of WEEE and the protection of the environment and human health from potential effects arising from the presence of hazardous substances in WEEE Return the marked products to Xilinx for proper disposal Further information and instructions for free-of-charge return available at httpwwwxilinxcomehsweeehtm

38 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Appendix B Warranty

  • Kintex-7 FPGA KC705 Evaluation Kit (Vivado Design Suite 20131)
    • Revision History
    • Table of Contents
    • Getting Started with the Kintex-7 FPGA KC705 Evaluation Kit (Vivado Design Suite 20131)
      • Introduction
      • Kit Contents
      • Basic Hardware Bring-up Using the BIST
        • Hardware Test Setup Requirements
          • Hardware Test Board Setup Requirements
            • Hardware Bring-Up
            • Run the BIST Application
              • AMS Bring-up with the AMS101 Evaluation Card
                • Requirements to Get Started
                • Evaluating AMS
                  • Advanced Bring-up Using the Base Targeted Reference Design
                    • Components
                    • Hardware Test Setup Requirements
                    • TRD Demonstration Setup
                    • Evaluating the Kintex-7 FPGA Base TRD
                        • Additional Resources
                          • Xilinx Resources
                          • Solution Centers
                          • Further Resources
                          • References
                            • Warranty
                                • ltlt ASCII85EncodePages false AllowTransparency false AutoPositionEPSFiles true AutoRotatePages All Binding Left CalGrayProfile (Dot Gain 20) CalRGBProfile (sRGB IEC61966-21) CalCMYKProfile (US Web Coated 050SWOP051 v2) sRGBProfile (sRGB IEC61966-21) CannotEmbedFontPolicy Warning CompatibilityLevel 16 CompressObjects Tags CompressPages true ConvertImagesToIndexed true PassThroughJPEGImages true CreateJobTicket false DefaultRenderingIntent Default DetectBlends true DetectCurves 00000 ColorConversionStrategy LeaveColorUnchanged DoThumbnails false EmbedAllFonts true EmbedOpenType false ParseICCProfilesInComments true EmbedJobOptions true DSCReportingLevel 0 EmitDSCWarnings false EndPage -1 ImageMemory 1048576 LockDistillerParams true MaxSubsetPct 100 Optimize true OPM 1 ParseDSCComments true ParseDSCCommentsForDocInfo true PreserveCopyPage true PreserveDICMYKValues true PreserveEPSInfo true PreserveFlatness true PreserveHalftoneInfo false PreserveOPIComments false PreserveOverprintSettings true StartPage 1 SubsetFonts true TransferFunctionInfo Apply UCRandBGInfo Preserve UsePrologue false ColorSettingsFile () AlwaysEmbed [ true ] NeverEmbed [ true ] AntiAliasColorImages false CropColorImages true ColorImageMinResolution 300 ColorImageMinResolutionPolicy OK DownsampleColorImages false ColorImageDownsampleType Bicubic ColorImageResolution 300 ColorImageDepth -1 ColorImageMinDownsampleDepth 1 ColorImageDownsampleThreshold 150000 EncodeColorImages true ColorImageFilter DCTEncode AutoFilterColorImages true ColorImageAutoFilterStrategy JPEG ColorACSImageDict ltlt QFactor 040 HSamples [1 1 1 1] VSamples [1 1 1 1] gtgt ColorImageDict ltlt QFactor 015 HSamples [1 1 1 1] VSamples [1 1 1 1] gtgt JPEG2000ColorACSImageDict ltlt TileWidth 256 TileHeight 256 Quality 30 gtgt JPEG2000ColorImageDict ltlt TileWidth 256 TileHeight 256 Quality 30 gtgt AntiAliasGrayImages false CropGrayImages true GrayImageMinResolution 300 GrayImageMinResolutionPolicy OK DownsampleGrayImages false GrayImageDownsampleType Bicubic GrayImageResolution 300 GrayImageDepth -1 GrayImageMinDownsampleDepth 2 GrayImageDownsampleThreshold 150000 EncodeGrayImages true GrayImageFilter DCTEncode AutoFilterGrayImages true GrayImageAutoFilterStrategy JPEG GrayACSImageDict ltlt QFactor 040 HSamples [1 1 1 1] VSamples [1 1 1 1] gtgt GrayImageDict ltlt QFactor 015 HSamples [1 1 1 1] VSamples [1 1 1 1] gtgt JPEG2000GrayACSImageDict ltlt TileWidth 256 TileHeight 256 Quality 30 gtgt JPEG2000GrayImageDict ltlt TileWidth 256 TileHeight 256 Quality 30 gtgt AntiAliasMonoImages false CropMonoImages true MonoImageMinResolution 1200 MonoImageMinResolutionPolicy OK DownsampleMonoImages false MonoImageDownsampleType Bicubic MonoImageResolution 1200 MonoImageDepth -1 MonoImageDownsampleThreshold 150000 EncodeMonoImages true MonoImageFilter CCITTFaxEncode MonoImageDict ltlt K -1 gtgt AllowPSXObjects false CheckCompliance [ None ] PDFX1aCheck false PDFX3Check false PDFXCompliantPDFOnly false PDFXNoTrimBoxError true PDFXTrimBoxToMediaBoxOffset [ 000000 000000 000000 000000 ] PDFXSetBleedBoxToMediaBox true PDFXBleedBoxToTrimBoxOffset [ 000000 000000 000000 000000 ] PDFXOutputIntentProfile (None) PDFXOutputConditionIdentifier () PDFXOutputCondition () PDFXRegistryName () PDFXTrapped False CreateJDFFile false Description ltlt ARA ltFEFF06270633062A062E062F0645002006470630064700200627064406250639062F0627062F0627062A002006440625064606340627062100200648062B062706260642002000410064006F00620065002000500044004600200645062A0648062706410642062900200644064406370628062706390629002006300627062A002006270644062C0648062F0629002006270644063906270644064A06290020064506460020062E06440627064400200627064406370627062806390627062A00200627064406450643062A0628064A062900200623064800200623062C06470632062900200625062C06310627062100200627064406280631064806410627062A061B0020064A06450643064600200641062A062D00200648062B0627062606420020005000440046002006270644064506460634062306290020062806270633062A062E062F062706450020004100630072006F0062006100740020064800410064006F006200650020005200650061006400650072002006250635062F0627063100200035002E0030002006480627064406250635062F062706310627062A0020062706440623062D062F062B002E0020064506390020005000440046002F0041060C0020062706440631062C062706210020064506310627062C063906290020062F0644064A0644002006450633062A062E062F06450020004100630072006F006200610074061B0020064A06450643064600200641062A062D00200648062B0627062606420020005000440046002006270644064506460634062306290020062806270633062A062E062F062706450020004100630072006F0062006100740020064800410064006F006200650020005200650061006400650072002006250635062F0627063100200035002E0030002006480627064406250635062F062706310627062A0020062706440623062D062F062B002Egt BGR ltFEFF04180437043f043e043b043704320430043904420435002004420435043704380020043d0430044104420440043e0439043a0438002c00200437043000200434043000200441044a0437043404300432043004420435002000410064006f00620065002000500044004600200434043e043a0443043c0435043d044204380020043704300020043a0430044704350441044204320435043d0020043f04350447043004420020043d04300020043d043004410442043e043b043d04380020043f04400438043d04420435044004380020043800200443044104420440043e043904410442043204300020043704300020043f04350447043004420020043d04300020043f0440043e0431043d04380020044004300437043f0435044704300442043a0438002e002000200421044a04370434043004340435043d043804420435002000500044004600200434043e043a0443043c0435043d044204380020043c043e0433043004420020043404300020044104350020043e0442043204300440044f0442002004410020004100630072006f00620061007400200438002000410064006f00620065002000520065006100640065007200200035002e00300020043800200441043b0435043404320430044904380020043204350440044104380438002egt CHS ltFEFF4f7f75288fd94e9b8bbe5b9a521b5efa7684002000500044004600206587686353ef901a8fc7684c976262535370673a548c002000700072006f006f00660065007200208fdb884c9ad88d2891cf62535370300260a853ef4ee54f7f75280020004100630072006f0062006100740020548c002000410064006f00620065002000520065006100640065007200200035002e003000204ee553ca66f49ad87248672c676562535f00521b5efa768400200050004400460020658768633002gt CHT ltFEFF4f7f752890194e9b8a2d7f6e5efa7acb7684002000410064006f006200650020005000440046002065874ef653ef5728684c9762537088686a5f548c002000700072006f006f00660065007200204e0a73725f979ad854c18cea7684521753706548679c300260a853ef4ee54f7f75280020004100630072006f0062006100740020548c002000410064006f00620065002000520065006100640065007200200035002e003000204ee553ca66f49ad87248672c4f86958b555f5df25efa7acb76840020005000440046002065874ef63002gt CZE ltFEFF005400610074006f0020006e006100730074006100760065006e00ed00200070006f0075017e0069006a007400650020006b0020007600790074007600e101590065006e00ed00200064006f006b0075006d0065006e0074016f002000410064006f006200650020005000440046002000700072006f0020006b00760061006c00690074006e00ed0020007400690073006b0020006e0061002000730074006f006c006e00ed006300680020007400690073006b00e10072006e00e100630068002000610020006e00e1007400690073006b006f007600fd006300680020007a0061015900ed007a0065006e00ed00630068002e002000200056007900740076006f01590065006e00e900200064006f006b0075006d0065006e007400790020005000440046002000620075006400650020006d006f017e006e00e90020006f007400650076015900ed007400200076002000700072006f006700720061006d0065006300680020004100630072006f00620061007400200061002000410064006f00620065002000520065006100640065007200200035002e0030002000610020006e006f0076011b006a016100ed00630068002egt DAN ltFEFF004200720075006700200069006e0064007300740069006c006c0069006e006700650072006e0065002000740069006c0020006100740020006f007000720065007400740065002000410064006f006200650020005000440046002d0064006f006b0075006d0065006e007400650072002000740069006c0020006b00760061006c00690074006500740073007500640073006b007200690076006e0069006e006700200065006c006c006500720020006b006f007200720065006b007400750072006c00e60073006e0069006e0067002e0020004400650020006f007000720065007400740065006400650020005000440046002d0064006f006b0075006d0065006e0074006500720020006b0061006e002000e50062006e00650073002000690020004100630072006f00620061007400200065006c006c006500720020004100630072006f006200610074002000520065006100640065007200200035002e00300020006f00670020006e0079006500720065002egt DEU ltFEFF00560065007200770065006e00640065006e0020005300690065002000640069006500730065002000450069006e007300740065006c006c0075006e00670065006e0020007a0075006d002000450072007300740065006c006c0065006e00200076006f006e002000410064006f006200650020005000440046002d0044006f006b0075006d0065006e00740065006e002c00200076006f006e002000640065006e0065006e002000530069006500200068006f00630068007700650072007400690067006500200044007200750063006b006500200061007500660020004400650073006b0074006f0070002d0044007200750063006b00650072006e00200075006e0064002000500072006f006f0066002d00470065007200e400740065006e002000650072007a0065007500670065006e0020006d00f60063006800740065006e002e002000450072007300740065006c006c007400650020005000440046002d0044006f006b0075006d0065006e007400650020006b00f6006e006e0065006e0020006d006900740020004100630072006f00620061007400200075006e0064002000410064006f00620065002000520065006100640065007200200035002e00300020006f0064006500720020006800f600680065007200200067006500f600660066006e00650074002000770065007200640065006e002egt ESP ltFEFF005500740069006c0069006300650020006500730074006100200063006f006e0066006900670075007200610063006900f3006e0020007000610072006100200063007200650061007200200064006f00630075006d0065006e0074006f0073002000640065002000410064006f0062006500200050004400460020007000610072006100200063006f006e00730065006700750069007200200069006d0070007200650073006900f3006e002000640065002000630061006c006900640061006400200065006e00200069006d0070007200650073006f0072006100730020006400650020006500730063007200690074006f00720069006f00200079002000680065007200720061006d00690065006e00740061007300200064006500200063006f00720072006500630063006900f3006e002e002000530065002000700075006500640065006e00200061006200720069007200200064006f00630075006d0065006e0074006f00730020005000440046002000630072006500610064006f007300200063006f006e0020004100630072006f006200610074002c002000410064006f00620065002000520065006100640065007200200035002e003000200079002000760065007200730069006f006e0065007300200070006f00730074006500720069006f007200650073002egt ETI ltFEFF004b00610073007500740061006700650020006e0065006900640020007300e4007400740065006900640020006c006100750061002d0020006a00610020006b006f006e00740072006f006c006c007400f5006d006d006900730065007000720069006e0074006500720069007400650020006a0061006f006b00730020006b00760061006c006900740065006500740073006500740065002000410064006f006200650020005000440046002d0064006f006b0075006d0065006e00740069006400650020006c006f006f006d006900730065006b0073002e002e00200020004c006f006f0064007500640020005000440046002d0064006f006b0075006d0065006e00740065002000730061006100740065002000610076006100640061002000700072006f006700720061006d006d006900640065006700610020004100630072006f0062006100740020006e0069006e0067002000410064006f00620065002000520065006100640065007200200035002e00300020006a00610020007500750065006d006100740065002000760065007200730069006f006f006e00690064006500670061002e000d000agt FRA ltFEFF005500740069006c006900730065007a00200063006500730020006f007000740069006f006e00730020006100660069006e00200064006500200063007200e900650072002000640065007300200064006f00630075006d0065006e00740073002000410064006f00620065002000500044004600200070006f007500720020006400650073002000e90070007200650075007600650073002000650074002000640065007300200069006d007000720065007300730069006f006e00730020006400650020006800610075007400650020007100750061006c0069007400e90020007300750072002000640065007300200069006d007000720069006d0061006e0074006500730020006400650020006200750072006500610075002e0020004c0065007300200064006f00630075006d0065006e00740073002000500044004600200063007200e900e90073002000700065007500760065006e0074002000ea0074007200650020006f007500760065007200740073002000640061006e00730020004100630072006f006200610074002c002000610069006e00730069002000710075002700410064006f00620065002000520065006100640065007200200035002e0030002000650074002000760065007200730069006f006e007300200075006c007400e90072006900650075007200650073002egt GRE ltFEFF03a703c103b703c303b903bc03bf03c003bf03b903ae03c303c403b5002003b103c503c403ad03c2002003c403b903c2002003c103c503b803bc03af03c303b503b903c2002003b303b903b1002003bd03b1002003b403b703bc03b903bf03c503c103b303ae03c303b503c403b5002003ad03b303b303c103b103c603b1002000410064006f006200650020005000440046002003b303b903b1002003b503ba03c403cd03c003c903c303b7002003c003bf03b903cc03c403b703c403b103c2002003c303b5002003b503ba03c403c503c003c903c403ad03c2002003b303c103b103c603b503af03bf03c5002003ba03b103b9002003b403bf03ba03b903bc03b103c303c403ad03c2002e0020002003a403b10020005000440046002003ad03b303b303c103b103c603b1002003c003bf03c5002003ad03c703b503c403b5002003b403b703bc03b903bf03c503c103b303ae03c303b503b9002003bc03c003bf03c103bf03cd03bd002003bd03b1002003b103bd03bf03b903c703c403bf03cd03bd002003bc03b5002003c403bf0020004100630072006f006200610074002c002003c403bf002000410064006f006200650020005200650061006400650072002000200035002e0030002003ba03b103b9002003bc03b503c403b103b303b503bd03ad03c303c403b503c103b503c2002003b503ba03b403cc03c303b503b903c2002egt HEB ltFEFF05D405E905EA05DE05E905D5002005D105D405D205D305E805D505EA002005D005DC05D4002005DB05D305D9002005DC05D905E605D505E8002005DE05E105DE05DB05D9002000410064006F006200650020005000440046002005E205D105D505E8002005D405D305E405E105D4002005D005D905DB05D505EA05D905EA002005D105DE05D305E405E105D505EA002005E905D505DC05D705E005D905D505EA002005D505DB05DC05D9002005D405D205D405D4002E002005DE05E105DE05DB05D9002005D4002D005000440046002005E905E005D505E605E805D905DD002005E005D905EA05E005D905DD002005DC05E405EA05D905D705D4002005D105D005DE05E605E205D505EA0020004100630072006F006200610074002005D5002D00410064006F00620065002000520065006100640065007200200035002E0030002005D505D205E805E105D005D505EA002005DE05EA05E705D305DE05D505EA002005D905D505EA05E8002Egt HRV ltFEFF005a00610020007300740076006100720061006e006a0065002000410064006f00620065002000500044004600200064006f006b0075006d0065006e0061007400610020007a00610020006b00760061006c00690074006500740061006e0020006900730070006900730020006e006100200070006900730061010d0069006d006100200069006c0069002000700072006f006f006600650072002000750072006501110061006a0069006d0061002e00200020005300740076006f00720065006e0069002000500044004600200064006f006b0075006d0065006e007400690020006d006f006700750020007300650020006f00740076006f00720069007400690020004100630072006f00620061007400200069002000410064006f00620065002000520065006100640065007200200035002e0030002000690020006b00610073006e0069006a0069006d0020007600650072007a0069006a0061006d0061002egt HUN ltFEFF004d0069006e0151007300e9006700690020006e0079006f006d00610074006f006b0020006b00e90073007a00ed007400e9007300e900680065007a002000610073007a00740061006c00690020006e0079006f006d00740061007400f3006b006f006e002000e9007300200070007200f300620061006e0079006f006d00f3006b006f006e00200065007a0065006b006b0065006c0020006100200062006500e1006c006c00ed007400e10073006f006b006b0061006c002c00200068006f007a007a006f006e0020006c00e9007400720065002000410064006f00620065002000500044004600200064006f006b0075006d0065006e00740075006d006f006b00610074002e0020002000410020006c00e90074007200650068006f007a006f00740074002000500044004600200064006f006b0075006d0065006e00740075006d006f006b00200061007a0020004100630072006f006200610074002c00200061007a002000410064006f00620065002000520065006100640065007200200035002e0030002000e9007300200061007a002000610074007400f3006c0020006b00e9007301510062006200690020007600650072007a006900f3006b006b0061006c00200020006e00790069007400680061007400f3006b0020006d00650067002egt ITA ltFEFF005500740069006c0069007a007a006100720065002000710075006500730074006500200069006d0070006f007300740061007a0069006f006e00690020007000650072002000630072006500610072006500200064006f00630075006d0065006e00740069002000410064006f006200650020005000440046002000700065007200200075006e00610020007300740061006d007000610020006400690020007100750061006c0069007400e00020007300750020007300740061006d00700061006e0074006900200065002000700072006f006f0066006500720020006400650073006b0074006f0070002e0020004900200064006f00630075006d0065006e007400690020005000440046002000630072006500610074006900200070006f00730073006f006e006f0020006500730073006500720065002000610070006500720074006900200063006f006e0020004100630072006f00620061007400200065002000410064006f00620065002000520065006100640065007200200035002e003000200065002000760065007200730069006f006e006900200073007500630063006500730073006900760065002egt JPN ltFEFF9ad854c18cea51fa529b7528002000410064006f0062006500200050004400460020658766f8306e4f5c6210306b4f7f75283057307e30593002537052376642306e753b8cea3092670059279650306b4fdd306430533068304c3067304d307e3059300230c730b930af30c830c330d730d730ea30f330bf3067306e53705237307e305f306f30d730eb30fc30d57528306b9069305730663044307e305930023053306e8a2d5b9a30674f5c62103055308c305f0020005000440046002030d530a130a430eb306f3001004100630072006f0062006100740020304a30883073002000410064006f00620065002000520065006100640065007200200035002e003000204ee5964d3067958b304f30533068304c3067304d307e30593002gt KOR ltFEFFc7740020c124c815c7440020c0acc6a9d558c5ec0020b370c2a4d06cd0d10020d504b9b0d1300020bc0f0020ad50c815ae30c5d0c11c0020ace0d488c9c8b85c0020c778c1c4d560002000410064006f0062006500200050004400460020bb38c11cb97c0020c791c131d569b2c8b2e4002e0020c774b807ac8c0020c791c131b41c00200050004400460020bb38c11cb2940020004100630072006f0062006100740020bc0f002000410064006f00620065002000520065006100640065007200200035002e00300020c774c0c1c5d0c11c0020c5f40020c2180020c788c2b5b2c8b2e4002egt LTH ltFEFF004e006100750064006f006b0069007400650020016100690075006f007300200070006100720061006d006500740072007500730020006e006f0072011700640061006d00690020006b0075007200740069002000410064006f00620065002000500044004600200064006f006b0075006d0065006e007400750073002c0020006b007500720069006500200073006b00690072007400690020006b006f006b0079006200690161006b0061006900200073007000610075007300640069006e007400690020007300740061006c0069006e0069006100690073002000690072002000620061006e00640079006d006f00200073007000610075007300640069006e007400750076006100690073002e0020002000530075006b0075007200740069002000500044004600200064006f006b0075006d0065006e007400610069002000670061006c006900200062016b007400690020006100740069006400610072006f006d00690020004100630072006f006200610074002000690072002000410064006f00620065002000520065006100640065007200200035002e0030002000610072002000760117006c00650073006e0117006d00690073002000760065007200730069006a006f006d00690073002egt LVI ltFEFF0049007a006d0061006e0074006f006a00690065007400200161006f00730020006900650073007400610074012b006a0075006d00750073002c0020006c0061006900200069007a0076006500690064006f00740075002000410064006f00620065002000500044004600200064006f006b0075006d0065006e0074007500730020006b00760061006c0069007400610074012b0076006100690020006400720075006b010101610061006e00610069002000610072002000670061006c006400610020007000720069006e00740065007200690065006d00200075006e0020007000610072006100750067006e006f00760069006c006b0075006d0075002000690065007300700069006500640113006a00690065006d002e00200049007a0076006500690064006f006a006900650074002000500044004600200064006f006b0075006d0065006e007400750073002c0020006b006f002000760061007200200061007400760113007200740020006100720020004100630072006f00620061007400200075006e002000410064006f00620065002000520065006100640065007200200035002e0030002c0020006b0101002000610072012b00200074006f0020006a00610075006e0101006b0101006d002000760065007200730069006a0101006d002egt NLD (Gebruik deze instellingen om Adobe PDF-documenten te maken voor kwaliteitsafdrukken op desktopprinters en proofers De gemaakte PDF-documenten kunnen worden geopend met Acrobat en Adobe Reader 50 en hoger) NOR ltFEFF004200720075006b00200064006900730073006500200069006e006e007300740069006c006c0069006e00670065006e0065002000740069006c002000e50020006f0070007000720065007400740065002000410064006f006200650020005000440046002d0064006f006b0075006d0065006e00740065007200200066006f00720020007500740073006b00720069006600740020006100760020006800f800790020006b00760061006c00690074006500740020007000e500200062006f007200640073006b0072006900760065007200200065006c006c00650072002000700072006f006f006600650072002e0020005000440046002d0064006f006b0075006d0065006e00740065006e00650020006b0061006e002000e50070006e00650073002000690020004100630072006f00620061007400200065006c006c00650072002000410064006f00620065002000520065006100640065007200200035002e003000200065006c006c00650072002000730065006e006500720065002egt POL ltFEFF0055007300740061007700690065006e0069006100200064006f002000740077006f0072007a0065006e0069006100200064006f006b0075006d0065006e007400f3007700200050004400460020007a002000770079017c0073007a010500200072006f007a0064007a00690065006c0063007a006f015b0063006901050020006f006200720061007a006b00f30077002c0020007a0061007000650077006e00690061006a0105006301050020006c006500700073007a01050020006a0061006b006f015b0107002000770079006400720075006b00f30077002e00200044006f006b0075006d0065006e0074007900200050004400460020006d006f017c006e00610020006f007400770069006500720061010700200077002000700072006f006700720061006d006900650020004100630072006f00620061007400200069002000410064006f00620065002000520065006100640065007200200035002e0030002000690020006e006f00770073007a0079006d002egt PTB ltFEFF005500740069006c0069007a006500200065007300730061007300200063006f006e00660069006700750072006100e700f50065007300200064006500200066006f0072006d00610020006100200063007200690061007200200064006f00630075006d0065006e0074006f0073002000410064006f0062006500200050004400460020007000610072006100200069006d0070007200650073007300f5006500730020006400650020007100750061006c0069006400610064006500200065006d00200069006d00700072006500730073006f0072006100730020006400650073006b0074006f00700020006500200064006900730070006f00730069007400690076006f0073002000640065002000700072006f00760061002e0020004f007300200064006f00630075006d0065006e0074006f00730020005000440046002000630072006900610064006f007300200070006f00640065006d0020007300650072002000610062006500720074006f007300200063006f006d0020006f0020004100630072006f006200610074002000650020006f002000410064006f00620065002000520065006100640065007200200035002e0030002000650020007600650072007300f50065007300200070006f00730074006500720069006f007200650073002egt RUM ltFEFF005500740069006c0069007a00610163006900200061006300650073007400650020007300650074010300720069002000700065006e007400720075002000610020006300720065006100200064006f00630075006d0065006e00740065002000410064006f006200650020005000440046002000700065006e007400720075002000740069007001030072006900720065002000640065002000630061006c006900740061007400650020006c006100200069006d007000720069006d0061006e007400650020006400650073006b0074006f00700020015f0069002000700065006e0074007200750020007600650072006900660069006300610074006f00720069002e002000200044006f00630075006d0065006e00740065006c00650020005000440046002000630072006500610074006500200070006f00740020006600690020006400650073006300680069007300650020006300750020004100630072006f006200610074002c002000410064006f00620065002000520065006100640065007200200035002e00300020015f00690020007600650072007300690075006e0069006c006500200075006c0074006500720069006f006100720065002egt RUS ltFEFF04180441043f043e043b044c04370443043904420435002004340430043d043d044b04350020043d0430044104420440043e0439043a043800200434043b044f00200441043e043704340430043d0438044f00200434043e043a0443043c0435043d0442043e0432002000410064006f006200650020005000440046002c0020043f044004350434043d04300437043d043004470435043d043d044b044500200434043b044f0020043a0430044704350441044204320435043d043d043e04390020043f043504470430044204380020043d04300020043d043004410442043e043b044c043d044b04450020043f04400438043d044204350440043004450020043800200443044104420440043e04390441044204320430044500200434043b044f0020043f043e043b044304470435043d0438044f0020043f0440043e0431043d044b04450020043e0442044204380441043a043e0432002e002000200421043e043704340430043d043d044b04350020005000440046002d0434043e043a0443043c0435043d0442044b0020043c043e0436043d043e00200020043e0442043a0440044b043204300442044c002004410020043f043e043c043e0449044c044e0020004100630072006f00620061007400200438002000410064006f00620065002000520065006100640065007200200035002e00300020043800200431043e043b043504350020043f043e04370434043d043804450020043204350440044104380439002egt SKY ltFEFF0054006900650074006f0020006e006100730074006100760065006e0069006100200070006f0075017e0069007400650020006e00610020007600790074007600e100720061006e0069006500200064006f006b0075006d0065006e0074006f0076002000410064006f00620065002000500044004600200070007200650020006b00760061006c00690074006e00fa00200074006c0061010d0020006e0061002000730074006f006c006e00fd0063006800200074006c0061010d00690061007201480061006300680020006100200074006c0061010d006f007600fd006300680020007a006100720069006100640065006e0069006100630068002e00200056007900740076006f00720065006e00e900200064006f006b0075006d0065006e007400790020005000440046002000620075006400650020006d006f017e006e00e90020006f00740076006f00720069016500200076002000700072006f006700720061006d006f006300680020004100630072006f00620061007400200061002000410064006f00620065002000520065006100640065007200200035002e0030002000610020006e006f0076016100ed00630068002e000d000agt SLV ltFEFF005400650020006e006100730074006100760069007400760065002000750070006f0072006100620069007400650020007a00610020007500730074007600610072006a0061006e006a006500200064006f006b0075006d0065006e0074006f0076002000410064006f0062006500200050004400460020007a00610020006b0061006b006f0076006f00730074006e006f0020007400690073006b0061006e006a00650020006e00610020006e0061006d0069007a006e006900680020007400690073006b0061006c006e0069006b0069006800200069006e0020007000720065007600650072006a0061006c006e0069006b00690068002e00200020005500730074007600610072006a0065006e006500200064006f006b0075006d0065006e0074006500200050004400460020006a00650020006d006f0067006f010d00650020006f0064007000720065007400690020007a0020004100630072006f00620061007400200069006e002000410064006f00620065002000520065006100640065007200200035002e003000200069006e0020006e006f00760065006a01610069006d002egt SUO ltFEFF004b00e40079007400e40020006e00e40069007400e4002000610073006500740075006b007300690061002c0020006b0075006e0020006c0075006f0074002000410064006f0062006500200050004400460020002d0064006f006b0075006d0065006e007400740065006a00610020006c0061006100640075006b006100730074006100200074007900f6007000f60079007400e400740075006c006f0073007400750073007400610020006a00610020007600650064006f007300740075007300740061002000760061007200740065006e002e00200020004c0075006f0064007500740020005000440046002d0064006f006b0075006d0065006e00740069007400200076006f0069006400610061006e0020006100760061007400610020004100630072006f0062006100740069006c006c00610020006a0061002000410064006f00620065002000520065006100640065007200200035002e0030003a006c006c00610020006a006100200075007500640065006d006d0069006c006c0061002egt SVE ltFEFF0041006e007600e4006e00640020006400650020006800e4007200200069006e0073007400e4006c006c006e0069006e006700610072006e00610020006f006d002000640075002000760069006c006c00200073006b006100700061002000410064006f006200650020005000440046002d0064006f006b0075006d0065006e00740020006600f600720020006b00760061006c00690074006500740073007500740073006b0072006900660074006500720020007000e5002000760061006e006c00690067006100200073006b0072006900760061007200650020006f006300680020006600f600720020006b006f007200720065006b007400750072002e002000200053006b006100700061006400650020005000440046002d0064006f006b0075006d0065006e00740020006b0061006e002000f600700070006e00610073002000690020004100630072006f0062006100740020006f00630068002000410064006f00620065002000520065006100640065007200200035002e00300020006f00630068002000730065006e006100720065002egt TUR ltFEFF004d00610073006100fc0073007400fc002000790061007a013100630131006c006100720020007600650020006200610073006b01310020006d0061006b0069006e0065006c006500720069006e006400650020006b0061006c006900740065006c00690020006200610073006b013100200061006d0061006301310079006c0061002000410064006f006200650020005000440046002000620065006c00670065006c0065007200690020006f006c0075015f007400750072006d0061006b0020006900e70069006e00200062007500200061007900610072006c0061007201310020006b0075006c006c0061006e0131006e002e00200020004f006c0075015f0074007500720075006c0061006e0020005000440046002000620065006c00670065006c0065007200690020004100630072006f006200610074002000760065002000410064006f00620065002000520065006100640065007200200035002e003000200076006500200073006f006e0072006100730131006e00640061006b00690020007300fc007200fc006d006c00650072006c00650020006100e70131006c006100620069006c00690072002egt UKR ltFEFF04120438043a043e0440043804410442043e043204430439044204350020044604560020043f043004400430043c043504420440043800200434043b044f0020044104420432043e04400435043d043d044f00200434043e043a0443043c0435043d044204560432002000410064006f006200650020005000440046002c0020044f043a04560020043d04300439043a04400430044904350020043f045604340445043e0434044f0442044c00200434043b044f0020043204380441043e043a043e044f043a04560441043d043e0433043e0020043404400443043a04430020043d04300020043d0430044104420456043b044c043d043804450020043f04400438043d044204350440043004450020044204300020043f04400438044104420440043e044f044500200434043b044f0020043e044204400438043c0430043d043d044f0020043f0440043e0431043d0438044500200437043e04310440043004360435043d044c002e00200020042104420432043e04400435043d045600200434043e043a0443043c0435043d0442043800200050004400460020043c043e0436043d04300020043204560434043a0440043804420438002004430020004100630072006f006200610074002004420430002000410064006f00620065002000520065006100640065007200200035002e0030002004300431043e0020043f04560437043d04560448043e04570020043204350440044104560457002egt ENU ltFEFF005500730065002000740068006500730065002000730065007400740069006e0067007300200074006f0020006300720065006100740065002000410064006f0062006500200050004400460020006200610073006500640020006f006e0020007400680065002000640065006600610075006c0074002000580069006c0069006e007800200070006100720061006d0065007400650072002000730065007400740069006e0067007300200064006500660069006e00650064002000620079002000500053003000300034002e002000460069006c0065006e0061006d0065003a002000780069006c0069006e0078002e006a006f0062006f007000740069006f006e0073002e000d0043006f006d007000610074006100620069006c006900740079003a0020004100630072006f00620061007400200037002e0030002000280050004400460031002e00360029002e0020005500730065003a00200046006f00720020006300720065006100740069006e0067002000460069006e0061006c002c0020005000750062006c0069007300680065006400200050004400460073002e000d0043007200650061007400650064002000310030002f00310032002f003100310020006200790020006a0066006f007200730074002egt gtgt Namespace [ (Adobe) (Common) (10) ] OtherNamespaces [ ltlt AsReaderSpreads false CropImagesToFrames true ErrorControl WarnAndContinue FlattenerIgnoreSpreadOverrides false IncludeGuidesGrids false IncludeNonPrinting false IncludeSlug false Namespace [ (Adobe) (InDesign) (40) ] OmitPlacedBitmaps false OmitPlacedEPS false OmitPlacedPDF false SimulateOverprint Legacy gtgt ltlt AddBleedMarks false AddColorBars false AddCropMarks false AddPageInfo false AddRegMarks false ConvertColors NoConversion DestinationProfileName () DestinationProfileSelector NA Downsample16BitImages true FlattenerPreset ltlt PresetSelector MediumResolution gtgt FormElements false GenerateStructure true IncludeBookmarks false IncludeHyperlinks false IncludeInteractive false IncludeLayers false IncludeProfiles true MultimediaHandling UseObjectSettings Namespace [ (Adobe) (CreativeSuite) (20) ] PDFXOutputIntentProfileSelector NA PreserveEditing true UntaggedCMYKHandling LeaveUntagged UntaggedRGBHandling LeaveUntagged UseDocumentBleed false gtgt ]gtgt setdistillerparamsltlt HWResolution [1200 1200] PageSize [612000 792000]gtgt setpagedevice

Page 16: Xilinx UG883 Kintex-7 FPGA KC705 Evaluation Kit Getting ...€¦ · Updated step 2 and step 3 in Requirements to Get Started, page 14. ... KC705 Getting Started Guide 9 UG883 (v3.0)

16 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

AMS Bring-up with the AMS101 Evaluation Card

The AMS Evaluator Tool allows designers to quickly evaluate the analog signals in the time domain frequency domain display linearity verify the XADC register settings and measure the internal temperature sensor and supply voltages

For a more extensive explanation of the AMS101 evaluation card and the applicable files refer to AMS101 Evaluation Platform User Guide (UG886)

X-Ref Target - Figure 9

Figure 9 AMS101 Evaluator GUI

UG883_09_121112

Select COM Port Here

KC705 Getting Started Guide wwwxilinxcom 17UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

Advanced Bring-up Using the Base Targeted Reference DesignThe primary components of the Kintex-7 FPGA Base TRD are

bull Integrated Endpoint block for PCI Express (PCIe) See 7 Series FPGAs Integrated Block for PCI Express User Guide (UG477)

bull Northwest Logic Packet DMA [Ref 5]

bull Multiport Virtual FIFO

The TRD system can sustain up to 10 Gbs throughput end to end

Figure 10 provides an overview of the TRD

Note In Figure 10 the arrows indicate AXI interface directions (from master to slave) They do not indicate data flow directions

ComponentsThe Kintex-7 FPGA Base TRD features these components

bull Kintex-7 FPGA integrated Endpoint block for PCI Express

bull Configured with 4 lanes at a 5 Gbs link rate (Gen2) or 8 lanes at a 25 Gbs link rate (Gen1) for PCI Express v20

bull Provides a user interface compliant with AXI4-Stream interface protocol

bull Performance monitor tracks the integrated blockrsquos AXI4-Stream interface for PCIe transactions

bull Bus Mastering Scatter-Gather Packet DMA from Northwest Logic a multichannel DMA

bull Supports full-duplex operation with independent transmit and receive paths

X-Ref Target - Figure 10

Figure 10 Kintex-7 FPGA Base TRD Block Diagram

UG883_10_121112

Multiport Virtual FIFO

DD

R3

IO

Software

Multi-ChannelDMA for PCIe DDR3

Cha

nnel

-0C

2SS

2CC

hann

el-1

S2C

C2S

64 x1600 Mbs

PC

Ie x

4Gen

2 Li

nk

PC

Ie x

8Gen

1 Li

nk

VFIFOController

SoftwareDriver

Interface Blocks in FPGA Third Party IPXilinx IP On BoardCustom Logic

AXI-ST AXI-MM

Hardware

VFIFOController

VFIFOController

VFIFOController

Raw Packet Data Block

Checker

Generator

Loop

back

Loop

back

Raw Packet Data Block

Generator

Checker

User SpaceRegisters

Target InterfaceAXI Master

256 x200 MHz

64 x

250

MH

z

64 x250 MHz

64 x250 MHz

64 x250 MHz

64 x250 MHz

PerformanceMonitor

GU

I

GT

X T

rans

ceiv

er

Inte

grat

ed E

ndpo

int B

lock

for

PC

I Exp

ress

AX

I-S

T B

asic

Wra

pper

AXIMIG

AXIInterconnect

SI SI

SI SIM

I

18 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

bull Provides an AXI4-Stream interface on the back end

bull Monitors the performance of data transfers in receive and transmit directions

bull Provides an AXI4 memory-mapped target interface to access user-defined registers

Note The Northwest Logic Packet DMA shipped with the Base TRD is an evaluation version and expires after 12 hours of run time To get the full version contact Northwest Logic [Ref 7]

bull Multiport Virtual FIFO

bull DDR3 SDRAM SODIMM (64-bit 1600 Mbs 800 MHz) is used for buffering packets The memory controller delivered through the memory interface generator (MIG) tool interfaces to the DDR3 memory

bull AXI Interconnect IP along with the memory controller supports multiple ports on the memory

bull The Packetized Virtual FIFO controller controls addressing of the DDR3 memory for each port allowing DDR3 to be used as Virtual Packet FIFO

bull Software driver for a 32-bit Linux platform

bull Configures the hardware design parameters

bull Generates and consumes traffic

bull Provides a GUI to report status and performance statistics

The Kintex-7 FPGA integrated Endpoint block for PCI Express and the Packet DMA are responsible for data transfers from host system to Endpoint card (S2C) and Endpoint card to host system (C2S) Data to and from the host is stored in a Virtual FIFO built around the DDR3 memory This Multiport Virtual FIFO abstraction layer around the DDR3 memory allows the user to move traffic efficiently without the need to manage addressing and arbitration on the memory interface It also provides more depth than storage implemented using Block RAMs

The integrated Endpoint block for PCI Express Packet DMA and Multiport Virtual FIFO form the base system The base system can bridge the host to any user application running on the other end The raw data packet module is a dummy application that generates and consumes packets It can be replaced by any user specific protocol like Aurora or XAUI

The software driver runs on the host system It generates raw data traffic for transmit operations in the S2C direction It also consumes the data looped back or generated at the application end in the C2S direction

Hardware Test Setup RequirementsThese are the prerequisites for testing the design in hardware

bull KC705 Evaluation board with the Kintex-7 FPGA XC7K325T-2FFG900C device

bull Design files provided as a zipped collection under the Docs amp Designs tab at wwwxilinxcomkc705 include

bull Design source files

bull Device driver files

bull Board design files

bull Documentation

bull Vivado Design Suite

bull ISE Programming tools or LabTools

KC705 Getting Started Guide wwwxilinxcom 19UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

bull Micro USB cable

bull 4-pin to 6-pin PCIe adapter cable

bull Fedora 16 Live DVD [Ref 6]

bull PC with PCIe v20 slot For a complete list of machines tested and all known issues refer to the Kintex-7 FPGA Base Targeted Reference Design Release Notes and Known Issues Master Answer Record (httpwwwxilinxcomsupportanswers45679htm) This PC could also have Fedora Core 16 Linux OS installed on it

TRD Demonstration SetupThis section describes hardware setup and use of the application GUI to help the user get started quickly with the design in hardware It provides a step-by-step explanation of hardware bring-up and describes using the application GUI

When following the demonstration setup steps for the Kintex-7 FPGA Base TRD if the behavior is not as described refer to the known issues at wwwxilinxcomsupportanswers45679htm

Board Setup

This section describes how to set up the KC705 Evaluation board required to demonstrate the TRD

1 Set the KC705 Jumpers and Switches Verify that the KC705 Evaluation board jumpers and switches are set as shown in Table 2 and Figure 11

Table 2 Switch and Jumper Settings

Jumper Function Setting

J32 PCIe configuration width mdash 4 lane design Jump 3-4

Switch Function or Type Setting

SW15 Board power slide-switch Off

SW11 User GPIO DIP switch

4 Off

3 Off

2 Off

1 Off

S13 DIP switch SW13 positions 1 and 2 control the setting of address bits of the flashDIP switch SW13 positions 3 4 and 5 control which configuration mode

5 (M0) M2 =0 M1=1 M0=0 ndash Master BPIM2 =0 M1=0 M0=1 ndash Master SPIM2 =1 M1=0 M0=1 ndash JTAG

Off

4 (M1) On

3 (M2) Off

2 Off

1 Off

20 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

Hardware Bring-Up

This section presents steps for hardware bring-up

1 With the host system switched off insert the KC705 board in the PCIe slot through the PCI Express x8 or x16 edge connector (Figure 12)

The TRD programmed on the KC705 board has a 4-lane PCIe v20 configuration running at a 5 Gbs link rate per lane The PCI Express specification allows for a smaller lane width Endpoint to be installed into a larger lane width PCIe connector

2 Figure 13 shows the 12V power connection Connect the 12V ATX power supplyrsquos available 4-pin connector to the board (J49) via a 4-pin to 6-pin PCIe adapter cable Toggle the Power switch SW15 to the ON position

X-Ref Target - Figure 11

Figure 11 Switch and Jumper Settings

UG883_11_040913

J27 J28

J29 J30SW13

J32

SW15SW11

X-Ref Target - Figure 12if

Figure 12 KC705 Board Plugged into a PCIe x16 Slot

UG883_12_040913

KC705 Getting Started Guide wwwxilinxcom 21UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

3 Make sure the connections are tight and then power on the PC system

Note If the user wishes to boot Linux from the Fedora 16 Live DVD place the DVD in the PCrsquos DVD-ROM drive as soon as the PC system is powered on

4 Verify the status of the design on the KC705 LEDs The design provides status on the GPIO LEDs on the upper right of the KC705 board (Figure 14) After the PC system is powered on and the TRD has successfully configured status LEDs from right to left should indicate

bull LED 0 mdash ON if the PCIe link is up

bull LED 1 mdash Flashes if the PCIe user clock is present

bull LED 2 mdash ON if lane width is what is expected else it flashes (for a 4 lane design the expected lane width is 4 for an 8 lane design the expected lane width is 8)

bull LED 3 mdash ON if memory calibration is done

bull LED 4 to LED 7 mdash Not connected

X-Ref Target - Figure 13

Figure 13 Power Supply Connection

UG883_13_121112

SW15

J49 6-pinConnector

12V ATX PowerSupply Pluggedinto the 4-pinConnector

22 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

Install the Linux Driver

1 If Fedora 16 is installed on the PC systemrsquos hard disk boot as a root-privileged user and skip to step 3 page 23

2 To boot from the Fedora 16 Live DVD provided in the kit place the DVD in the PCrsquos DVD-ROM drive The Fedora 16 Live Media is for Intel-compatible PCs The DVD contains a complete bootable 32-bit Fedora 16 environment with the proper packages installed for the TRD demonstration environment For more details see Fedora Information page 2 The PC boots from the DVD-ROM drive and logs into a liveuser account This account has kernel development root privileges required to install and remove device driver modules

Note Users might have to adjust BIOS boot order settings to make sure that the DVD-ROM drive is the first drive in the boot order To enter the BIOS menu to set the boot order press the DEL or F2 key when the system is powered on Set the boot order and save the changes (The DEL or F2 key is used by most PC systems to enter the BIOS setup Some PCs might have a different way to enter the BIOS setup)

The PC should boot from the DVD-ROM drive The images in Figure 15 are seen on the monitor during boot up

X-Ref Target - Figure 14

Figure 14 Location of GPIO Status LEDs (Indicates TRD Status)

UG883_14_121112

KC705 Getting Started Guide wwwxilinxcom 23UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

3 After Fedora 16 Core boots open a terminal window (click Activities click Application scroll down and click the Terminal icon) To find out if the PCIe Endpoint is detected at the terminal command line type

$ lspci

The lspci command displays the devices in the PCI and PCI Express buses of the PC On the bus of the KC705 card slot is the message

Communication controller Xilinx Corporation Device 7042

This message confirms that the design programmed into the KC705 board has been found by the BIOS and the Fedora 16 OS The bus number varies depending on which PC motherboard and slot are used Figure 16 shows a lspci output for an example system Xilinx device 7042 has been found by the BIOS on bus number 2 (02000 - busdevfunction)

X-Ref Target - Figure 15

Figure 15 Fedora 16 Live DVD BootingFirst Screen Last Boot Screen Booted

UG883 15 040913

X-Ref Target - Figure 16

Figure 16 PCI and PCI Express Bus Devices

UG883_16_040913

24 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

4 Download the reference design from the Docs amp Designs tab at wwwxilinxcomkc705 and copy the k7_pcie_dma_ddr3_base folder into any directory

5 To set up and run the TRD demonstration the software driver should be installed on the PC system Software driver installation involves

a Building the kernel objects and the GUI

b Inserting the driver modules into the kernel

After the driver modules are loaded the application GUI can be invoked The user can set parameters through the GUI and run the TRD

When the user is done running the TRD the application GUI can be closed and the drivers can be removed

A script is provided to execute all the above actions so that the user can quickly start the TRD

The k7_trd_lin_quickstart script is available in the k7_pcie_dma_ddr3_base folder Right click the script select properties go to the permissions tab check the box Allow executing file as programmdashthis makes the script executable Close the window

To run the script double-click k7_trd_lin_quickstart in the k7_pcie_dma_ddr3_base folder (Figure 17) The window prompt in Figure 18 appears

X-Ref Target - Figure 17

Figure 17 Load Driver and Launch Application GUI

UG883_17_121112

KC705 Getting Started Guide wwwxilinxcom 25UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

Click Run in Terminal to proceed The application GUI is invoked

Proceed to the next section Using the Application GUI to set design parameters and run the TRD

X-Ref Target - Figure 18

Figure 18 Run in Terminal

UG883_18_040913

26 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

Using the Application GUI

When the drivers are loaded and the Performance Monitor GUI is invoked the user can configure the sending and receiving of data The GUI allows the user to observe the collected statistics and other status information

1 Click the System Status tab to verify the status of the KC705 board and the PCIe link (see Figure 19 and Table 3)

X-Ref Target - Figure 19

Figure 19 Verify Board Status in the Performance Monitor

UG883_19_121112

KC705 Getting Started Guide wwwxilinxcom 27UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

2 To start data traffic on the two data paths

a Click Start Test on Raw Data Path0 as shown in Figure 20 This enables the driver to start generating the data for Raw Data Path0

b Click Start Test on Raw Data Path1 as shown in Figure 20 This enables the driver to start generating the data for Raw Data Path1

Table 3 KC705 Board Status Field Explanations

Field Status Explanation

Link Status Up This confirms that the PCIe link is up and a PCIe connection is established between the Kintex-7 FPGA Endpoint for PCI Express and the PC motherboard chipset

Link Speed 50 Gbs This confirms that the PCIe link is operating at line rate speed per PCI Express v20

Link Width x4 This confirms that the PCIe link is trained as a x4 link

28 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

3 Verify TRD operations through the status information provided by the GUI (see Figure 21)

a Verify the PCIe throughput

b Verify the DMA channel throughput for the Raw Data Path0

c Verify the DMA channel throughput for the Raw Data Path1

d Verify there are no buffer descriptor errors for error-free operation

X-Ref Target - Figure 20

Figure 20 Start Data Traffic from the Performance Monitor

UG883_20_121112

KC705 Getting Started Guide wwwxilinxcom 29UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

The Kintex-7 FPGA PCIe-DMA TRD is now set up and running Close the Application GUI to unload the software drivers and stop traffic flow

X-Ref Target - Figure 21

Figure 21 Verify Error-Free Operation in the Performance Monitor

UG883_21_121112

30 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

Evaluating the Kintex-7 FPGA Base TRDThe Kintex-7 FPGA Base TRD provides a Performance and Status monitor application and GUI The application enables customers to evaluate different system parameters This section demonstrates performance variances for the PCI Express and DMA interfaces based on the parameters set

To evaluate the Kintex-7 FPGA Base TRD

1 Launch the Performance Monitor for the Kintex-7 FPGA Base TRD

a Navigate to the k7_pcie_dma_ddr3_base folder

b Double-click k7_lin_trd_quickstart (Figure 22)mdashmake sure that the script has executable permissionmdashto launch the Performance Monitor and Status GUI

c A window prompt appears as shown in Figure 23 Click Run in Terminal to proceed

2 Set up the test parameters in the Performance Monitor

a Two data paths are available Raw Data Path0 and Raw Data Path1 On each path set the Packet Size to a value between 64 ndash 32768 bytes

X-Ref Target - Figure 22

Figure 22 Launch the Performance Monitor and Status GUI

UG883_22_121112

X-Ref Target - Figure 23

Figure 23 Run k7_lin_trd_quickstart

UG883_23_121112

KC705 Getting Started Guide wwwxilinxcom 31UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

3 Execute the test and view payload statistics in the Performance and Status Monitor (see Figure 24)

a Click Start Test to start the performance test

b Click the Payload Statistics tab to view data transfers on the DMA channels

c Click Stop Test to stop data traffic

d Vary the Packet Size parameters for the Raw Data Paths (see Figure 25) and click Start Test Then view the payload statistics to review data transfer rate on the DMA channels With a decrease in packet size the performance drops

Note Before changing packet size click Stop Test change the size and then click Start Test

X-Ref Target - Figure 24

Figure 24 Payload Statistics

UG883_24_121112

32 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

Note For packet sizes equal to 64 or 128 bytes the throughput is reduced and might not be visible on the Payload Statistics tab The exact values can be viewed on the System Status tab

4 Click the PCIe Statistics tab to view data transfer numbers with varying packet sizes on the PCIe interface (Figure 26)

X-Ref Target - Figure 25

Figure 25 Effect of Varying Packet Sizes on Performance

UG883_25_121112

KC705 Getting Started Guide wwwxilinxcom 33UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

The system performance of the Kintex-7 FPGA Base TRD has now been evaluated using the pre-built demonstration design bit file

Now that the Kintex-7 FPGA Base TRD demonstration has been set up and evaluated the design can be modified Before the design can be modified make sure to install the Vivado Design Suite on a PC It is not required that tools be installed on the PC system in which the KC705 evaluation board is plugged in by way of the PCIe edge connector

X-Ref Target - Figure 26

Figure 26 PCIe Statistics in the Performance Monitor

UG883_26_121112

34 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

KC705 Getting Started Guide wwwxilinxcom 35UG883 (v30) April 16 2013

Appendix A

Additional Resources

Xilinx ResourcesFor support resources such as Answers Documentation Downloads and Forums see the Xilinx Support website at

wwwxilinxcomsupport

For continual updates add the Answer Record to your myAlerts

wwwxilinxcomsupportmyalerts

For a glossary of technical terms used in Xilinx documentation see

wwwxilinxcomcompanytermshtm

Solution CentersSee the Xilinx Solution Centers for support on devices software tools and intellectual property at all stages of the design cycle Topics include design assistance advisories and troubleshooting tips

Further ResourcesThe most up to date information related to the KC705 board and its documentation is available on the following websites

The Kintex-7 FPGA KC705 Evaluation Kit Product Page

wwwxilinxcomkc705

The Kintex-7 FPGA KC705 Evaluation Kit Master Answer Record

wwwxilinxcomsupportanswers47787htm

Design advisories by software release for the Kintex-7 FPGA KC705 Evaluation Kit

wwwxilinxcomsupportnav=sd-nav-link-179661amptab=tab-bk

Kintex-7 FPGA Base Targeted Reference Design Release Notes and Known Issues Master Answer Record

wwwxilinxcomsupportanswers45679htm

These documents provide supplemental material useful with this user guide

UG810 KC705 Evaluation Board for the Kintex-7 FPGA User Guide

UG845 KC705 Reference Design User Guide

36 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Appendix A Additional Resources

UG798 Xilinx Design Tools Installation and Licensing Guide Vivado Design Suite and ISE Design Suite

UG908 Vivado Design Suite User Guide Programming and Debugging

UG882 Kintex-7 FPGA KC705 Base Targeted Reference Design User Guide (Vivado Design Suite 20124)

UG886 AMS101 Evaluation Card User Guide

UG477 7 Series FPGAs Integrated Block for PCI Express User Guide

ReferencesThe following websites provide supplemental material useful with this guide

1 LabVIEW 32-bit Run-Time Enginehttpjoulenicomniducdsviewpid2534langen

2 LabVIEW 64-bit Run-Time Engine httpjoulenicomniducdsviewpid2536langen

3 Tera Term Pro program httphpvectorcojpauthorsVA002416teratermhtml

4 Drivers on the Silicon Labs site httpwwwsilabscomSupport20DocumentsSoftwareCP210x_VCP_Win_XP_S2K3_Vista_7exe

5 Northwest Logic DMA back end core httpwwwnwlogiccompacketdma

6 Fedora project httpfedoraprojectorg

Fedora is a Linux-based operating system used in the development of this TRD

7 The GTK+ project API documentation httpwwwgtkorgdocumentationphp

GTK+ is a toolkit for creating graphical user interfaces (GUI)

KC705 Getting Started Guide wwwxilinxcom 37UG883 (v30) April 16 2013

Appendix B

Warranty

THIS LIMITED WARRANTY applies solely to standard hardware development boards and standard hardware programming cables manufactured by or on behalf of Xilinx (ldquoDevelopment Systemsrdquo) Subject to the limitations herein Xilinx warrants that Development Systems when delivered by Xilinx or its authorized distributor for ninety (90) days following the delivery date will be free from defects in material and workmanship and will substantially conform to Xilinx publicly available specifications for such products in effect at the time of delivery This limited warranty excludes (i) engineering samples or beta versions of Development Systems (which are provided ldquoAS ISrdquo without warranty) (ii) design defects or errors known as ldquoerratardquo (iii) Development Systems procured through unauthorized third parties and (iv) Development Systems that have been subject to misuse mishandling accident alteration neglect unauthorized repair or installation Furthermore this limited warranty shall not apply to the use of covered products in an application or environment that is not within Xilinx specifications or in the event of any act error neglect or default of Customer For any breach by Xilinx of this limited warranty the exclusive remedy of Customer and the sole liability of Xilinx shall be at the option of Xilinx to replace or repair the affected products or to refund to Customer the price of the affected products The availability of replacement products is subject to product discontinuation policies at Xilinx Customer may not return product without first obtaining a customer return material authorization (RMA) number from XilinxTHE WARRANTIES SET FORTH HEREIN ARE EXCLUSIVE XILINX DISCLAIMS ALL OTHER WARRANTIES WHETHER EXPRESS IMPLIED OR STATUTORY INCLUDING WITHOUT LIMITATION ANY WARRANTY OF MERCHANTABILITY FITNESS FOR A PARTICULAR PURPOSE OR NON-INFRINGEMENT AND ANY WARRANTY THAT MAY ARISE FROM COURSE OF DEALING COURSE OF PERFORMANCE OR USAGE OF TRADE (200810)

Do not throw Xilinx products marked with the ldquocrossed out wheeled binrdquo in the trash Directive 200296EC on waste electrical and electronic equipment (WEEE) requires the separate collection of WEEE Your cooperation is essential in ensuring the proper management of WEEE and the protection of the environment and human health from potential effects arising from the presence of hazardous substances in WEEE Return the marked products to Xilinx for proper disposal Further information and instructions for free-of-charge return available at httpwwwxilinxcomehsweeehtm

38 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Appendix B Warranty

  • Kintex-7 FPGA KC705 Evaluation Kit (Vivado Design Suite 20131)
    • Revision History
    • Table of Contents
    • Getting Started with the Kintex-7 FPGA KC705 Evaluation Kit (Vivado Design Suite 20131)
      • Introduction
      • Kit Contents
      • Basic Hardware Bring-up Using the BIST
        • Hardware Test Setup Requirements
          • Hardware Test Board Setup Requirements
            • Hardware Bring-Up
            • Run the BIST Application
              • AMS Bring-up with the AMS101 Evaluation Card
                • Requirements to Get Started
                • Evaluating AMS
                  • Advanced Bring-up Using the Base Targeted Reference Design
                    • Components
                    • Hardware Test Setup Requirements
                    • TRD Demonstration Setup
                    • Evaluating the Kintex-7 FPGA Base TRD
                        • Additional Resources
                          • Xilinx Resources
                          • Solution Centers
                          • Further Resources
                          • References
                            • Warranty
                                • ltlt ASCII85EncodePages false AllowTransparency false AutoPositionEPSFiles true AutoRotatePages All Binding Left CalGrayProfile (Dot Gain 20) CalRGBProfile (sRGB IEC61966-21) CalCMYKProfile (US Web Coated 050SWOP051 v2) sRGBProfile (sRGB IEC61966-21) CannotEmbedFontPolicy Warning CompatibilityLevel 16 CompressObjects Tags CompressPages true ConvertImagesToIndexed true PassThroughJPEGImages true CreateJobTicket false DefaultRenderingIntent Default DetectBlends true DetectCurves 00000 ColorConversionStrategy LeaveColorUnchanged DoThumbnails false EmbedAllFonts true EmbedOpenType false ParseICCProfilesInComments true EmbedJobOptions true DSCReportingLevel 0 EmitDSCWarnings false EndPage -1 ImageMemory 1048576 LockDistillerParams true MaxSubsetPct 100 Optimize true OPM 1 ParseDSCComments true ParseDSCCommentsForDocInfo true PreserveCopyPage true PreserveDICMYKValues true PreserveEPSInfo true PreserveFlatness true PreserveHalftoneInfo false PreserveOPIComments false PreserveOverprintSettings true StartPage 1 SubsetFonts true TransferFunctionInfo Apply UCRandBGInfo Preserve UsePrologue false ColorSettingsFile () AlwaysEmbed [ true ] NeverEmbed [ true ] AntiAliasColorImages false CropColorImages true ColorImageMinResolution 300 ColorImageMinResolutionPolicy OK DownsampleColorImages false ColorImageDownsampleType Bicubic ColorImageResolution 300 ColorImageDepth -1 ColorImageMinDownsampleDepth 1 ColorImageDownsampleThreshold 150000 EncodeColorImages true ColorImageFilter DCTEncode AutoFilterColorImages true ColorImageAutoFilterStrategy JPEG ColorACSImageDict ltlt QFactor 040 HSamples [1 1 1 1] VSamples [1 1 1 1] gtgt ColorImageDict ltlt QFactor 015 HSamples [1 1 1 1] VSamples [1 1 1 1] gtgt JPEG2000ColorACSImageDict ltlt TileWidth 256 TileHeight 256 Quality 30 gtgt JPEG2000ColorImageDict ltlt TileWidth 256 TileHeight 256 Quality 30 gtgt AntiAliasGrayImages false CropGrayImages true GrayImageMinResolution 300 GrayImageMinResolutionPolicy OK DownsampleGrayImages false GrayImageDownsampleType Bicubic GrayImageResolution 300 GrayImageDepth -1 GrayImageMinDownsampleDepth 2 GrayImageDownsampleThreshold 150000 EncodeGrayImages true GrayImageFilter DCTEncode AutoFilterGrayImages true GrayImageAutoFilterStrategy JPEG GrayACSImageDict ltlt QFactor 040 HSamples [1 1 1 1] VSamples [1 1 1 1] gtgt GrayImageDict ltlt QFactor 015 HSamples [1 1 1 1] VSamples [1 1 1 1] gtgt JPEG2000GrayACSImageDict ltlt TileWidth 256 TileHeight 256 Quality 30 gtgt JPEG2000GrayImageDict ltlt TileWidth 256 TileHeight 256 Quality 30 gtgt AntiAliasMonoImages false CropMonoImages true MonoImageMinResolution 1200 MonoImageMinResolutionPolicy OK DownsampleMonoImages false MonoImageDownsampleType Bicubic MonoImageResolution 1200 MonoImageDepth -1 MonoImageDownsampleThreshold 150000 EncodeMonoImages true MonoImageFilter CCITTFaxEncode MonoImageDict ltlt K -1 gtgt AllowPSXObjects false CheckCompliance [ None ] PDFX1aCheck false PDFX3Check false PDFXCompliantPDFOnly false PDFXNoTrimBoxError true PDFXTrimBoxToMediaBoxOffset [ 000000 000000 000000 000000 ] PDFXSetBleedBoxToMediaBox true PDFXBleedBoxToTrimBoxOffset [ 000000 000000 000000 000000 ] PDFXOutputIntentProfile (None) PDFXOutputConditionIdentifier () PDFXOutputCondition () PDFXRegistryName () PDFXTrapped False CreateJDFFile false Description ltlt ARA ltFEFF06270633062A062E062F0645002006470630064700200627064406250639062F0627062F0627062A002006440625064606340627062100200648062B062706260642002000410064006F00620065002000500044004600200645062A0648062706410642062900200644064406370628062706390629002006300627062A002006270644062C0648062F0629002006270644063906270644064A06290020064506460020062E06440627064400200627064406370627062806390627062A00200627064406450643062A0628064A062900200623064800200623062C06470632062900200625062C06310627062100200627064406280631064806410627062A061B0020064A06450643064600200641062A062D00200648062B0627062606420020005000440046002006270644064506460634062306290020062806270633062A062E062F062706450020004100630072006F0062006100740020064800410064006F006200650020005200650061006400650072002006250635062F0627063100200035002E0030002006480627064406250635062F062706310627062A0020062706440623062D062F062B002E0020064506390020005000440046002F0041060C0020062706440631062C062706210020064506310627062C063906290020062F0644064A0644002006450633062A062E062F06450020004100630072006F006200610074061B0020064A06450643064600200641062A062D00200648062B0627062606420020005000440046002006270644064506460634062306290020062806270633062A062E062F062706450020004100630072006F0062006100740020064800410064006F006200650020005200650061006400650072002006250635062F0627063100200035002E0030002006480627064406250635062F062706310627062A0020062706440623062D062F062B002Egt BGR ltFEFF04180437043f043e043b043704320430043904420435002004420435043704380020043d0430044104420440043e0439043a0438002c00200437043000200434043000200441044a0437043404300432043004420435002000410064006f00620065002000500044004600200434043e043a0443043c0435043d044204380020043704300020043a0430044704350441044204320435043d0020043f04350447043004420020043d04300020043d043004410442043e043b043d04380020043f04400438043d04420435044004380020043800200443044104420440043e043904410442043204300020043704300020043f04350447043004420020043d04300020043f0440043e0431043d04380020044004300437043f0435044704300442043a0438002e002000200421044a04370434043004340435043d043804420435002000500044004600200434043e043a0443043c0435043d044204380020043c043e0433043004420020043404300020044104350020043e0442043204300440044f0442002004410020004100630072006f00620061007400200438002000410064006f00620065002000520065006100640065007200200035002e00300020043800200441043b0435043404320430044904380020043204350440044104380438002egt CHS ltFEFF4f7f75288fd94e9b8bbe5b9a521b5efa7684002000500044004600206587686353ef901a8fc7684c976262535370673a548c002000700072006f006f00660065007200208fdb884c9ad88d2891cf62535370300260a853ef4ee54f7f75280020004100630072006f0062006100740020548c002000410064006f00620065002000520065006100640065007200200035002e003000204ee553ca66f49ad87248672c676562535f00521b5efa768400200050004400460020658768633002gt CHT ltFEFF4f7f752890194e9b8a2d7f6e5efa7acb7684002000410064006f006200650020005000440046002065874ef653ef5728684c9762537088686a5f548c002000700072006f006f00660065007200204e0a73725f979ad854c18cea7684521753706548679c300260a853ef4ee54f7f75280020004100630072006f0062006100740020548c002000410064006f00620065002000520065006100640065007200200035002e003000204ee553ca66f49ad87248672c4f86958b555f5df25efa7acb76840020005000440046002065874ef63002gt CZE ltFEFF005400610074006f0020006e006100730074006100760065006e00ed00200070006f0075017e0069006a007400650020006b0020007600790074007600e101590065006e00ed00200064006f006b0075006d0065006e0074016f002000410064006f006200650020005000440046002000700072006f0020006b00760061006c00690074006e00ed0020007400690073006b0020006e0061002000730074006f006c006e00ed006300680020007400690073006b00e10072006e00e100630068002000610020006e00e1007400690073006b006f007600fd006300680020007a0061015900ed007a0065006e00ed00630068002e002000200056007900740076006f01590065006e00e900200064006f006b0075006d0065006e007400790020005000440046002000620075006400650020006d006f017e006e00e90020006f007400650076015900ed007400200076002000700072006f006700720061006d0065006300680020004100630072006f00620061007400200061002000410064006f00620065002000520065006100640065007200200035002e0030002000610020006e006f0076011b006a016100ed00630068002egt DAN ltFEFF004200720075006700200069006e0064007300740069006c006c0069006e006700650072006e0065002000740069006c0020006100740020006f007000720065007400740065002000410064006f006200650020005000440046002d0064006f006b0075006d0065006e007400650072002000740069006c0020006b00760061006c00690074006500740073007500640073006b007200690076006e0069006e006700200065006c006c006500720020006b006f007200720065006b007400750072006c00e60073006e0069006e0067002e0020004400650020006f007000720065007400740065006400650020005000440046002d0064006f006b0075006d0065006e0074006500720020006b0061006e002000e50062006e00650073002000690020004100630072006f00620061007400200065006c006c006500720020004100630072006f006200610074002000520065006100640065007200200035002e00300020006f00670020006e0079006500720065002egt DEU ltFEFF00560065007200770065006e00640065006e0020005300690065002000640069006500730065002000450069006e007300740065006c006c0075006e00670065006e0020007a0075006d002000450072007300740065006c006c0065006e00200076006f006e002000410064006f006200650020005000440046002d0044006f006b0075006d0065006e00740065006e002c00200076006f006e002000640065006e0065006e002000530069006500200068006f00630068007700650072007400690067006500200044007200750063006b006500200061007500660020004400650073006b0074006f0070002d0044007200750063006b00650072006e00200075006e0064002000500072006f006f0066002d00470065007200e400740065006e002000650072007a0065007500670065006e0020006d00f60063006800740065006e002e002000450072007300740065006c006c007400650020005000440046002d0044006f006b0075006d0065006e007400650020006b00f6006e006e0065006e0020006d006900740020004100630072006f00620061007400200075006e0064002000410064006f00620065002000520065006100640065007200200035002e00300020006f0064006500720020006800f600680065007200200067006500f600660066006e00650074002000770065007200640065006e002egt ESP ltFEFF005500740069006c0069006300650020006500730074006100200063006f006e0066006900670075007200610063006900f3006e0020007000610072006100200063007200650061007200200064006f00630075006d0065006e0074006f0073002000640065002000410064006f0062006500200050004400460020007000610072006100200063006f006e00730065006700750069007200200069006d0070007200650073006900f3006e002000640065002000630061006c006900640061006400200065006e00200069006d0070007200650073006f0072006100730020006400650020006500730063007200690074006f00720069006f00200079002000680065007200720061006d00690065006e00740061007300200064006500200063006f00720072006500630063006900f3006e002e002000530065002000700075006500640065006e00200061006200720069007200200064006f00630075006d0065006e0074006f00730020005000440046002000630072006500610064006f007300200063006f006e0020004100630072006f006200610074002c002000410064006f00620065002000520065006100640065007200200035002e003000200079002000760065007200730069006f006e0065007300200070006f00730074006500720069006f007200650073002egt ETI ltFEFF004b00610073007500740061006700650020006e0065006900640020007300e4007400740065006900640020006c006100750061002d0020006a00610020006b006f006e00740072006f006c006c007400f5006d006d006900730065007000720069006e0074006500720069007400650020006a0061006f006b00730020006b00760061006c006900740065006500740073006500740065002000410064006f006200650020005000440046002d0064006f006b0075006d0065006e00740069006400650020006c006f006f006d006900730065006b0073002e002e00200020004c006f006f0064007500640020005000440046002d0064006f006b0075006d0065006e00740065002000730061006100740065002000610076006100640061002000700072006f006700720061006d006d006900640065006700610020004100630072006f0062006100740020006e0069006e0067002000410064006f00620065002000520065006100640065007200200035002e00300020006a00610020007500750065006d006100740065002000760065007200730069006f006f006e00690064006500670061002e000d000agt FRA ltFEFF005500740069006c006900730065007a00200063006500730020006f007000740069006f006e00730020006100660069006e00200064006500200063007200e900650072002000640065007300200064006f00630075006d0065006e00740073002000410064006f00620065002000500044004600200070006f007500720020006400650073002000e90070007200650075007600650073002000650074002000640065007300200069006d007000720065007300730069006f006e00730020006400650020006800610075007400650020007100750061006c0069007400e90020007300750072002000640065007300200069006d007000720069006d0061006e0074006500730020006400650020006200750072006500610075002e0020004c0065007300200064006f00630075006d0065006e00740073002000500044004600200063007200e900e90073002000700065007500760065006e0074002000ea0074007200650020006f007500760065007200740073002000640061006e00730020004100630072006f006200610074002c002000610069006e00730069002000710075002700410064006f00620065002000520065006100640065007200200035002e0030002000650074002000760065007200730069006f006e007300200075006c007400e90072006900650075007200650073002egt GRE ltFEFF03a703c103b703c303b903bc03bf03c003bf03b903ae03c303c403b5002003b103c503c403ad03c2002003c403b903c2002003c103c503b803bc03af03c303b503b903c2002003b303b903b1002003bd03b1002003b403b703bc03b903bf03c503c103b303ae03c303b503c403b5002003ad03b303b303c103b103c603b1002000410064006f006200650020005000440046002003b303b903b1002003b503ba03c403cd03c003c903c303b7002003c003bf03b903cc03c403b703c403b103c2002003c303b5002003b503ba03c403c503c003c903c403ad03c2002003b303c103b103c603b503af03bf03c5002003ba03b103b9002003b403bf03ba03b903bc03b103c303c403ad03c2002e0020002003a403b10020005000440046002003ad03b303b303c103b103c603b1002003c003bf03c5002003ad03c703b503c403b5002003b403b703bc03b903bf03c503c103b303ae03c303b503b9002003bc03c003bf03c103bf03cd03bd002003bd03b1002003b103bd03bf03b903c703c403bf03cd03bd002003bc03b5002003c403bf0020004100630072006f006200610074002c002003c403bf002000410064006f006200650020005200650061006400650072002000200035002e0030002003ba03b103b9002003bc03b503c403b103b303b503bd03ad03c303c403b503c103b503c2002003b503ba03b403cc03c303b503b903c2002egt HEB ltFEFF05D405E905EA05DE05E905D5002005D105D405D205D305E805D505EA002005D005DC05D4002005DB05D305D9002005DC05D905E605D505E8002005DE05E105DE05DB05D9002000410064006F006200650020005000440046002005E205D105D505E8002005D405D305E405E105D4002005D005D905DB05D505EA05D905EA002005D105DE05D305E405E105D505EA002005E905D505DC05D705E005D905D505EA002005D505DB05DC05D9002005D405D205D405D4002E002005DE05E105DE05DB05D9002005D4002D005000440046002005E905E005D505E605E805D905DD002005E005D905EA05E005D905DD002005DC05E405EA05D905D705D4002005D105D005DE05E605E205D505EA0020004100630072006F006200610074002005D5002D00410064006F00620065002000520065006100640065007200200035002E0030002005D505D205E805E105D005D505EA002005DE05EA05E705D305DE05D505EA002005D905D505EA05E8002Egt HRV ltFEFF005a00610020007300740076006100720061006e006a0065002000410064006f00620065002000500044004600200064006f006b0075006d0065006e0061007400610020007a00610020006b00760061006c00690074006500740061006e0020006900730070006900730020006e006100200070006900730061010d0069006d006100200069006c0069002000700072006f006f006600650072002000750072006501110061006a0069006d0061002e00200020005300740076006f00720065006e0069002000500044004600200064006f006b0075006d0065006e007400690020006d006f006700750020007300650020006f00740076006f00720069007400690020004100630072006f00620061007400200069002000410064006f00620065002000520065006100640065007200200035002e0030002000690020006b00610073006e0069006a0069006d0020007600650072007a0069006a0061006d0061002egt HUN ltFEFF004d0069006e0151007300e9006700690020006e0079006f006d00610074006f006b0020006b00e90073007a00ed007400e9007300e900680065007a002000610073007a00740061006c00690020006e0079006f006d00740061007400f3006b006f006e002000e9007300200070007200f300620061006e0079006f006d00f3006b006f006e00200065007a0065006b006b0065006c0020006100200062006500e1006c006c00ed007400e10073006f006b006b0061006c002c00200068006f007a007a006f006e0020006c00e9007400720065002000410064006f00620065002000500044004600200064006f006b0075006d0065006e00740075006d006f006b00610074002e0020002000410020006c00e90074007200650068006f007a006f00740074002000500044004600200064006f006b0075006d0065006e00740075006d006f006b00200061007a0020004100630072006f006200610074002c00200061007a002000410064006f00620065002000520065006100640065007200200035002e0030002000e9007300200061007a002000610074007400f3006c0020006b00e9007301510062006200690020007600650072007a006900f3006b006b0061006c00200020006e00790069007400680061007400f3006b0020006d00650067002egt ITA ltFEFF005500740069006c0069007a007a006100720065002000710075006500730074006500200069006d0070006f007300740061007a0069006f006e00690020007000650072002000630072006500610072006500200064006f00630075006d0065006e00740069002000410064006f006200650020005000440046002000700065007200200075006e00610020007300740061006d007000610020006400690020007100750061006c0069007400e00020007300750020007300740061006d00700061006e0074006900200065002000700072006f006f0066006500720020006400650073006b0074006f0070002e0020004900200064006f00630075006d0065006e007400690020005000440046002000630072006500610074006900200070006f00730073006f006e006f0020006500730073006500720065002000610070006500720074006900200063006f006e0020004100630072006f00620061007400200065002000410064006f00620065002000520065006100640065007200200035002e003000200065002000760065007200730069006f006e006900200073007500630063006500730073006900760065002egt JPN ltFEFF9ad854c18cea51fa529b7528002000410064006f0062006500200050004400460020658766f8306e4f5c6210306b4f7f75283057307e30593002537052376642306e753b8cea3092670059279650306b4fdd306430533068304c3067304d307e3059300230c730b930af30c830c330d730d730ea30f330bf3067306e53705237307e305f306f30d730eb30fc30d57528306b9069305730663044307e305930023053306e8a2d5b9a30674f5c62103055308c305f0020005000440046002030d530a130a430eb306f3001004100630072006f0062006100740020304a30883073002000410064006f00620065002000520065006100640065007200200035002e003000204ee5964d3067958b304f30533068304c3067304d307e30593002gt KOR ltFEFFc7740020c124c815c7440020c0acc6a9d558c5ec0020b370c2a4d06cd0d10020d504b9b0d1300020bc0f0020ad50c815ae30c5d0c11c0020ace0d488c9c8b85c0020c778c1c4d560002000410064006f0062006500200050004400460020bb38c11cb97c0020c791c131d569b2c8b2e4002e0020c774b807ac8c0020c791c131b41c00200050004400460020bb38c11cb2940020004100630072006f0062006100740020bc0f002000410064006f00620065002000520065006100640065007200200035002e00300020c774c0c1c5d0c11c0020c5f40020c2180020c788c2b5b2c8b2e4002egt LTH ltFEFF004e006100750064006f006b0069007400650020016100690075006f007300200070006100720061006d006500740072007500730020006e006f0072011700640061006d00690020006b0075007200740069002000410064006f00620065002000500044004600200064006f006b0075006d0065006e007400750073002c0020006b007500720069006500200073006b00690072007400690020006b006f006b0079006200690161006b0061006900200073007000610075007300640069006e007400690020007300740061006c0069006e0069006100690073002000690072002000620061006e00640079006d006f00200073007000610075007300640069006e007400750076006100690073002e0020002000530075006b0075007200740069002000500044004600200064006f006b0075006d0065006e007400610069002000670061006c006900200062016b007400690020006100740069006400610072006f006d00690020004100630072006f006200610074002000690072002000410064006f00620065002000520065006100640065007200200035002e0030002000610072002000760117006c00650073006e0117006d00690073002000760065007200730069006a006f006d00690073002egt LVI ltFEFF0049007a006d0061006e0074006f006a00690065007400200161006f00730020006900650073007400610074012b006a0075006d00750073002c0020006c0061006900200069007a0076006500690064006f00740075002000410064006f00620065002000500044004600200064006f006b0075006d0065006e0074007500730020006b00760061006c0069007400610074012b0076006100690020006400720075006b010101610061006e00610069002000610072002000670061006c006400610020007000720069006e00740065007200690065006d00200075006e0020007000610072006100750067006e006f00760069006c006b0075006d0075002000690065007300700069006500640113006a00690065006d002e00200049007a0076006500690064006f006a006900650074002000500044004600200064006f006b0075006d0065006e007400750073002c0020006b006f002000760061007200200061007400760113007200740020006100720020004100630072006f00620061007400200075006e002000410064006f00620065002000520065006100640065007200200035002e0030002c0020006b0101002000610072012b00200074006f0020006a00610075006e0101006b0101006d002000760065007200730069006a0101006d002egt NLD (Gebruik deze instellingen om Adobe PDF-documenten te maken voor kwaliteitsafdrukken op desktopprinters en proofers De gemaakte PDF-documenten kunnen worden geopend met Acrobat en Adobe Reader 50 en hoger) NOR ltFEFF004200720075006b00200064006900730073006500200069006e006e007300740069006c006c0069006e00670065006e0065002000740069006c002000e50020006f0070007000720065007400740065002000410064006f006200650020005000440046002d0064006f006b0075006d0065006e00740065007200200066006f00720020007500740073006b00720069006600740020006100760020006800f800790020006b00760061006c00690074006500740020007000e500200062006f007200640073006b0072006900760065007200200065006c006c00650072002000700072006f006f006600650072002e0020005000440046002d0064006f006b0075006d0065006e00740065006e00650020006b0061006e002000e50070006e00650073002000690020004100630072006f00620061007400200065006c006c00650072002000410064006f00620065002000520065006100640065007200200035002e003000200065006c006c00650072002000730065006e006500720065002egt POL ltFEFF0055007300740061007700690065006e0069006100200064006f002000740077006f0072007a0065006e0069006100200064006f006b0075006d0065006e007400f3007700200050004400460020007a002000770079017c0073007a010500200072006f007a0064007a00690065006c0063007a006f015b0063006901050020006f006200720061007a006b00f30077002c0020007a0061007000650077006e00690061006a0105006301050020006c006500700073007a01050020006a0061006b006f015b0107002000770079006400720075006b00f30077002e00200044006f006b0075006d0065006e0074007900200050004400460020006d006f017c006e00610020006f007400770069006500720061010700200077002000700072006f006700720061006d006900650020004100630072006f00620061007400200069002000410064006f00620065002000520065006100640065007200200035002e0030002000690020006e006f00770073007a0079006d002egt PTB ltFEFF005500740069006c0069007a006500200065007300730061007300200063006f006e00660069006700750072006100e700f50065007300200064006500200066006f0072006d00610020006100200063007200690061007200200064006f00630075006d0065006e0074006f0073002000410064006f0062006500200050004400460020007000610072006100200069006d0070007200650073007300f5006500730020006400650020007100750061006c0069006400610064006500200065006d00200069006d00700072006500730073006f0072006100730020006400650073006b0074006f00700020006500200064006900730070006f00730069007400690076006f0073002000640065002000700072006f00760061002e0020004f007300200064006f00630075006d0065006e0074006f00730020005000440046002000630072006900610064006f007300200070006f00640065006d0020007300650072002000610062006500720074006f007300200063006f006d0020006f0020004100630072006f006200610074002000650020006f002000410064006f00620065002000520065006100640065007200200035002e0030002000650020007600650072007300f50065007300200070006f00730074006500720069006f007200650073002egt RUM ltFEFF005500740069006c0069007a00610163006900200061006300650073007400650020007300650074010300720069002000700065006e007400720075002000610020006300720065006100200064006f00630075006d0065006e00740065002000410064006f006200650020005000440046002000700065006e007400720075002000740069007001030072006900720065002000640065002000630061006c006900740061007400650020006c006100200069006d007000720069006d0061006e007400650020006400650073006b0074006f00700020015f0069002000700065006e0074007200750020007600650072006900660069006300610074006f00720069002e002000200044006f00630075006d0065006e00740065006c00650020005000440046002000630072006500610074006500200070006f00740020006600690020006400650073006300680069007300650020006300750020004100630072006f006200610074002c002000410064006f00620065002000520065006100640065007200200035002e00300020015f00690020007600650072007300690075006e0069006c006500200075006c0074006500720069006f006100720065002egt RUS ltFEFF04180441043f043e043b044c04370443043904420435002004340430043d043d044b04350020043d0430044104420440043e0439043a043800200434043b044f00200441043e043704340430043d0438044f00200434043e043a0443043c0435043d0442043e0432002000410064006f006200650020005000440046002c0020043f044004350434043d04300437043d043004470435043d043d044b044500200434043b044f0020043a0430044704350441044204320435043d043d043e04390020043f043504470430044204380020043d04300020043d043004410442043e043b044c043d044b04450020043f04400438043d044204350440043004450020043800200443044104420440043e04390441044204320430044500200434043b044f0020043f043e043b044304470435043d0438044f0020043f0440043e0431043d044b04450020043e0442044204380441043a043e0432002e002000200421043e043704340430043d043d044b04350020005000440046002d0434043e043a0443043c0435043d0442044b0020043c043e0436043d043e00200020043e0442043a0440044b043204300442044c002004410020043f043e043c043e0449044c044e0020004100630072006f00620061007400200438002000410064006f00620065002000520065006100640065007200200035002e00300020043800200431043e043b043504350020043f043e04370434043d043804450020043204350440044104380439002egt SKY ltFEFF0054006900650074006f0020006e006100730074006100760065006e0069006100200070006f0075017e0069007400650020006e00610020007600790074007600e100720061006e0069006500200064006f006b0075006d0065006e0074006f0076002000410064006f00620065002000500044004600200070007200650020006b00760061006c00690074006e00fa00200074006c0061010d0020006e0061002000730074006f006c006e00fd0063006800200074006c0061010d00690061007201480061006300680020006100200074006c0061010d006f007600fd006300680020007a006100720069006100640065006e0069006100630068002e00200056007900740076006f00720065006e00e900200064006f006b0075006d0065006e007400790020005000440046002000620075006400650020006d006f017e006e00e90020006f00740076006f00720069016500200076002000700072006f006700720061006d006f006300680020004100630072006f00620061007400200061002000410064006f00620065002000520065006100640065007200200035002e0030002000610020006e006f0076016100ed00630068002e000d000agt SLV ltFEFF005400650020006e006100730074006100760069007400760065002000750070006f0072006100620069007400650020007a00610020007500730074007600610072006a0061006e006a006500200064006f006b0075006d0065006e0074006f0076002000410064006f0062006500200050004400460020007a00610020006b0061006b006f0076006f00730074006e006f0020007400690073006b0061006e006a00650020006e00610020006e0061006d0069007a006e006900680020007400690073006b0061006c006e0069006b0069006800200069006e0020007000720065007600650072006a0061006c006e0069006b00690068002e00200020005500730074007600610072006a0065006e006500200064006f006b0075006d0065006e0074006500200050004400460020006a00650020006d006f0067006f010d00650020006f0064007000720065007400690020007a0020004100630072006f00620061007400200069006e002000410064006f00620065002000520065006100640065007200200035002e003000200069006e0020006e006f00760065006a01610069006d002egt SUO ltFEFF004b00e40079007400e40020006e00e40069007400e4002000610073006500740075006b007300690061002c0020006b0075006e0020006c0075006f0074002000410064006f0062006500200050004400460020002d0064006f006b0075006d0065006e007400740065006a00610020006c0061006100640075006b006100730074006100200074007900f6007000f60079007400e400740075006c006f0073007400750073007400610020006a00610020007600650064006f007300740075007300740061002000760061007200740065006e002e00200020004c0075006f0064007500740020005000440046002d0064006f006b0075006d0065006e00740069007400200076006f0069006400610061006e0020006100760061007400610020004100630072006f0062006100740069006c006c00610020006a0061002000410064006f00620065002000520065006100640065007200200035002e0030003a006c006c00610020006a006100200075007500640065006d006d0069006c006c0061002egt SVE ltFEFF0041006e007600e4006e00640020006400650020006800e4007200200069006e0073007400e4006c006c006e0069006e006700610072006e00610020006f006d002000640075002000760069006c006c00200073006b006100700061002000410064006f006200650020005000440046002d0064006f006b0075006d0065006e00740020006600f600720020006b00760061006c00690074006500740073007500740073006b0072006900660074006500720020007000e5002000760061006e006c00690067006100200073006b0072006900760061007200650020006f006300680020006600f600720020006b006f007200720065006b007400750072002e002000200053006b006100700061006400650020005000440046002d0064006f006b0075006d0065006e00740020006b0061006e002000f600700070006e00610073002000690020004100630072006f0062006100740020006f00630068002000410064006f00620065002000520065006100640065007200200035002e00300020006f00630068002000730065006e006100720065002egt TUR ltFEFF004d00610073006100fc0073007400fc002000790061007a013100630131006c006100720020007600650020006200610073006b01310020006d0061006b0069006e0065006c006500720069006e006400650020006b0061006c006900740065006c00690020006200610073006b013100200061006d0061006301310079006c0061002000410064006f006200650020005000440046002000620065006c00670065006c0065007200690020006f006c0075015f007400750072006d0061006b0020006900e70069006e00200062007500200061007900610072006c0061007201310020006b0075006c006c0061006e0131006e002e00200020004f006c0075015f0074007500720075006c0061006e0020005000440046002000620065006c00670065006c0065007200690020004100630072006f006200610074002000760065002000410064006f00620065002000520065006100640065007200200035002e003000200076006500200073006f006e0072006100730131006e00640061006b00690020007300fc007200fc006d006c00650072006c00650020006100e70131006c006100620069006c00690072002egt UKR ltFEFF04120438043a043e0440043804410442043e043204430439044204350020044604560020043f043004400430043c043504420440043800200434043b044f0020044104420432043e04400435043d043d044f00200434043e043a0443043c0435043d044204560432002000410064006f006200650020005000440046002c0020044f043a04560020043d04300439043a04400430044904350020043f045604340445043e0434044f0442044c00200434043b044f0020043204380441043e043a043e044f043a04560441043d043e0433043e0020043404400443043a04430020043d04300020043d0430044104420456043b044c043d043804450020043f04400438043d044204350440043004450020044204300020043f04400438044104420440043e044f044500200434043b044f0020043e044204400438043c0430043d043d044f0020043f0440043e0431043d0438044500200437043e04310440043004360435043d044c002e00200020042104420432043e04400435043d045600200434043e043a0443043c0435043d0442043800200050004400460020043c043e0436043d04300020043204560434043a0440043804420438002004430020004100630072006f006200610074002004420430002000410064006f00620065002000520065006100640065007200200035002e0030002004300431043e0020043f04560437043d04560448043e04570020043204350440044104560457002egt ENU ltFEFF005500730065002000740068006500730065002000730065007400740069006e0067007300200074006f0020006300720065006100740065002000410064006f0062006500200050004400460020006200610073006500640020006f006e0020007400680065002000640065006600610075006c0074002000580069006c0069006e007800200070006100720061006d0065007400650072002000730065007400740069006e0067007300200064006500660069006e00650064002000620079002000500053003000300034002e002000460069006c0065006e0061006d0065003a002000780069006c0069006e0078002e006a006f0062006f007000740069006f006e0073002e000d0043006f006d007000610074006100620069006c006900740079003a0020004100630072006f00620061007400200037002e0030002000280050004400460031002e00360029002e0020005500730065003a00200046006f00720020006300720065006100740069006e0067002000460069006e0061006c002c0020005000750062006c0069007300680065006400200050004400460073002e000d0043007200650061007400650064002000310030002f00310032002f003100310020006200790020006a0066006f007200730074002egt gtgt Namespace [ (Adobe) (Common) (10) ] OtherNamespaces [ ltlt AsReaderSpreads false CropImagesToFrames true ErrorControl WarnAndContinue FlattenerIgnoreSpreadOverrides false IncludeGuidesGrids false IncludeNonPrinting false IncludeSlug false Namespace [ (Adobe) (InDesign) (40) ] OmitPlacedBitmaps false OmitPlacedEPS false OmitPlacedPDF false SimulateOverprint Legacy gtgt ltlt AddBleedMarks false AddColorBars false AddCropMarks false AddPageInfo false AddRegMarks false ConvertColors NoConversion DestinationProfileName () DestinationProfileSelector NA Downsample16BitImages true FlattenerPreset ltlt PresetSelector MediumResolution gtgt FormElements false GenerateStructure true IncludeBookmarks false IncludeHyperlinks false IncludeInteractive false IncludeLayers false IncludeProfiles true MultimediaHandling UseObjectSettings Namespace [ (Adobe) (CreativeSuite) (20) ] PDFXOutputIntentProfileSelector NA PreserveEditing true UntaggedCMYKHandling LeaveUntagged UntaggedRGBHandling LeaveUntagged UseDocumentBleed false gtgt ]gtgt setdistillerparamsltlt HWResolution [1200 1200] PageSize [612000 792000]gtgt setpagedevice

Page 17: Xilinx UG883 Kintex-7 FPGA KC705 Evaluation Kit Getting ...€¦ · Updated step 2 and step 3 in Requirements to Get Started, page 14. ... KC705 Getting Started Guide 9 UG883 (v3.0)

KC705 Getting Started Guide wwwxilinxcom 17UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

Advanced Bring-up Using the Base Targeted Reference DesignThe primary components of the Kintex-7 FPGA Base TRD are

bull Integrated Endpoint block for PCI Express (PCIe) See 7 Series FPGAs Integrated Block for PCI Express User Guide (UG477)

bull Northwest Logic Packet DMA [Ref 5]

bull Multiport Virtual FIFO

The TRD system can sustain up to 10 Gbs throughput end to end

Figure 10 provides an overview of the TRD

Note In Figure 10 the arrows indicate AXI interface directions (from master to slave) They do not indicate data flow directions

ComponentsThe Kintex-7 FPGA Base TRD features these components

bull Kintex-7 FPGA integrated Endpoint block for PCI Express

bull Configured with 4 lanes at a 5 Gbs link rate (Gen2) or 8 lanes at a 25 Gbs link rate (Gen1) for PCI Express v20

bull Provides a user interface compliant with AXI4-Stream interface protocol

bull Performance monitor tracks the integrated blockrsquos AXI4-Stream interface for PCIe transactions

bull Bus Mastering Scatter-Gather Packet DMA from Northwest Logic a multichannel DMA

bull Supports full-duplex operation with independent transmit and receive paths

X-Ref Target - Figure 10

Figure 10 Kintex-7 FPGA Base TRD Block Diagram

UG883_10_121112

Multiport Virtual FIFO

DD

R3

IO

Software

Multi-ChannelDMA for PCIe DDR3

Cha

nnel

-0C

2SS

2CC

hann

el-1

S2C

C2S

64 x1600 Mbs

PC

Ie x

4Gen

2 Li

nk

PC

Ie x

8Gen

1 Li

nk

VFIFOController

SoftwareDriver

Interface Blocks in FPGA Third Party IPXilinx IP On BoardCustom Logic

AXI-ST AXI-MM

Hardware

VFIFOController

VFIFOController

VFIFOController

Raw Packet Data Block

Checker

Generator

Loop

back

Loop

back

Raw Packet Data Block

Generator

Checker

User SpaceRegisters

Target InterfaceAXI Master

256 x200 MHz

64 x

250

MH

z

64 x250 MHz

64 x250 MHz

64 x250 MHz

64 x250 MHz

PerformanceMonitor

GU

I

GT

X T

rans

ceiv

er

Inte

grat

ed E

ndpo

int B

lock

for

PC

I Exp

ress

AX

I-S

T B

asic

Wra

pper

AXIMIG

AXIInterconnect

SI SI

SI SIM

I

18 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

bull Provides an AXI4-Stream interface on the back end

bull Monitors the performance of data transfers in receive and transmit directions

bull Provides an AXI4 memory-mapped target interface to access user-defined registers

Note The Northwest Logic Packet DMA shipped with the Base TRD is an evaluation version and expires after 12 hours of run time To get the full version contact Northwest Logic [Ref 7]

bull Multiport Virtual FIFO

bull DDR3 SDRAM SODIMM (64-bit 1600 Mbs 800 MHz) is used for buffering packets The memory controller delivered through the memory interface generator (MIG) tool interfaces to the DDR3 memory

bull AXI Interconnect IP along with the memory controller supports multiple ports on the memory

bull The Packetized Virtual FIFO controller controls addressing of the DDR3 memory for each port allowing DDR3 to be used as Virtual Packet FIFO

bull Software driver for a 32-bit Linux platform

bull Configures the hardware design parameters

bull Generates and consumes traffic

bull Provides a GUI to report status and performance statistics

The Kintex-7 FPGA integrated Endpoint block for PCI Express and the Packet DMA are responsible for data transfers from host system to Endpoint card (S2C) and Endpoint card to host system (C2S) Data to and from the host is stored in a Virtual FIFO built around the DDR3 memory This Multiport Virtual FIFO abstraction layer around the DDR3 memory allows the user to move traffic efficiently without the need to manage addressing and arbitration on the memory interface It also provides more depth than storage implemented using Block RAMs

The integrated Endpoint block for PCI Express Packet DMA and Multiport Virtual FIFO form the base system The base system can bridge the host to any user application running on the other end The raw data packet module is a dummy application that generates and consumes packets It can be replaced by any user specific protocol like Aurora or XAUI

The software driver runs on the host system It generates raw data traffic for transmit operations in the S2C direction It also consumes the data looped back or generated at the application end in the C2S direction

Hardware Test Setup RequirementsThese are the prerequisites for testing the design in hardware

bull KC705 Evaluation board with the Kintex-7 FPGA XC7K325T-2FFG900C device

bull Design files provided as a zipped collection under the Docs amp Designs tab at wwwxilinxcomkc705 include

bull Design source files

bull Device driver files

bull Board design files

bull Documentation

bull Vivado Design Suite

bull ISE Programming tools or LabTools

KC705 Getting Started Guide wwwxilinxcom 19UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

bull Micro USB cable

bull 4-pin to 6-pin PCIe adapter cable

bull Fedora 16 Live DVD [Ref 6]

bull PC with PCIe v20 slot For a complete list of machines tested and all known issues refer to the Kintex-7 FPGA Base Targeted Reference Design Release Notes and Known Issues Master Answer Record (httpwwwxilinxcomsupportanswers45679htm) This PC could also have Fedora Core 16 Linux OS installed on it

TRD Demonstration SetupThis section describes hardware setup and use of the application GUI to help the user get started quickly with the design in hardware It provides a step-by-step explanation of hardware bring-up and describes using the application GUI

When following the demonstration setup steps for the Kintex-7 FPGA Base TRD if the behavior is not as described refer to the known issues at wwwxilinxcomsupportanswers45679htm

Board Setup

This section describes how to set up the KC705 Evaluation board required to demonstrate the TRD

1 Set the KC705 Jumpers and Switches Verify that the KC705 Evaluation board jumpers and switches are set as shown in Table 2 and Figure 11

Table 2 Switch and Jumper Settings

Jumper Function Setting

J32 PCIe configuration width mdash 4 lane design Jump 3-4

Switch Function or Type Setting

SW15 Board power slide-switch Off

SW11 User GPIO DIP switch

4 Off

3 Off

2 Off

1 Off

S13 DIP switch SW13 positions 1 and 2 control the setting of address bits of the flashDIP switch SW13 positions 3 4 and 5 control which configuration mode

5 (M0) M2 =0 M1=1 M0=0 ndash Master BPIM2 =0 M1=0 M0=1 ndash Master SPIM2 =1 M1=0 M0=1 ndash JTAG

Off

4 (M1) On

3 (M2) Off

2 Off

1 Off

20 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

Hardware Bring-Up

This section presents steps for hardware bring-up

1 With the host system switched off insert the KC705 board in the PCIe slot through the PCI Express x8 or x16 edge connector (Figure 12)

The TRD programmed on the KC705 board has a 4-lane PCIe v20 configuration running at a 5 Gbs link rate per lane The PCI Express specification allows for a smaller lane width Endpoint to be installed into a larger lane width PCIe connector

2 Figure 13 shows the 12V power connection Connect the 12V ATX power supplyrsquos available 4-pin connector to the board (J49) via a 4-pin to 6-pin PCIe adapter cable Toggle the Power switch SW15 to the ON position

X-Ref Target - Figure 11

Figure 11 Switch and Jumper Settings

UG883_11_040913

J27 J28

J29 J30SW13

J32

SW15SW11

X-Ref Target - Figure 12if

Figure 12 KC705 Board Plugged into a PCIe x16 Slot

UG883_12_040913

KC705 Getting Started Guide wwwxilinxcom 21UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

3 Make sure the connections are tight and then power on the PC system

Note If the user wishes to boot Linux from the Fedora 16 Live DVD place the DVD in the PCrsquos DVD-ROM drive as soon as the PC system is powered on

4 Verify the status of the design on the KC705 LEDs The design provides status on the GPIO LEDs on the upper right of the KC705 board (Figure 14) After the PC system is powered on and the TRD has successfully configured status LEDs from right to left should indicate

bull LED 0 mdash ON if the PCIe link is up

bull LED 1 mdash Flashes if the PCIe user clock is present

bull LED 2 mdash ON if lane width is what is expected else it flashes (for a 4 lane design the expected lane width is 4 for an 8 lane design the expected lane width is 8)

bull LED 3 mdash ON if memory calibration is done

bull LED 4 to LED 7 mdash Not connected

X-Ref Target - Figure 13

Figure 13 Power Supply Connection

UG883_13_121112

SW15

J49 6-pinConnector

12V ATX PowerSupply Pluggedinto the 4-pinConnector

22 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

Install the Linux Driver

1 If Fedora 16 is installed on the PC systemrsquos hard disk boot as a root-privileged user and skip to step 3 page 23

2 To boot from the Fedora 16 Live DVD provided in the kit place the DVD in the PCrsquos DVD-ROM drive The Fedora 16 Live Media is for Intel-compatible PCs The DVD contains a complete bootable 32-bit Fedora 16 environment with the proper packages installed for the TRD demonstration environment For more details see Fedora Information page 2 The PC boots from the DVD-ROM drive and logs into a liveuser account This account has kernel development root privileges required to install and remove device driver modules

Note Users might have to adjust BIOS boot order settings to make sure that the DVD-ROM drive is the first drive in the boot order To enter the BIOS menu to set the boot order press the DEL or F2 key when the system is powered on Set the boot order and save the changes (The DEL or F2 key is used by most PC systems to enter the BIOS setup Some PCs might have a different way to enter the BIOS setup)

The PC should boot from the DVD-ROM drive The images in Figure 15 are seen on the monitor during boot up

X-Ref Target - Figure 14

Figure 14 Location of GPIO Status LEDs (Indicates TRD Status)

UG883_14_121112

KC705 Getting Started Guide wwwxilinxcom 23UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

3 After Fedora 16 Core boots open a terminal window (click Activities click Application scroll down and click the Terminal icon) To find out if the PCIe Endpoint is detected at the terminal command line type

$ lspci

The lspci command displays the devices in the PCI and PCI Express buses of the PC On the bus of the KC705 card slot is the message

Communication controller Xilinx Corporation Device 7042

This message confirms that the design programmed into the KC705 board has been found by the BIOS and the Fedora 16 OS The bus number varies depending on which PC motherboard and slot are used Figure 16 shows a lspci output for an example system Xilinx device 7042 has been found by the BIOS on bus number 2 (02000 - busdevfunction)

X-Ref Target - Figure 15

Figure 15 Fedora 16 Live DVD BootingFirst Screen Last Boot Screen Booted

UG883 15 040913

X-Ref Target - Figure 16

Figure 16 PCI and PCI Express Bus Devices

UG883_16_040913

24 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

4 Download the reference design from the Docs amp Designs tab at wwwxilinxcomkc705 and copy the k7_pcie_dma_ddr3_base folder into any directory

5 To set up and run the TRD demonstration the software driver should be installed on the PC system Software driver installation involves

a Building the kernel objects and the GUI

b Inserting the driver modules into the kernel

After the driver modules are loaded the application GUI can be invoked The user can set parameters through the GUI and run the TRD

When the user is done running the TRD the application GUI can be closed and the drivers can be removed

A script is provided to execute all the above actions so that the user can quickly start the TRD

The k7_trd_lin_quickstart script is available in the k7_pcie_dma_ddr3_base folder Right click the script select properties go to the permissions tab check the box Allow executing file as programmdashthis makes the script executable Close the window

To run the script double-click k7_trd_lin_quickstart in the k7_pcie_dma_ddr3_base folder (Figure 17) The window prompt in Figure 18 appears

X-Ref Target - Figure 17

Figure 17 Load Driver and Launch Application GUI

UG883_17_121112

KC705 Getting Started Guide wwwxilinxcom 25UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

Click Run in Terminal to proceed The application GUI is invoked

Proceed to the next section Using the Application GUI to set design parameters and run the TRD

X-Ref Target - Figure 18

Figure 18 Run in Terminal

UG883_18_040913

26 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

Using the Application GUI

When the drivers are loaded and the Performance Monitor GUI is invoked the user can configure the sending and receiving of data The GUI allows the user to observe the collected statistics and other status information

1 Click the System Status tab to verify the status of the KC705 board and the PCIe link (see Figure 19 and Table 3)

X-Ref Target - Figure 19

Figure 19 Verify Board Status in the Performance Monitor

UG883_19_121112

KC705 Getting Started Guide wwwxilinxcom 27UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

2 To start data traffic on the two data paths

a Click Start Test on Raw Data Path0 as shown in Figure 20 This enables the driver to start generating the data for Raw Data Path0

b Click Start Test on Raw Data Path1 as shown in Figure 20 This enables the driver to start generating the data for Raw Data Path1

Table 3 KC705 Board Status Field Explanations

Field Status Explanation

Link Status Up This confirms that the PCIe link is up and a PCIe connection is established between the Kintex-7 FPGA Endpoint for PCI Express and the PC motherboard chipset

Link Speed 50 Gbs This confirms that the PCIe link is operating at line rate speed per PCI Express v20

Link Width x4 This confirms that the PCIe link is trained as a x4 link

28 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

3 Verify TRD operations through the status information provided by the GUI (see Figure 21)

a Verify the PCIe throughput

b Verify the DMA channel throughput for the Raw Data Path0

c Verify the DMA channel throughput for the Raw Data Path1

d Verify there are no buffer descriptor errors for error-free operation

X-Ref Target - Figure 20

Figure 20 Start Data Traffic from the Performance Monitor

UG883_20_121112

KC705 Getting Started Guide wwwxilinxcom 29UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

The Kintex-7 FPGA PCIe-DMA TRD is now set up and running Close the Application GUI to unload the software drivers and stop traffic flow

X-Ref Target - Figure 21

Figure 21 Verify Error-Free Operation in the Performance Monitor

UG883_21_121112

30 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

Evaluating the Kintex-7 FPGA Base TRDThe Kintex-7 FPGA Base TRD provides a Performance and Status monitor application and GUI The application enables customers to evaluate different system parameters This section demonstrates performance variances for the PCI Express and DMA interfaces based on the parameters set

To evaluate the Kintex-7 FPGA Base TRD

1 Launch the Performance Monitor for the Kintex-7 FPGA Base TRD

a Navigate to the k7_pcie_dma_ddr3_base folder

b Double-click k7_lin_trd_quickstart (Figure 22)mdashmake sure that the script has executable permissionmdashto launch the Performance Monitor and Status GUI

c A window prompt appears as shown in Figure 23 Click Run in Terminal to proceed

2 Set up the test parameters in the Performance Monitor

a Two data paths are available Raw Data Path0 and Raw Data Path1 On each path set the Packet Size to a value between 64 ndash 32768 bytes

X-Ref Target - Figure 22

Figure 22 Launch the Performance Monitor and Status GUI

UG883_22_121112

X-Ref Target - Figure 23

Figure 23 Run k7_lin_trd_quickstart

UG883_23_121112

KC705 Getting Started Guide wwwxilinxcom 31UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

3 Execute the test and view payload statistics in the Performance and Status Monitor (see Figure 24)

a Click Start Test to start the performance test

b Click the Payload Statistics tab to view data transfers on the DMA channels

c Click Stop Test to stop data traffic

d Vary the Packet Size parameters for the Raw Data Paths (see Figure 25) and click Start Test Then view the payload statistics to review data transfer rate on the DMA channels With a decrease in packet size the performance drops

Note Before changing packet size click Stop Test change the size and then click Start Test

X-Ref Target - Figure 24

Figure 24 Payload Statistics

UG883_24_121112

32 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

Note For packet sizes equal to 64 or 128 bytes the throughput is reduced and might not be visible on the Payload Statistics tab The exact values can be viewed on the System Status tab

4 Click the PCIe Statistics tab to view data transfer numbers with varying packet sizes on the PCIe interface (Figure 26)

X-Ref Target - Figure 25

Figure 25 Effect of Varying Packet Sizes on Performance

UG883_25_121112

KC705 Getting Started Guide wwwxilinxcom 33UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

The system performance of the Kintex-7 FPGA Base TRD has now been evaluated using the pre-built demonstration design bit file

Now that the Kintex-7 FPGA Base TRD demonstration has been set up and evaluated the design can be modified Before the design can be modified make sure to install the Vivado Design Suite on a PC It is not required that tools be installed on the PC system in which the KC705 evaluation board is plugged in by way of the PCIe edge connector

X-Ref Target - Figure 26

Figure 26 PCIe Statistics in the Performance Monitor

UG883_26_121112

34 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

KC705 Getting Started Guide wwwxilinxcom 35UG883 (v30) April 16 2013

Appendix A

Additional Resources

Xilinx ResourcesFor support resources such as Answers Documentation Downloads and Forums see the Xilinx Support website at

wwwxilinxcomsupport

For continual updates add the Answer Record to your myAlerts

wwwxilinxcomsupportmyalerts

For a glossary of technical terms used in Xilinx documentation see

wwwxilinxcomcompanytermshtm

Solution CentersSee the Xilinx Solution Centers for support on devices software tools and intellectual property at all stages of the design cycle Topics include design assistance advisories and troubleshooting tips

Further ResourcesThe most up to date information related to the KC705 board and its documentation is available on the following websites

The Kintex-7 FPGA KC705 Evaluation Kit Product Page

wwwxilinxcomkc705

The Kintex-7 FPGA KC705 Evaluation Kit Master Answer Record

wwwxilinxcomsupportanswers47787htm

Design advisories by software release for the Kintex-7 FPGA KC705 Evaluation Kit

wwwxilinxcomsupportnav=sd-nav-link-179661amptab=tab-bk

Kintex-7 FPGA Base Targeted Reference Design Release Notes and Known Issues Master Answer Record

wwwxilinxcomsupportanswers45679htm

These documents provide supplemental material useful with this user guide

UG810 KC705 Evaluation Board for the Kintex-7 FPGA User Guide

UG845 KC705 Reference Design User Guide

36 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Appendix A Additional Resources

UG798 Xilinx Design Tools Installation and Licensing Guide Vivado Design Suite and ISE Design Suite

UG908 Vivado Design Suite User Guide Programming and Debugging

UG882 Kintex-7 FPGA KC705 Base Targeted Reference Design User Guide (Vivado Design Suite 20124)

UG886 AMS101 Evaluation Card User Guide

UG477 7 Series FPGAs Integrated Block for PCI Express User Guide

ReferencesThe following websites provide supplemental material useful with this guide

1 LabVIEW 32-bit Run-Time Enginehttpjoulenicomniducdsviewpid2534langen

2 LabVIEW 64-bit Run-Time Engine httpjoulenicomniducdsviewpid2536langen

3 Tera Term Pro program httphpvectorcojpauthorsVA002416teratermhtml

4 Drivers on the Silicon Labs site httpwwwsilabscomSupport20DocumentsSoftwareCP210x_VCP_Win_XP_S2K3_Vista_7exe

5 Northwest Logic DMA back end core httpwwwnwlogiccompacketdma

6 Fedora project httpfedoraprojectorg

Fedora is a Linux-based operating system used in the development of this TRD

7 The GTK+ project API documentation httpwwwgtkorgdocumentationphp

GTK+ is a toolkit for creating graphical user interfaces (GUI)

KC705 Getting Started Guide wwwxilinxcom 37UG883 (v30) April 16 2013

Appendix B

Warranty

THIS LIMITED WARRANTY applies solely to standard hardware development boards and standard hardware programming cables manufactured by or on behalf of Xilinx (ldquoDevelopment Systemsrdquo) Subject to the limitations herein Xilinx warrants that Development Systems when delivered by Xilinx or its authorized distributor for ninety (90) days following the delivery date will be free from defects in material and workmanship and will substantially conform to Xilinx publicly available specifications for such products in effect at the time of delivery This limited warranty excludes (i) engineering samples or beta versions of Development Systems (which are provided ldquoAS ISrdquo without warranty) (ii) design defects or errors known as ldquoerratardquo (iii) Development Systems procured through unauthorized third parties and (iv) Development Systems that have been subject to misuse mishandling accident alteration neglect unauthorized repair or installation Furthermore this limited warranty shall not apply to the use of covered products in an application or environment that is not within Xilinx specifications or in the event of any act error neglect or default of Customer For any breach by Xilinx of this limited warranty the exclusive remedy of Customer and the sole liability of Xilinx shall be at the option of Xilinx to replace or repair the affected products or to refund to Customer the price of the affected products The availability of replacement products is subject to product discontinuation policies at Xilinx Customer may not return product without first obtaining a customer return material authorization (RMA) number from XilinxTHE WARRANTIES SET FORTH HEREIN ARE EXCLUSIVE XILINX DISCLAIMS ALL OTHER WARRANTIES WHETHER EXPRESS IMPLIED OR STATUTORY INCLUDING WITHOUT LIMITATION ANY WARRANTY OF MERCHANTABILITY FITNESS FOR A PARTICULAR PURPOSE OR NON-INFRINGEMENT AND ANY WARRANTY THAT MAY ARISE FROM COURSE OF DEALING COURSE OF PERFORMANCE OR USAGE OF TRADE (200810)

Do not throw Xilinx products marked with the ldquocrossed out wheeled binrdquo in the trash Directive 200296EC on waste electrical and electronic equipment (WEEE) requires the separate collection of WEEE Your cooperation is essential in ensuring the proper management of WEEE and the protection of the environment and human health from potential effects arising from the presence of hazardous substances in WEEE Return the marked products to Xilinx for proper disposal Further information and instructions for free-of-charge return available at httpwwwxilinxcomehsweeehtm

38 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Appendix B Warranty

  • Kintex-7 FPGA KC705 Evaluation Kit (Vivado Design Suite 20131)
    • Revision History
    • Table of Contents
    • Getting Started with the Kintex-7 FPGA KC705 Evaluation Kit (Vivado Design Suite 20131)
      • Introduction
      • Kit Contents
      • Basic Hardware Bring-up Using the BIST
        • Hardware Test Setup Requirements
          • Hardware Test Board Setup Requirements
            • Hardware Bring-Up
            • Run the BIST Application
              • AMS Bring-up with the AMS101 Evaluation Card
                • Requirements to Get Started
                • Evaluating AMS
                  • Advanced Bring-up Using the Base Targeted Reference Design
                    • Components
                    • Hardware Test Setup Requirements
                    • TRD Demonstration Setup
                    • Evaluating the Kintex-7 FPGA Base TRD
                        • Additional Resources
                          • Xilinx Resources
                          • Solution Centers
                          • Further Resources
                          • References
                            • Warranty
                                • ltlt ASCII85EncodePages false AllowTransparency false AutoPositionEPSFiles true AutoRotatePages All Binding Left CalGrayProfile (Dot Gain 20) CalRGBProfile (sRGB IEC61966-21) CalCMYKProfile (US Web Coated 050SWOP051 v2) sRGBProfile (sRGB IEC61966-21) CannotEmbedFontPolicy Warning CompatibilityLevel 16 CompressObjects Tags CompressPages true ConvertImagesToIndexed true PassThroughJPEGImages true CreateJobTicket false DefaultRenderingIntent Default DetectBlends true DetectCurves 00000 ColorConversionStrategy LeaveColorUnchanged DoThumbnails false EmbedAllFonts true EmbedOpenType false ParseICCProfilesInComments true EmbedJobOptions true DSCReportingLevel 0 EmitDSCWarnings false EndPage -1 ImageMemory 1048576 LockDistillerParams true MaxSubsetPct 100 Optimize true OPM 1 ParseDSCComments true ParseDSCCommentsForDocInfo true PreserveCopyPage true PreserveDICMYKValues true PreserveEPSInfo true PreserveFlatness true PreserveHalftoneInfo false PreserveOPIComments false PreserveOverprintSettings true StartPage 1 SubsetFonts true TransferFunctionInfo Apply UCRandBGInfo Preserve UsePrologue false ColorSettingsFile () AlwaysEmbed [ true ] NeverEmbed [ true ] AntiAliasColorImages false CropColorImages true ColorImageMinResolution 300 ColorImageMinResolutionPolicy OK DownsampleColorImages false ColorImageDownsampleType Bicubic ColorImageResolution 300 ColorImageDepth -1 ColorImageMinDownsampleDepth 1 ColorImageDownsampleThreshold 150000 EncodeColorImages true ColorImageFilter DCTEncode AutoFilterColorImages true ColorImageAutoFilterStrategy JPEG ColorACSImageDict ltlt QFactor 040 HSamples [1 1 1 1] VSamples [1 1 1 1] gtgt ColorImageDict ltlt QFactor 015 HSamples [1 1 1 1] VSamples [1 1 1 1] gtgt JPEG2000ColorACSImageDict ltlt TileWidth 256 TileHeight 256 Quality 30 gtgt JPEG2000ColorImageDict ltlt TileWidth 256 TileHeight 256 Quality 30 gtgt AntiAliasGrayImages false CropGrayImages true GrayImageMinResolution 300 GrayImageMinResolutionPolicy OK DownsampleGrayImages false GrayImageDownsampleType Bicubic GrayImageResolution 300 GrayImageDepth -1 GrayImageMinDownsampleDepth 2 GrayImageDownsampleThreshold 150000 EncodeGrayImages true GrayImageFilter DCTEncode AutoFilterGrayImages true GrayImageAutoFilterStrategy JPEG GrayACSImageDict ltlt QFactor 040 HSamples [1 1 1 1] VSamples [1 1 1 1] gtgt GrayImageDict ltlt QFactor 015 HSamples [1 1 1 1] VSamples [1 1 1 1] gtgt JPEG2000GrayACSImageDict ltlt TileWidth 256 TileHeight 256 Quality 30 gtgt JPEG2000GrayImageDict ltlt TileWidth 256 TileHeight 256 Quality 30 gtgt AntiAliasMonoImages false CropMonoImages true MonoImageMinResolution 1200 MonoImageMinResolutionPolicy OK DownsampleMonoImages false MonoImageDownsampleType Bicubic MonoImageResolution 1200 MonoImageDepth -1 MonoImageDownsampleThreshold 150000 EncodeMonoImages true MonoImageFilter CCITTFaxEncode MonoImageDict ltlt K -1 gtgt AllowPSXObjects false CheckCompliance [ None ] PDFX1aCheck false PDFX3Check false PDFXCompliantPDFOnly false PDFXNoTrimBoxError true PDFXTrimBoxToMediaBoxOffset [ 000000 000000 000000 000000 ] PDFXSetBleedBoxToMediaBox true PDFXBleedBoxToTrimBoxOffset [ 000000 000000 000000 000000 ] PDFXOutputIntentProfile (None) PDFXOutputConditionIdentifier () PDFXOutputCondition () PDFXRegistryName () PDFXTrapped False CreateJDFFile false Description ltlt ARA ltFEFF06270633062A062E062F0645002006470630064700200627064406250639062F0627062F0627062A002006440625064606340627062100200648062B062706260642002000410064006F00620065002000500044004600200645062A0648062706410642062900200644064406370628062706390629002006300627062A002006270644062C0648062F0629002006270644063906270644064A06290020064506460020062E06440627064400200627064406370627062806390627062A00200627064406450643062A0628064A062900200623064800200623062C06470632062900200625062C06310627062100200627064406280631064806410627062A061B0020064A06450643064600200641062A062D00200648062B0627062606420020005000440046002006270644064506460634062306290020062806270633062A062E062F062706450020004100630072006F0062006100740020064800410064006F006200650020005200650061006400650072002006250635062F0627063100200035002E0030002006480627064406250635062F062706310627062A0020062706440623062D062F062B002E0020064506390020005000440046002F0041060C0020062706440631062C062706210020064506310627062C063906290020062F0644064A0644002006450633062A062E062F06450020004100630072006F006200610074061B0020064A06450643064600200641062A062D00200648062B0627062606420020005000440046002006270644064506460634062306290020062806270633062A062E062F062706450020004100630072006F0062006100740020064800410064006F006200650020005200650061006400650072002006250635062F0627063100200035002E0030002006480627064406250635062F062706310627062A0020062706440623062D062F062B002Egt BGR ltFEFF04180437043f043e043b043704320430043904420435002004420435043704380020043d0430044104420440043e0439043a0438002c00200437043000200434043000200441044a0437043404300432043004420435002000410064006f00620065002000500044004600200434043e043a0443043c0435043d044204380020043704300020043a0430044704350441044204320435043d0020043f04350447043004420020043d04300020043d043004410442043e043b043d04380020043f04400438043d04420435044004380020043800200443044104420440043e043904410442043204300020043704300020043f04350447043004420020043d04300020043f0440043e0431043d04380020044004300437043f0435044704300442043a0438002e002000200421044a04370434043004340435043d043804420435002000500044004600200434043e043a0443043c0435043d044204380020043c043e0433043004420020043404300020044104350020043e0442043204300440044f0442002004410020004100630072006f00620061007400200438002000410064006f00620065002000520065006100640065007200200035002e00300020043800200441043b0435043404320430044904380020043204350440044104380438002egt CHS ltFEFF4f7f75288fd94e9b8bbe5b9a521b5efa7684002000500044004600206587686353ef901a8fc7684c976262535370673a548c002000700072006f006f00660065007200208fdb884c9ad88d2891cf62535370300260a853ef4ee54f7f75280020004100630072006f0062006100740020548c002000410064006f00620065002000520065006100640065007200200035002e003000204ee553ca66f49ad87248672c676562535f00521b5efa768400200050004400460020658768633002gt CHT ltFEFF4f7f752890194e9b8a2d7f6e5efa7acb7684002000410064006f006200650020005000440046002065874ef653ef5728684c9762537088686a5f548c002000700072006f006f00660065007200204e0a73725f979ad854c18cea7684521753706548679c300260a853ef4ee54f7f75280020004100630072006f0062006100740020548c002000410064006f00620065002000520065006100640065007200200035002e003000204ee553ca66f49ad87248672c4f86958b555f5df25efa7acb76840020005000440046002065874ef63002gt CZE ltFEFF005400610074006f0020006e006100730074006100760065006e00ed00200070006f0075017e0069006a007400650020006b0020007600790074007600e101590065006e00ed00200064006f006b0075006d0065006e0074016f002000410064006f006200650020005000440046002000700072006f0020006b00760061006c00690074006e00ed0020007400690073006b0020006e0061002000730074006f006c006e00ed006300680020007400690073006b00e10072006e00e100630068002000610020006e00e1007400690073006b006f007600fd006300680020007a0061015900ed007a0065006e00ed00630068002e002000200056007900740076006f01590065006e00e900200064006f006b0075006d0065006e007400790020005000440046002000620075006400650020006d006f017e006e00e90020006f007400650076015900ed007400200076002000700072006f006700720061006d0065006300680020004100630072006f00620061007400200061002000410064006f00620065002000520065006100640065007200200035002e0030002000610020006e006f0076011b006a016100ed00630068002egt DAN ltFEFF004200720075006700200069006e0064007300740069006c006c0069006e006700650072006e0065002000740069006c0020006100740020006f007000720065007400740065002000410064006f006200650020005000440046002d0064006f006b0075006d0065006e007400650072002000740069006c0020006b00760061006c00690074006500740073007500640073006b007200690076006e0069006e006700200065006c006c006500720020006b006f007200720065006b007400750072006c00e60073006e0069006e0067002e0020004400650020006f007000720065007400740065006400650020005000440046002d0064006f006b0075006d0065006e0074006500720020006b0061006e002000e50062006e00650073002000690020004100630072006f00620061007400200065006c006c006500720020004100630072006f006200610074002000520065006100640065007200200035002e00300020006f00670020006e0079006500720065002egt DEU ltFEFF00560065007200770065006e00640065006e0020005300690065002000640069006500730065002000450069006e007300740065006c006c0075006e00670065006e0020007a0075006d002000450072007300740065006c006c0065006e00200076006f006e002000410064006f006200650020005000440046002d0044006f006b0075006d0065006e00740065006e002c00200076006f006e002000640065006e0065006e002000530069006500200068006f00630068007700650072007400690067006500200044007200750063006b006500200061007500660020004400650073006b0074006f0070002d0044007200750063006b00650072006e00200075006e0064002000500072006f006f0066002d00470065007200e400740065006e002000650072007a0065007500670065006e0020006d00f60063006800740065006e002e002000450072007300740065006c006c007400650020005000440046002d0044006f006b0075006d0065006e007400650020006b00f6006e006e0065006e0020006d006900740020004100630072006f00620061007400200075006e0064002000410064006f00620065002000520065006100640065007200200035002e00300020006f0064006500720020006800f600680065007200200067006500f600660066006e00650074002000770065007200640065006e002egt ESP ltFEFF005500740069006c0069006300650020006500730074006100200063006f006e0066006900670075007200610063006900f3006e0020007000610072006100200063007200650061007200200064006f00630075006d0065006e0074006f0073002000640065002000410064006f0062006500200050004400460020007000610072006100200063006f006e00730065006700750069007200200069006d0070007200650073006900f3006e002000640065002000630061006c006900640061006400200065006e00200069006d0070007200650073006f0072006100730020006400650020006500730063007200690074006f00720069006f00200079002000680065007200720061006d00690065006e00740061007300200064006500200063006f00720072006500630063006900f3006e002e002000530065002000700075006500640065006e00200061006200720069007200200064006f00630075006d0065006e0074006f00730020005000440046002000630072006500610064006f007300200063006f006e0020004100630072006f006200610074002c002000410064006f00620065002000520065006100640065007200200035002e003000200079002000760065007200730069006f006e0065007300200070006f00730074006500720069006f007200650073002egt ETI ltFEFF004b00610073007500740061006700650020006e0065006900640020007300e4007400740065006900640020006c006100750061002d0020006a00610020006b006f006e00740072006f006c006c007400f5006d006d006900730065007000720069006e0074006500720069007400650020006a0061006f006b00730020006b00760061006c006900740065006500740073006500740065002000410064006f006200650020005000440046002d0064006f006b0075006d0065006e00740069006400650020006c006f006f006d006900730065006b0073002e002e00200020004c006f006f0064007500640020005000440046002d0064006f006b0075006d0065006e00740065002000730061006100740065002000610076006100640061002000700072006f006700720061006d006d006900640065006700610020004100630072006f0062006100740020006e0069006e0067002000410064006f00620065002000520065006100640065007200200035002e00300020006a00610020007500750065006d006100740065002000760065007200730069006f006f006e00690064006500670061002e000d000agt FRA ltFEFF005500740069006c006900730065007a00200063006500730020006f007000740069006f006e00730020006100660069006e00200064006500200063007200e900650072002000640065007300200064006f00630075006d0065006e00740073002000410064006f00620065002000500044004600200070006f007500720020006400650073002000e90070007200650075007600650073002000650074002000640065007300200069006d007000720065007300730069006f006e00730020006400650020006800610075007400650020007100750061006c0069007400e90020007300750072002000640065007300200069006d007000720069006d0061006e0074006500730020006400650020006200750072006500610075002e0020004c0065007300200064006f00630075006d0065006e00740073002000500044004600200063007200e900e90073002000700065007500760065006e0074002000ea0074007200650020006f007500760065007200740073002000640061006e00730020004100630072006f006200610074002c002000610069006e00730069002000710075002700410064006f00620065002000520065006100640065007200200035002e0030002000650074002000760065007200730069006f006e007300200075006c007400e90072006900650075007200650073002egt GRE ltFEFF03a703c103b703c303b903bc03bf03c003bf03b903ae03c303c403b5002003b103c503c403ad03c2002003c403b903c2002003c103c503b803bc03af03c303b503b903c2002003b303b903b1002003bd03b1002003b403b703bc03b903bf03c503c103b303ae03c303b503c403b5002003ad03b303b303c103b103c603b1002000410064006f006200650020005000440046002003b303b903b1002003b503ba03c403cd03c003c903c303b7002003c003bf03b903cc03c403b703c403b103c2002003c303b5002003b503ba03c403c503c003c903c403ad03c2002003b303c103b103c603b503af03bf03c5002003ba03b103b9002003b403bf03ba03b903bc03b103c303c403ad03c2002e0020002003a403b10020005000440046002003ad03b303b303c103b103c603b1002003c003bf03c5002003ad03c703b503c403b5002003b403b703bc03b903bf03c503c103b303ae03c303b503b9002003bc03c003bf03c103bf03cd03bd002003bd03b1002003b103bd03bf03b903c703c403bf03cd03bd002003bc03b5002003c403bf0020004100630072006f006200610074002c002003c403bf002000410064006f006200650020005200650061006400650072002000200035002e0030002003ba03b103b9002003bc03b503c403b103b303b503bd03ad03c303c403b503c103b503c2002003b503ba03b403cc03c303b503b903c2002egt HEB ltFEFF05D405E905EA05DE05E905D5002005D105D405D205D305E805D505EA002005D005DC05D4002005DB05D305D9002005DC05D905E605D505E8002005DE05E105DE05DB05D9002000410064006F006200650020005000440046002005E205D105D505E8002005D405D305E405E105D4002005D005D905DB05D505EA05D905EA002005D105DE05D305E405E105D505EA002005E905D505DC05D705E005D905D505EA002005D505DB05DC05D9002005D405D205D405D4002E002005DE05E105DE05DB05D9002005D4002D005000440046002005E905E005D505E605E805D905DD002005E005D905EA05E005D905DD002005DC05E405EA05D905D705D4002005D105D005DE05E605E205D505EA0020004100630072006F006200610074002005D5002D00410064006F00620065002000520065006100640065007200200035002E0030002005D505D205E805E105D005D505EA002005DE05EA05E705D305DE05D505EA002005D905D505EA05E8002Egt HRV ltFEFF005a00610020007300740076006100720061006e006a0065002000410064006f00620065002000500044004600200064006f006b0075006d0065006e0061007400610020007a00610020006b00760061006c00690074006500740061006e0020006900730070006900730020006e006100200070006900730061010d0069006d006100200069006c0069002000700072006f006f006600650072002000750072006501110061006a0069006d0061002e00200020005300740076006f00720065006e0069002000500044004600200064006f006b0075006d0065006e007400690020006d006f006700750020007300650020006f00740076006f00720069007400690020004100630072006f00620061007400200069002000410064006f00620065002000520065006100640065007200200035002e0030002000690020006b00610073006e0069006a0069006d0020007600650072007a0069006a0061006d0061002egt HUN ltFEFF004d0069006e0151007300e9006700690020006e0079006f006d00610074006f006b0020006b00e90073007a00ed007400e9007300e900680065007a002000610073007a00740061006c00690020006e0079006f006d00740061007400f3006b006f006e002000e9007300200070007200f300620061006e0079006f006d00f3006b006f006e00200065007a0065006b006b0065006c0020006100200062006500e1006c006c00ed007400e10073006f006b006b0061006c002c00200068006f007a007a006f006e0020006c00e9007400720065002000410064006f00620065002000500044004600200064006f006b0075006d0065006e00740075006d006f006b00610074002e0020002000410020006c00e90074007200650068006f007a006f00740074002000500044004600200064006f006b0075006d0065006e00740075006d006f006b00200061007a0020004100630072006f006200610074002c00200061007a002000410064006f00620065002000520065006100640065007200200035002e0030002000e9007300200061007a002000610074007400f3006c0020006b00e9007301510062006200690020007600650072007a006900f3006b006b0061006c00200020006e00790069007400680061007400f3006b0020006d00650067002egt ITA ltFEFF005500740069006c0069007a007a006100720065002000710075006500730074006500200069006d0070006f007300740061007a0069006f006e00690020007000650072002000630072006500610072006500200064006f00630075006d0065006e00740069002000410064006f006200650020005000440046002000700065007200200075006e00610020007300740061006d007000610020006400690020007100750061006c0069007400e00020007300750020007300740061006d00700061006e0074006900200065002000700072006f006f0066006500720020006400650073006b0074006f0070002e0020004900200064006f00630075006d0065006e007400690020005000440046002000630072006500610074006900200070006f00730073006f006e006f0020006500730073006500720065002000610070006500720074006900200063006f006e0020004100630072006f00620061007400200065002000410064006f00620065002000520065006100640065007200200035002e003000200065002000760065007200730069006f006e006900200073007500630063006500730073006900760065002egt JPN ltFEFF9ad854c18cea51fa529b7528002000410064006f0062006500200050004400460020658766f8306e4f5c6210306b4f7f75283057307e30593002537052376642306e753b8cea3092670059279650306b4fdd306430533068304c3067304d307e3059300230c730b930af30c830c330d730d730ea30f330bf3067306e53705237307e305f306f30d730eb30fc30d57528306b9069305730663044307e305930023053306e8a2d5b9a30674f5c62103055308c305f0020005000440046002030d530a130a430eb306f3001004100630072006f0062006100740020304a30883073002000410064006f00620065002000520065006100640065007200200035002e003000204ee5964d3067958b304f30533068304c3067304d307e30593002gt KOR ltFEFFc7740020c124c815c7440020c0acc6a9d558c5ec0020b370c2a4d06cd0d10020d504b9b0d1300020bc0f0020ad50c815ae30c5d0c11c0020ace0d488c9c8b85c0020c778c1c4d560002000410064006f0062006500200050004400460020bb38c11cb97c0020c791c131d569b2c8b2e4002e0020c774b807ac8c0020c791c131b41c00200050004400460020bb38c11cb2940020004100630072006f0062006100740020bc0f002000410064006f00620065002000520065006100640065007200200035002e00300020c774c0c1c5d0c11c0020c5f40020c2180020c788c2b5b2c8b2e4002egt LTH ltFEFF004e006100750064006f006b0069007400650020016100690075006f007300200070006100720061006d006500740072007500730020006e006f0072011700640061006d00690020006b0075007200740069002000410064006f00620065002000500044004600200064006f006b0075006d0065006e007400750073002c0020006b007500720069006500200073006b00690072007400690020006b006f006b0079006200690161006b0061006900200073007000610075007300640069006e007400690020007300740061006c0069006e0069006100690073002000690072002000620061006e00640079006d006f00200073007000610075007300640069006e007400750076006100690073002e0020002000530075006b0075007200740069002000500044004600200064006f006b0075006d0065006e007400610069002000670061006c006900200062016b007400690020006100740069006400610072006f006d00690020004100630072006f006200610074002000690072002000410064006f00620065002000520065006100640065007200200035002e0030002000610072002000760117006c00650073006e0117006d00690073002000760065007200730069006a006f006d00690073002egt LVI ltFEFF0049007a006d0061006e0074006f006a00690065007400200161006f00730020006900650073007400610074012b006a0075006d00750073002c0020006c0061006900200069007a0076006500690064006f00740075002000410064006f00620065002000500044004600200064006f006b0075006d0065006e0074007500730020006b00760061006c0069007400610074012b0076006100690020006400720075006b010101610061006e00610069002000610072002000670061006c006400610020007000720069006e00740065007200690065006d00200075006e0020007000610072006100750067006e006f00760069006c006b0075006d0075002000690065007300700069006500640113006a00690065006d002e00200049007a0076006500690064006f006a006900650074002000500044004600200064006f006b0075006d0065006e007400750073002c0020006b006f002000760061007200200061007400760113007200740020006100720020004100630072006f00620061007400200075006e002000410064006f00620065002000520065006100640065007200200035002e0030002c0020006b0101002000610072012b00200074006f0020006a00610075006e0101006b0101006d002000760065007200730069006a0101006d002egt NLD (Gebruik deze instellingen om Adobe PDF-documenten te maken voor kwaliteitsafdrukken op desktopprinters en proofers De gemaakte PDF-documenten kunnen worden geopend met Acrobat en Adobe Reader 50 en hoger) NOR ltFEFF004200720075006b00200064006900730073006500200069006e006e007300740069006c006c0069006e00670065006e0065002000740069006c002000e50020006f0070007000720065007400740065002000410064006f006200650020005000440046002d0064006f006b0075006d0065006e00740065007200200066006f00720020007500740073006b00720069006600740020006100760020006800f800790020006b00760061006c00690074006500740020007000e500200062006f007200640073006b0072006900760065007200200065006c006c00650072002000700072006f006f006600650072002e0020005000440046002d0064006f006b0075006d0065006e00740065006e00650020006b0061006e002000e50070006e00650073002000690020004100630072006f00620061007400200065006c006c00650072002000410064006f00620065002000520065006100640065007200200035002e003000200065006c006c00650072002000730065006e006500720065002egt POL ltFEFF0055007300740061007700690065006e0069006100200064006f002000740077006f0072007a0065006e0069006100200064006f006b0075006d0065006e007400f3007700200050004400460020007a002000770079017c0073007a010500200072006f007a0064007a00690065006c0063007a006f015b0063006901050020006f006200720061007a006b00f30077002c0020007a0061007000650077006e00690061006a0105006301050020006c006500700073007a01050020006a0061006b006f015b0107002000770079006400720075006b00f30077002e00200044006f006b0075006d0065006e0074007900200050004400460020006d006f017c006e00610020006f007400770069006500720061010700200077002000700072006f006700720061006d006900650020004100630072006f00620061007400200069002000410064006f00620065002000520065006100640065007200200035002e0030002000690020006e006f00770073007a0079006d002egt PTB ltFEFF005500740069006c0069007a006500200065007300730061007300200063006f006e00660069006700750072006100e700f50065007300200064006500200066006f0072006d00610020006100200063007200690061007200200064006f00630075006d0065006e0074006f0073002000410064006f0062006500200050004400460020007000610072006100200069006d0070007200650073007300f5006500730020006400650020007100750061006c0069006400610064006500200065006d00200069006d00700072006500730073006f0072006100730020006400650073006b0074006f00700020006500200064006900730070006f00730069007400690076006f0073002000640065002000700072006f00760061002e0020004f007300200064006f00630075006d0065006e0074006f00730020005000440046002000630072006900610064006f007300200070006f00640065006d0020007300650072002000610062006500720074006f007300200063006f006d0020006f0020004100630072006f006200610074002000650020006f002000410064006f00620065002000520065006100640065007200200035002e0030002000650020007600650072007300f50065007300200070006f00730074006500720069006f007200650073002egt RUM ltFEFF005500740069006c0069007a00610163006900200061006300650073007400650020007300650074010300720069002000700065006e007400720075002000610020006300720065006100200064006f00630075006d0065006e00740065002000410064006f006200650020005000440046002000700065006e007400720075002000740069007001030072006900720065002000640065002000630061006c006900740061007400650020006c006100200069006d007000720069006d0061006e007400650020006400650073006b0074006f00700020015f0069002000700065006e0074007200750020007600650072006900660069006300610074006f00720069002e002000200044006f00630075006d0065006e00740065006c00650020005000440046002000630072006500610074006500200070006f00740020006600690020006400650073006300680069007300650020006300750020004100630072006f006200610074002c002000410064006f00620065002000520065006100640065007200200035002e00300020015f00690020007600650072007300690075006e0069006c006500200075006c0074006500720069006f006100720065002egt RUS ltFEFF04180441043f043e043b044c04370443043904420435002004340430043d043d044b04350020043d0430044104420440043e0439043a043800200434043b044f00200441043e043704340430043d0438044f00200434043e043a0443043c0435043d0442043e0432002000410064006f006200650020005000440046002c0020043f044004350434043d04300437043d043004470435043d043d044b044500200434043b044f0020043a0430044704350441044204320435043d043d043e04390020043f043504470430044204380020043d04300020043d043004410442043e043b044c043d044b04450020043f04400438043d044204350440043004450020043800200443044104420440043e04390441044204320430044500200434043b044f0020043f043e043b044304470435043d0438044f0020043f0440043e0431043d044b04450020043e0442044204380441043a043e0432002e002000200421043e043704340430043d043d044b04350020005000440046002d0434043e043a0443043c0435043d0442044b0020043c043e0436043d043e00200020043e0442043a0440044b043204300442044c002004410020043f043e043c043e0449044c044e0020004100630072006f00620061007400200438002000410064006f00620065002000520065006100640065007200200035002e00300020043800200431043e043b043504350020043f043e04370434043d043804450020043204350440044104380439002egt SKY ltFEFF0054006900650074006f0020006e006100730074006100760065006e0069006100200070006f0075017e0069007400650020006e00610020007600790074007600e100720061006e0069006500200064006f006b0075006d0065006e0074006f0076002000410064006f00620065002000500044004600200070007200650020006b00760061006c00690074006e00fa00200074006c0061010d0020006e0061002000730074006f006c006e00fd0063006800200074006c0061010d00690061007201480061006300680020006100200074006c0061010d006f007600fd006300680020007a006100720069006100640065006e0069006100630068002e00200056007900740076006f00720065006e00e900200064006f006b0075006d0065006e007400790020005000440046002000620075006400650020006d006f017e006e00e90020006f00740076006f00720069016500200076002000700072006f006700720061006d006f006300680020004100630072006f00620061007400200061002000410064006f00620065002000520065006100640065007200200035002e0030002000610020006e006f0076016100ed00630068002e000d000agt SLV ltFEFF005400650020006e006100730074006100760069007400760065002000750070006f0072006100620069007400650020007a00610020007500730074007600610072006a0061006e006a006500200064006f006b0075006d0065006e0074006f0076002000410064006f0062006500200050004400460020007a00610020006b0061006b006f0076006f00730074006e006f0020007400690073006b0061006e006a00650020006e00610020006e0061006d0069007a006e006900680020007400690073006b0061006c006e0069006b0069006800200069006e0020007000720065007600650072006a0061006c006e0069006b00690068002e00200020005500730074007600610072006a0065006e006500200064006f006b0075006d0065006e0074006500200050004400460020006a00650020006d006f0067006f010d00650020006f0064007000720065007400690020007a0020004100630072006f00620061007400200069006e002000410064006f00620065002000520065006100640065007200200035002e003000200069006e0020006e006f00760065006a01610069006d002egt SUO ltFEFF004b00e40079007400e40020006e00e40069007400e4002000610073006500740075006b007300690061002c0020006b0075006e0020006c0075006f0074002000410064006f0062006500200050004400460020002d0064006f006b0075006d0065006e007400740065006a00610020006c0061006100640075006b006100730074006100200074007900f6007000f60079007400e400740075006c006f0073007400750073007400610020006a00610020007600650064006f007300740075007300740061002000760061007200740065006e002e00200020004c0075006f0064007500740020005000440046002d0064006f006b0075006d0065006e00740069007400200076006f0069006400610061006e0020006100760061007400610020004100630072006f0062006100740069006c006c00610020006a0061002000410064006f00620065002000520065006100640065007200200035002e0030003a006c006c00610020006a006100200075007500640065006d006d0069006c006c0061002egt SVE ltFEFF0041006e007600e4006e00640020006400650020006800e4007200200069006e0073007400e4006c006c006e0069006e006700610072006e00610020006f006d002000640075002000760069006c006c00200073006b006100700061002000410064006f006200650020005000440046002d0064006f006b0075006d0065006e00740020006600f600720020006b00760061006c00690074006500740073007500740073006b0072006900660074006500720020007000e5002000760061006e006c00690067006100200073006b0072006900760061007200650020006f006300680020006600f600720020006b006f007200720065006b007400750072002e002000200053006b006100700061006400650020005000440046002d0064006f006b0075006d0065006e00740020006b0061006e002000f600700070006e00610073002000690020004100630072006f0062006100740020006f00630068002000410064006f00620065002000520065006100640065007200200035002e00300020006f00630068002000730065006e006100720065002egt TUR ltFEFF004d00610073006100fc0073007400fc002000790061007a013100630131006c006100720020007600650020006200610073006b01310020006d0061006b0069006e0065006c006500720069006e006400650020006b0061006c006900740065006c00690020006200610073006b013100200061006d0061006301310079006c0061002000410064006f006200650020005000440046002000620065006c00670065006c0065007200690020006f006c0075015f007400750072006d0061006b0020006900e70069006e00200062007500200061007900610072006c0061007201310020006b0075006c006c0061006e0131006e002e00200020004f006c0075015f0074007500720075006c0061006e0020005000440046002000620065006c00670065006c0065007200690020004100630072006f006200610074002000760065002000410064006f00620065002000520065006100640065007200200035002e003000200076006500200073006f006e0072006100730131006e00640061006b00690020007300fc007200fc006d006c00650072006c00650020006100e70131006c006100620069006c00690072002egt UKR ltFEFF04120438043a043e0440043804410442043e043204430439044204350020044604560020043f043004400430043c043504420440043800200434043b044f0020044104420432043e04400435043d043d044f00200434043e043a0443043c0435043d044204560432002000410064006f006200650020005000440046002c0020044f043a04560020043d04300439043a04400430044904350020043f045604340445043e0434044f0442044c00200434043b044f0020043204380441043e043a043e044f043a04560441043d043e0433043e0020043404400443043a04430020043d04300020043d0430044104420456043b044c043d043804450020043f04400438043d044204350440043004450020044204300020043f04400438044104420440043e044f044500200434043b044f0020043e044204400438043c0430043d043d044f0020043f0440043e0431043d0438044500200437043e04310440043004360435043d044c002e00200020042104420432043e04400435043d045600200434043e043a0443043c0435043d0442043800200050004400460020043c043e0436043d04300020043204560434043a0440043804420438002004430020004100630072006f006200610074002004420430002000410064006f00620065002000520065006100640065007200200035002e0030002004300431043e0020043f04560437043d04560448043e04570020043204350440044104560457002egt ENU ltFEFF005500730065002000740068006500730065002000730065007400740069006e0067007300200074006f0020006300720065006100740065002000410064006f0062006500200050004400460020006200610073006500640020006f006e0020007400680065002000640065006600610075006c0074002000580069006c0069006e007800200070006100720061006d0065007400650072002000730065007400740069006e0067007300200064006500660069006e00650064002000620079002000500053003000300034002e002000460069006c0065006e0061006d0065003a002000780069006c0069006e0078002e006a006f0062006f007000740069006f006e0073002e000d0043006f006d007000610074006100620069006c006900740079003a0020004100630072006f00620061007400200037002e0030002000280050004400460031002e00360029002e0020005500730065003a00200046006f00720020006300720065006100740069006e0067002000460069006e0061006c002c0020005000750062006c0069007300680065006400200050004400460073002e000d0043007200650061007400650064002000310030002f00310032002f003100310020006200790020006a0066006f007200730074002egt gtgt Namespace [ (Adobe) (Common) (10) ] OtherNamespaces [ ltlt AsReaderSpreads false CropImagesToFrames true ErrorControl WarnAndContinue FlattenerIgnoreSpreadOverrides false IncludeGuidesGrids false IncludeNonPrinting false IncludeSlug false Namespace [ (Adobe) (InDesign) (40) ] OmitPlacedBitmaps false OmitPlacedEPS false OmitPlacedPDF false SimulateOverprint Legacy gtgt ltlt AddBleedMarks false AddColorBars false AddCropMarks false AddPageInfo false AddRegMarks false ConvertColors NoConversion DestinationProfileName () DestinationProfileSelector NA Downsample16BitImages true FlattenerPreset ltlt PresetSelector MediumResolution gtgt FormElements false GenerateStructure true IncludeBookmarks false IncludeHyperlinks false IncludeInteractive false IncludeLayers false IncludeProfiles true MultimediaHandling UseObjectSettings Namespace [ (Adobe) (CreativeSuite) (20) ] PDFXOutputIntentProfileSelector NA PreserveEditing true UntaggedCMYKHandling LeaveUntagged UntaggedRGBHandling LeaveUntagged UseDocumentBleed false gtgt ]gtgt setdistillerparamsltlt HWResolution [1200 1200] PageSize [612000 792000]gtgt setpagedevice

Page 18: Xilinx UG883 Kintex-7 FPGA KC705 Evaluation Kit Getting ...€¦ · Updated step 2 and step 3 in Requirements to Get Started, page 14. ... KC705 Getting Started Guide 9 UG883 (v3.0)

18 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

bull Provides an AXI4-Stream interface on the back end

bull Monitors the performance of data transfers in receive and transmit directions

bull Provides an AXI4 memory-mapped target interface to access user-defined registers

Note The Northwest Logic Packet DMA shipped with the Base TRD is an evaluation version and expires after 12 hours of run time To get the full version contact Northwest Logic [Ref 7]

bull Multiport Virtual FIFO

bull DDR3 SDRAM SODIMM (64-bit 1600 Mbs 800 MHz) is used for buffering packets The memory controller delivered through the memory interface generator (MIG) tool interfaces to the DDR3 memory

bull AXI Interconnect IP along with the memory controller supports multiple ports on the memory

bull The Packetized Virtual FIFO controller controls addressing of the DDR3 memory for each port allowing DDR3 to be used as Virtual Packet FIFO

bull Software driver for a 32-bit Linux platform

bull Configures the hardware design parameters

bull Generates and consumes traffic

bull Provides a GUI to report status and performance statistics

The Kintex-7 FPGA integrated Endpoint block for PCI Express and the Packet DMA are responsible for data transfers from host system to Endpoint card (S2C) and Endpoint card to host system (C2S) Data to and from the host is stored in a Virtual FIFO built around the DDR3 memory This Multiport Virtual FIFO abstraction layer around the DDR3 memory allows the user to move traffic efficiently without the need to manage addressing and arbitration on the memory interface It also provides more depth than storage implemented using Block RAMs

The integrated Endpoint block for PCI Express Packet DMA and Multiport Virtual FIFO form the base system The base system can bridge the host to any user application running on the other end The raw data packet module is a dummy application that generates and consumes packets It can be replaced by any user specific protocol like Aurora or XAUI

The software driver runs on the host system It generates raw data traffic for transmit operations in the S2C direction It also consumes the data looped back or generated at the application end in the C2S direction

Hardware Test Setup RequirementsThese are the prerequisites for testing the design in hardware

bull KC705 Evaluation board with the Kintex-7 FPGA XC7K325T-2FFG900C device

bull Design files provided as a zipped collection under the Docs amp Designs tab at wwwxilinxcomkc705 include

bull Design source files

bull Device driver files

bull Board design files

bull Documentation

bull Vivado Design Suite

bull ISE Programming tools or LabTools

KC705 Getting Started Guide wwwxilinxcom 19UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

bull Micro USB cable

bull 4-pin to 6-pin PCIe adapter cable

bull Fedora 16 Live DVD [Ref 6]

bull PC with PCIe v20 slot For a complete list of machines tested and all known issues refer to the Kintex-7 FPGA Base Targeted Reference Design Release Notes and Known Issues Master Answer Record (httpwwwxilinxcomsupportanswers45679htm) This PC could also have Fedora Core 16 Linux OS installed on it

TRD Demonstration SetupThis section describes hardware setup and use of the application GUI to help the user get started quickly with the design in hardware It provides a step-by-step explanation of hardware bring-up and describes using the application GUI

When following the demonstration setup steps for the Kintex-7 FPGA Base TRD if the behavior is not as described refer to the known issues at wwwxilinxcomsupportanswers45679htm

Board Setup

This section describes how to set up the KC705 Evaluation board required to demonstrate the TRD

1 Set the KC705 Jumpers and Switches Verify that the KC705 Evaluation board jumpers and switches are set as shown in Table 2 and Figure 11

Table 2 Switch and Jumper Settings

Jumper Function Setting

J32 PCIe configuration width mdash 4 lane design Jump 3-4

Switch Function or Type Setting

SW15 Board power slide-switch Off

SW11 User GPIO DIP switch

4 Off

3 Off

2 Off

1 Off

S13 DIP switch SW13 positions 1 and 2 control the setting of address bits of the flashDIP switch SW13 positions 3 4 and 5 control which configuration mode

5 (M0) M2 =0 M1=1 M0=0 ndash Master BPIM2 =0 M1=0 M0=1 ndash Master SPIM2 =1 M1=0 M0=1 ndash JTAG

Off

4 (M1) On

3 (M2) Off

2 Off

1 Off

20 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

Hardware Bring-Up

This section presents steps for hardware bring-up

1 With the host system switched off insert the KC705 board in the PCIe slot through the PCI Express x8 or x16 edge connector (Figure 12)

The TRD programmed on the KC705 board has a 4-lane PCIe v20 configuration running at a 5 Gbs link rate per lane The PCI Express specification allows for a smaller lane width Endpoint to be installed into a larger lane width PCIe connector

2 Figure 13 shows the 12V power connection Connect the 12V ATX power supplyrsquos available 4-pin connector to the board (J49) via a 4-pin to 6-pin PCIe adapter cable Toggle the Power switch SW15 to the ON position

X-Ref Target - Figure 11

Figure 11 Switch and Jumper Settings

UG883_11_040913

J27 J28

J29 J30SW13

J32

SW15SW11

X-Ref Target - Figure 12if

Figure 12 KC705 Board Plugged into a PCIe x16 Slot

UG883_12_040913

KC705 Getting Started Guide wwwxilinxcom 21UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

3 Make sure the connections are tight and then power on the PC system

Note If the user wishes to boot Linux from the Fedora 16 Live DVD place the DVD in the PCrsquos DVD-ROM drive as soon as the PC system is powered on

4 Verify the status of the design on the KC705 LEDs The design provides status on the GPIO LEDs on the upper right of the KC705 board (Figure 14) After the PC system is powered on and the TRD has successfully configured status LEDs from right to left should indicate

bull LED 0 mdash ON if the PCIe link is up

bull LED 1 mdash Flashes if the PCIe user clock is present

bull LED 2 mdash ON if lane width is what is expected else it flashes (for a 4 lane design the expected lane width is 4 for an 8 lane design the expected lane width is 8)

bull LED 3 mdash ON if memory calibration is done

bull LED 4 to LED 7 mdash Not connected

X-Ref Target - Figure 13

Figure 13 Power Supply Connection

UG883_13_121112

SW15

J49 6-pinConnector

12V ATX PowerSupply Pluggedinto the 4-pinConnector

22 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

Install the Linux Driver

1 If Fedora 16 is installed on the PC systemrsquos hard disk boot as a root-privileged user and skip to step 3 page 23

2 To boot from the Fedora 16 Live DVD provided in the kit place the DVD in the PCrsquos DVD-ROM drive The Fedora 16 Live Media is for Intel-compatible PCs The DVD contains a complete bootable 32-bit Fedora 16 environment with the proper packages installed for the TRD demonstration environment For more details see Fedora Information page 2 The PC boots from the DVD-ROM drive and logs into a liveuser account This account has kernel development root privileges required to install and remove device driver modules

Note Users might have to adjust BIOS boot order settings to make sure that the DVD-ROM drive is the first drive in the boot order To enter the BIOS menu to set the boot order press the DEL or F2 key when the system is powered on Set the boot order and save the changes (The DEL or F2 key is used by most PC systems to enter the BIOS setup Some PCs might have a different way to enter the BIOS setup)

The PC should boot from the DVD-ROM drive The images in Figure 15 are seen on the monitor during boot up

X-Ref Target - Figure 14

Figure 14 Location of GPIO Status LEDs (Indicates TRD Status)

UG883_14_121112

KC705 Getting Started Guide wwwxilinxcom 23UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

3 After Fedora 16 Core boots open a terminal window (click Activities click Application scroll down and click the Terminal icon) To find out if the PCIe Endpoint is detected at the terminal command line type

$ lspci

The lspci command displays the devices in the PCI and PCI Express buses of the PC On the bus of the KC705 card slot is the message

Communication controller Xilinx Corporation Device 7042

This message confirms that the design programmed into the KC705 board has been found by the BIOS and the Fedora 16 OS The bus number varies depending on which PC motherboard and slot are used Figure 16 shows a lspci output for an example system Xilinx device 7042 has been found by the BIOS on bus number 2 (02000 - busdevfunction)

X-Ref Target - Figure 15

Figure 15 Fedora 16 Live DVD BootingFirst Screen Last Boot Screen Booted

UG883 15 040913

X-Ref Target - Figure 16

Figure 16 PCI and PCI Express Bus Devices

UG883_16_040913

24 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

4 Download the reference design from the Docs amp Designs tab at wwwxilinxcomkc705 and copy the k7_pcie_dma_ddr3_base folder into any directory

5 To set up and run the TRD demonstration the software driver should be installed on the PC system Software driver installation involves

a Building the kernel objects and the GUI

b Inserting the driver modules into the kernel

After the driver modules are loaded the application GUI can be invoked The user can set parameters through the GUI and run the TRD

When the user is done running the TRD the application GUI can be closed and the drivers can be removed

A script is provided to execute all the above actions so that the user can quickly start the TRD

The k7_trd_lin_quickstart script is available in the k7_pcie_dma_ddr3_base folder Right click the script select properties go to the permissions tab check the box Allow executing file as programmdashthis makes the script executable Close the window

To run the script double-click k7_trd_lin_quickstart in the k7_pcie_dma_ddr3_base folder (Figure 17) The window prompt in Figure 18 appears

X-Ref Target - Figure 17

Figure 17 Load Driver and Launch Application GUI

UG883_17_121112

KC705 Getting Started Guide wwwxilinxcom 25UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

Click Run in Terminal to proceed The application GUI is invoked

Proceed to the next section Using the Application GUI to set design parameters and run the TRD

X-Ref Target - Figure 18

Figure 18 Run in Terminal

UG883_18_040913

26 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

Using the Application GUI

When the drivers are loaded and the Performance Monitor GUI is invoked the user can configure the sending and receiving of data The GUI allows the user to observe the collected statistics and other status information

1 Click the System Status tab to verify the status of the KC705 board and the PCIe link (see Figure 19 and Table 3)

X-Ref Target - Figure 19

Figure 19 Verify Board Status in the Performance Monitor

UG883_19_121112

KC705 Getting Started Guide wwwxilinxcom 27UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

2 To start data traffic on the two data paths

a Click Start Test on Raw Data Path0 as shown in Figure 20 This enables the driver to start generating the data for Raw Data Path0

b Click Start Test on Raw Data Path1 as shown in Figure 20 This enables the driver to start generating the data for Raw Data Path1

Table 3 KC705 Board Status Field Explanations

Field Status Explanation

Link Status Up This confirms that the PCIe link is up and a PCIe connection is established between the Kintex-7 FPGA Endpoint for PCI Express and the PC motherboard chipset

Link Speed 50 Gbs This confirms that the PCIe link is operating at line rate speed per PCI Express v20

Link Width x4 This confirms that the PCIe link is trained as a x4 link

28 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

3 Verify TRD operations through the status information provided by the GUI (see Figure 21)

a Verify the PCIe throughput

b Verify the DMA channel throughput for the Raw Data Path0

c Verify the DMA channel throughput for the Raw Data Path1

d Verify there are no buffer descriptor errors for error-free operation

X-Ref Target - Figure 20

Figure 20 Start Data Traffic from the Performance Monitor

UG883_20_121112

KC705 Getting Started Guide wwwxilinxcom 29UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

The Kintex-7 FPGA PCIe-DMA TRD is now set up and running Close the Application GUI to unload the software drivers and stop traffic flow

X-Ref Target - Figure 21

Figure 21 Verify Error-Free Operation in the Performance Monitor

UG883_21_121112

30 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

Evaluating the Kintex-7 FPGA Base TRDThe Kintex-7 FPGA Base TRD provides a Performance and Status monitor application and GUI The application enables customers to evaluate different system parameters This section demonstrates performance variances for the PCI Express and DMA interfaces based on the parameters set

To evaluate the Kintex-7 FPGA Base TRD

1 Launch the Performance Monitor for the Kintex-7 FPGA Base TRD

a Navigate to the k7_pcie_dma_ddr3_base folder

b Double-click k7_lin_trd_quickstart (Figure 22)mdashmake sure that the script has executable permissionmdashto launch the Performance Monitor and Status GUI

c A window prompt appears as shown in Figure 23 Click Run in Terminal to proceed

2 Set up the test parameters in the Performance Monitor

a Two data paths are available Raw Data Path0 and Raw Data Path1 On each path set the Packet Size to a value between 64 ndash 32768 bytes

X-Ref Target - Figure 22

Figure 22 Launch the Performance Monitor and Status GUI

UG883_22_121112

X-Ref Target - Figure 23

Figure 23 Run k7_lin_trd_quickstart

UG883_23_121112

KC705 Getting Started Guide wwwxilinxcom 31UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

3 Execute the test and view payload statistics in the Performance and Status Monitor (see Figure 24)

a Click Start Test to start the performance test

b Click the Payload Statistics tab to view data transfers on the DMA channels

c Click Stop Test to stop data traffic

d Vary the Packet Size parameters for the Raw Data Paths (see Figure 25) and click Start Test Then view the payload statistics to review data transfer rate on the DMA channels With a decrease in packet size the performance drops

Note Before changing packet size click Stop Test change the size and then click Start Test

X-Ref Target - Figure 24

Figure 24 Payload Statistics

UG883_24_121112

32 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

Note For packet sizes equal to 64 or 128 bytes the throughput is reduced and might not be visible on the Payload Statistics tab The exact values can be viewed on the System Status tab

4 Click the PCIe Statistics tab to view data transfer numbers with varying packet sizes on the PCIe interface (Figure 26)

X-Ref Target - Figure 25

Figure 25 Effect of Varying Packet Sizes on Performance

UG883_25_121112

KC705 Getting Started Guide wwwxilinxcom 33UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

The system performance of the Kintex-7 FPGA Base TRD has now been evaluated using the pre-built demonstration design bit file

Now that the Kintex-7 FPGA Base TRD demonstration has been set up and evaluated the design can be modified Before the design can be modified make sure to install the Vivado Design Suite on a PC It is not required that tools be installed on the PC system in which the KC705 evaluation board is plugged in by way of the PCIe edge connector

X-Ref Target - Figure 26

Figure 26 PCIe Statistics in the Performance Monitor

UG883_26_121112

34 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

KC705 Getting Started Guide wwwxilinxcom 35UG883 (v30) April 16 2013

Appendix A

Additional Resources

Xilinx ResourcesFor support resources such as Answers Documentation Downloads and Forums see the Xilinx Support website at

wwwxilinxcomsupport

For continual updates add the Answer Record to your myAlerts

wwwxilinxcomsupportmyalerts

For a glossary of technical terms used in Xilinx documentation see

wwwxilinxcomcompanytermshtm

Solution CentersSee the Xilinx Solution Centers for support on devices software tools and intellectual property at all stages of the design cycle Topics include design assistance advisories and troubleshooting tips

Further ResourcesThe most up to date information related to the KC705 board and its documentation is available on the following websites

The Kintex-7 FPGA KC705 Evaluation Kit Product Page

wwwxilinxcomkc705

The Kintex-7 FPGA KC705 Evaluation Kit Master Answer Record

wwwxilinxcomsupportanswers47787htm

Design advisories by software release for the Kintex-7 FPGA KC705 Evaluation Kit

wwwxilinxcomsupportnav=sd-nav-link-179661amptab=tab-bk

Kintex-7 FPGA Base Targeted Reference Design Release Notes and Known Issues Master Answer Record

wwwxilinxcomsupportanswers45679htm

These documents provide supplemental material useful with this user guide

UG810 KC705 Evaluation Board for the Kintex-7 FPGA User Guide

UG845 KC705 Reference Design User Guide

36 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Appendix A Additional Resources

UG798 Xilinx Design Tools Installation and Licensing Guide Vivado Design Suite and ISE Design Suite

UG908 Vivado Design Suite User Guide Programming and Debugging

UG882 Kintex-7 FPGA KC705 Base Targeted Reference Design User Guide (Vivado Design Suite 20124)

UG886 AMS101 Evaluation Card User Guide

UG477 7 Series FPGAs Integrated Block for PCI Express User Guide

ReferencesThe following websites provide supplemental material useful with this guide

1 LabVIEW 32-bit Run-Time Enginehttpjoulenicomniducdsviewpid2534langen

2 LabVIEW 64-bit Run-Time Engine httpjoulenicomniducdsviewpid2536langen

3 Tera Term Pro program httphpvectorcojpauthorsVA002416teratermhtml

4 Drivers on the Silicon Labs site httpwwwsilabscomSupport20DocumentsSoftwareCP210x_VCP_Win_XP_S2K3_Vista_7exe

5 Northwest Logic DMA back end core httpwwwnwlogiccompacketdma

6 Fedora project httpfedoraprojectorg

Fedora is a Linux-based operating system used in the development of this TRD

7 The GTK+ project API documentation httpwwwgtkorgdocumentationphp

GTK+ is a toolkit for creating graphical user interfaces (GUI)

KC705 Getting Started Guide wwwxilinxcom 37UG883 (v30) April 16 2013

Appendix B

Warranty

THIS LIMITED WARRANTY applies solely to standard hardware development boards and standard hardware programming cables manufactured by or on behalf of Xilinx (ldquoDevelopment Systemsrdquo) Subject to the limitations herein Xilinx warrants that Development Systems when delivered by Xilinx or its authorized distributor for ninety (90) days following the delivery date will be free from defects in material and workmanship and will substantially conform to Xilinx publicly available specifications for such products in effect at the time of delivery This limited warranty excludes (i) engineering samples or beta versions of Development Systems (which are provided ldquoAS ISrdquo without warranty) (ii) design defects or errors known as ldquoerratardquo (iii) Development Systems procured through unauthorized third parties and (iv) Development Systems that have been subject to misuse mishandling accident alteration neglect unauthorized repair or installation Furthermore this limited warranty shall not apply to the use of covered products in an application or environment that is not within Xilinx specifications or in the event of any act error neglect or default of Customer For any breach by Xilinx of this limited warranty the exclusive remedy of Customer and the sole liability of Xilinx shall be at the option of Xilinx to replace or repair the affected products or to refund to Customer the price of the affected products The availability of replacement products is subject to product discontinuation policies at Xilinx Customer may not return product without first obtaining a customer return material authorization (RMA) number from XilinxTHE WARRANTIES SET FORTH HEREIN ARE EXCLUSIVE XILINX DISCLAIMS ALL OTHER WARRANTIES WHETHER EXPRESS IMPLIED OR STATUTORY INCLUDING WITHOUT LIMITATION ANY WARRANTY OF MERCHANTABILITY FITNESS FOR A PARTICULAR PURPOSE OR NON-INFRINGEMENT AND ANY WARRANTY THAT MAY ARISE FROM COURSE OF DEALING COURSE OF PERFORMANCE OR USAGE OF TRADE (200810)

Do not throw Xilinx products marked with the ldquocrossed out wheeled binrdquo in the trash Directive 200296EC on waste electrical and electronic equipment (WEEE) requires the separate collection of WEEE Your cooperation is essential in ensuring the proper management of WEEE and the protection of the environment and human health from potential effects arising from the presence of hazardous substances in WEEE Return the marked products to Xilinx for proper disposal Further information and instructions for free-of-charge return available at httpwwwxilinxcomehsweeehtm

38 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Appendix B Warranty

  • Kintex-7 FPGA KC705 Evaluation Kit (Vivado Design Suite 20131)
    • Revision History
    • Table of Contents
    • Getting Started with the Kintex-7 FPGA KC705 Evaluation Kit (Vivado Design Suite 20131)
      • Introduction
      • Kit Contents
      • Basic Hardware Bring-up Using the BIST
        • Hardware Test Setup Requirements
          • Hardware Test Board Setup Requirements
            • Hardware Bring-Up
            • Run the BIST Application
              • AMS Bring-up with the AMS101 Evaluation Card
                • Requirements to Get Started
                • Evaluating AMS
                  • Advanced Bring-up Using the Base Targeted Reference Design
                    • Components
                    • Hardware Test Setup Requirements
                    • TRD Demonstration Setup
                    • Evaluating the Kintex-7 FPGA Base TRD
                        • Additional Resources
                          • Xilinx Resources
                          • Solution Centers
                          • Further Resources
                          • References
                            • Warranty
                                • ltlt ASCII85EncodePages false AllowTransparency false AutoPositionEPSFiles true AutoRotatePages All Binding Left CalGrayProfile (Dot Gain 20) CalRGBProfile (sRGB IEC61966-21) CalCMYKProfile (US Web Coated 050SWOP051 v2) sRGBProfile (sRGB IEC61966-21) CannotEmbedFontPolicy Warning CompatibilityLevel 16 CompressObjects Tags CompressPages true ConvertImagesToIndexed true PassThroughJPEGImages true CreateJobTicket false DefaultRenderingIntent Default DetectBlends true DetectCurves 00000 ColorConversionStrategy LeaveColorUnchanged DoThumbnails false EmbedAllFonts true EmbedOpenType false ParseICCProfilesInComments true EmbedJobOptions true DSCReportingLevel 0 EmitDSCWarnings false EndPage -1 ImageMemory 1048576 LockDistillerParams true MaxSubsetPct 100 Optimize true OPM 1 ParseDSCComments true ParseDSCCommentsForDocInfo true PreserveCopyPage true PreserveDICMYKValues true PreserveEPSInfo true PreserveFlatness true PreserveHalftoneInfo false PreserveOPIComments false PreserveOverprintSettings true StartPage 1 SubsetFonts true TransferFunctionInfo Apply UCRandBGInfo Preserve UsePrologue false ColorSettingsFile () AlwaysEmbed [ true ] NeverEmbed [ true ] AntiAliasColorImages false CropColorImages true ColorImageMinResolution 300 ColorImageMinResolutionPolicy OK DownsampleColorImages false ColorImageDownsampleType Bicubic ColorImageResolution 300 ColorImageDepth -1 ColorImageMinDownsampleDepth 1 ColorImageDownsampleThreshold 150000 EncodeColorImages true ColorImageFilter DCTEncode AutoFilterColorImages true ColorImageAutoFilterStrategy JPEG ColorACSImageDict ltlt QFactor 040 HSamples [1 1 1 1] VSamples [1 1 1 1] gtgt ColorImageDict ltlt QFactor 015 HSamples [1 1 1 1] VSamples [1 1 1 1] gtgt JPEG2000ColorACSImageDict ltlt TileWidth 256 TileHeight 256 Quality 30 gtgt JPEG2000ColorImageDict ltlt TileWidth 256 TileHeight 256 Quality 30 gtgt AntiAliasGrayImages false CropGrayImages true GrayImageMinResolution 300 GrayImageMinResolutionPolicy OK DownsampleGrayImages false GrayImageDownsampleType Bicubic GrayImageResolution 300 GrayImageDepth -1 GrayImageMinDownsampleDepth 2 GrayImageDownsampleThreshold 150000 EncodeGrayImages true GrayImageFilter DCTEncode AutoFilterGrayImages true GrayImageAutoFilterStrategy JPEG GrayACSImageDict ltlt QFactor 040 HSamples [1 1 1 1] VSamples [1 1 1 1] gtgt GrayImageDict ltlt QFactor 015 HSamples [1 1 1 1] VSamples [1 1 1 1] gtgt JPEG2000GrayACSImageDict ltlt TileWidth 256 TileHeight 256 Quality 30 gtgt JPEG2000GrayImageDict ltlt TileWidth 256 TileHeight 256 Quality 30 gtgt AntiAliasMonoImages false CropMonoImages true MonoImageMinResolution 1200 MonoImageMinResolutionPolicy OK DownsampleMonoImages false MonoImageDownsampleType Bicubic MonoImageResolution 1200 MonoImageDepth -1 MonoImageDownsampleThreshold 150000 EncodeMonoImages true MonoImageFilter CCITTFaxEncode MonoImageDict ltlt K -1 gtgt AllowPSXObjects false CheckCompliance [ None ] PDFX1aCheck false PDFX3Check false PDFXCompliantPDFOnly false PDFXNoTrimBoxError true PDFXTrimBoxToMediaBoxOffset [ 000000 000000 000000 000000 ] PDFXSetBleedBoxToMediaBox true PDFXBleedBoxToTrimBoxOffset [ 000000 000000 000000 000000 ] PDFXOutputIntentProfile (None) PDFXOutputConditionIdentifier () PDFXOutputCondition () PDFXRegistryName () PDFXTrapped False CreateJDFFile false Description ltlt ARA ltFEFF06270633062A062E062F0645002006470630064700200627064406250639062F0627062F0627062A002006440625064606340627062100200648062B062706260642002000410064006F00620065002000500044004600200645062A0648062706410642062900200644064406370628062706390629002006300627062A002006270644062C0648062F0629002006270644063906270644064A06290020064506460020062E06440627064400200627064406370627062806390627062A00200627064406450643062A0628064A062900200623064800200623062C06470632062900200625062C06310627062100200627064406280631064806410627062A061B0020064A06450643064600200641062A062D00200648062B0627062606420020005000440046002006270644064506460634062306290020062806270633062A062E062F062706450020004100630072006F0062006100740020064800410064006F006200650020005200650061006400650072002006250635062F0627063100200035002E0030002006480627064406250635062F062706310627062A0020062706440623062D062F062B002E0020064506390020005000440046002F0041060C0020062706440631062C062706210020064506310627062C063906290020062F0644064A0644002006450633062A062E062F06450020004100630072006F006200610074061B0020064A06450643064600200641062A062D00200648062B0627062606420020005000440046002006270644064506460634062306290020062806270633062A062E062F062706450020004100630072006F0062006100740020064800410064006F006200650020005200650061006400650072002006250635062F0627063100200035002E0030002006480627064406250635062F062706310627062A0020062706440623062D062F062B002Egt BGR ltFEFF04180437043f043e043b043704320430043904420435002004420435043704380020043d0430044104420440043e0439043a0438002c00200437043000200434043000200441044a0437043404300432043004420435002000410064006f00620065002000500044004600200434043e043a0443043c0435043d044204380020043704300020043a0430044704350441044204320435043d0020043f04350447043004420020043d04300020043d043004410442043e043b043d04380020043f04400438043d04420435044004380020043800200443044104420440043e043904410442043204300020043704300020043f04350447043004420020043d04300020043f0440043e0431043d04380020044004300437043f0435044704300442043a0438002e002000200421044a04370434043004340435043d043804420435002000500044004600200434043e043a0443043c0435043d044204380020043c043e0433043004420020043404300020044104350020043e0442043204300440044f0442002004410020004100630072006f00620061007400200438002000410064006f00620065002000520065006100640065007200200035002e00300020043800200441043b0435043404320430044904380020043204350440044104380438002egt CHS ltFEFF4f7f75288fd94e9b8bbe5b9a521b5efa7684002000500044004600206587686353ef901a8fc7684c976262535370673a548c002000700072006f006f00660065007200208fdb884c9ad88d2891cf62535370300260a853ef4ee54f7f75280020004100630072006f0062006100740020548c002000410064006f00620065002000520065006100640065007200200035002e003000204ee553ca66f49ad87248672c676562535f00521b5efa768400200050004400460020658768633002gt CHT ltFEFF4f7f752890194e9b8a2d7f6e5efa7acb7684002000410064006f006200650020005000440046002065874ef653ef5728684c9762537088686a5f548c002000700072006f006f00660065007200204e0a73725f979ad854c18cea7684521753706548679c300260a853ef4ee54f7f75280020004100630072006f0062006100740020548c002000410064006f00620065002000520065006100640065007200200035002e003000204ee553ca66f49ad87248672c4f86958b555f5df25efa7acb76840020005000440046002065874ef63002gt CZE ltFEFF005400610074006f0020006e006100730074006100760065006e00ed00200070006f0075017e0069006a007400650020006b0020007600790074007600e101590065006e00ed00200064006f006b0075006d0065006e0074016f002000410064006f006200650020005000440046002000700072006f0020006b00760061006c00690074006e00ed0020007400690073006b0020006e0061002000730074006f006c006e00ed006300680020007400690073006b00e10072006e00e100630068002000610020006e00e1007400690073006b006f007600fd006300680020007a0061015900ed007a0065006e00ed00630068002e002000200056007900740076006f01590065006e00e900200064006f006b0075006d0065006e007400790020005000440046002000620075006400650020006d006f017e006e00e90020006f007400650076015900ed007400200076002000700072006f006700720061006d0065006300680020004100630072006f00620061007400200061002000410064006f00620065002000520065006100640065007200200035002e0030002000610020006e006f0076011b006a016100ed00630068002egt DAN ltFEFF004200720075006700200069006e0064007300740069006c006c0069006e006700650072006e0065002000740069006c0020006100740020006f007000720065007400740065002000410064006f006200650020005000440046002d0064006f006b0075006d0065006e007400650072002000740069006c0020006b00760061006c00690074006500740073007500640073006b007200690076006e0069006e006700200065006c006c006500720020006b006f007200720065006b007400750072006c00e60073006e0069006e0067002e0020004400650020006f007000720065007400740065006400650020005000440046002d0064006f006b0075006d0065006e0074006500720020006b0061006e002000e50062006e00650073002000690020004100630072006f00620061007400200065006c006c006500720020004100630072006f006200610074002000520065006100640065007200200035002e00300020006f00670020006e0079006500720065002egt DEU ltFEFF00560065007200770065006e00640065006e0020005300690065002000640069006500730065002000450069006e007300740065006c006c0075006e00670065006e0020007a0075006d002000450072007300740065006c006c0065006e00200076006f006e002000410064006f006200650020005000440046002d0044006f006b0075006d0065006e00740065006e002c00200076006f006e002000640065006e0065006e002000530069006500200068006f00630068007700650072007400690067006500200044007200750063006b006500200061007500660020004400650073006b0074006f0070002d0044007200750063006b00650072006e00200075006e0064002000500072006f006f0066002d00470065007200e400740065006e002000650072007a0065007500670065006e0020006d00f60063006800740065006e002e002000450072007300740065006c006c007400650020005000440046002d0044006f006b0075006d0065006e007400650020006b00f6006e006e0065006e0020006d006900740020004100630072006f00620061007400200075006e0064002000410064006f00620065002000520065006100640065007200200035002e00300020006f0064006500720020006800f600680065007200200067006500f600660066006e00650074002000770065007200640065006e002egt ESP ltFEFF005500740069006c0069006300650020006500730074006100200063006f006e0066006900670075007200610063006900f3006e0020007000610072006100200063007200650061007200200064006f00630075006d0065006e0074006f0073002000640065002000410064006f0062006500200050004400460020007000610072006100200063006f006e00730065006700750069007200200069006d0070007200650073006900f3006e002000640065002000630061006c006900640061006400200065006e00200069006d0070007200650073006f0072006100730020006400650020006500730063007200690074006f00720069006f00200079002000680065007200720061006d00690065006e00740061007300200064006500200063006f00720072006500630063006900f3006e002e002000530065002000700075006500640065006e00200061006200720069007200200064006f00630075006d0065006e0074006f00730020005000440046002000630072006500610064006f007300200063006f006e0020004100630072006f006200610074002c002000410064006f00620065002000520065006100640065007200200035002e003000200079002000760065007200730069006f006e0065007300200070006f00730074006500720069006f007200650073002egt ETI ltFEFF004b00610073007500740061006700650020006e0065006900640020007300e4007400740065006900640020006c006100750061002d0020006a00610020006b006f006e00740072006f006c006c007400f5006d006d006900730065007000720069006e0074006500720069007400650020006a0061006f006b00730020006b00760061006c006900740065006500740073006500740065002000410064006f006200650020005000440046002d0064006f006b0075006d0065006e00740069006400650020006c006f006f006d006900730065006b0073002e002e00200020004c006f006f0064007500640020005000440046002d0064006f006b0075006d0065006e00740065002000730061006100740065002000610076006100640061002000700072006f006700720061006d006d006900640065006700610020004100630072006f0062006100740020006e0069006e0067002000410064006f00620065002000520065006100640065007200200035002e00300020006a00610020007500750065006d006100740065002000760065007200730069006f006f006e00690064006500670061002e000d000agt FRA ltFEFF005500740069006c006900730065007a00200063006500730020006f007000740069006f006e00730020006100660069006e00200064006500200063007200e900650072002000640065007300200064006f00630075006d0065006e00740073002000410064006f00620065002000500044004600200070006f007500720020006400650073002000e90070007200650075007600650073002000650074002000640065007300200069006d007000720065007300730069006f006e00730020006400650020006800610075007400650020007100750061006c0069007400e90020007300750072002000640065007300200069006d007000720069006d0061006e0074006500730020006400650020006200750072006500610075002e0020004c0065007300200064006f00630075006d0065006e00740073002000500044004600200063007200e900e90073002000700065007500760065006e0074002000ea0074007200650020006f007500760065007200740073002000640061006e00730020004100630072006f006200610074002c002000610069006e00730069002000710075002700410064006f00620065002000520065006100640065007200200035002e0030002000650074002000760065007200730069006f006e007300200075006c007400e90072006900650075007200650073002egt GRE ltFEFF03a703c103b703c303b903bc03bf03c003bf03b903ae03c303c403b5002003b103c503c403ad03c2002003c403b903c2002003c103c503b803bc03af03c303b503b903c2002003b303b903b1002003bd03b1002003b403b703bc03b903bf03c503c103b303ae03c303b503c403b5002003ad03b303b303c103b103c603b1002000410064006f006200650020005000440046002003b303b903b1002003b503ba03c403cd03c003c903c303b7002003c003bf03b903cc03c403b703c403b103c2002003c303b5002003b503ba03c403c503c003c903c403ad03c2002003b303c103b103c603b503af03bf03c5002003ba03b103b9002003b403bf03ba03b903bc03b103c303c403ad03c2002e0020002003a403b10020005000440046002003ad03b303b303c103b103c603b1002003c003bf03c5002003ad03c703b503c403b5002003b403b703bc03b903bf03c503c103b303ae03c303b503b9002003bc03c003bf03c103bf03cd03bd002003bd03b1002003b103bd03bf03b903c703c403bf03cd03bd002003bc03b5002003c403bf0020004100630072006f006200610074002c002003c403bf002000410064006f006200650020005200650061006400650072002000200035002e0030002003ba03b103b9002003bc03b503c403b103b303b503bd03ad03c303c403b503c103b503c2002003b503ba03b403cc03c303b503b903c2002egt HEB ltFEFF05D405E905EA05DE05E905D5002005D105D405D205D305E805D505EA002005D005DC05D4002005DB05D305D9002005DC05D905E605D505E8002005DE05E105DE05DB05D9002000410064006F006200650020005000440046002005E205D105D505E8002005D405D305E405E105D4002005D005D905DB05D505EA05D905EA002005D105DE05D305E405E105D505EA002005E905D505DC05D705E005D905D505EA002005D505DB05DC05D9002005D405D205D405D4002E002005DE05E105DE05DB05D9002005D4002D005000440046002005E905E005D505E605E805D905DD002005E005D905EA05E005D905DD002005DC05E405EA05D905D705D4002005D105D005DE05E605E205D505EA0020004100630072006F006200610074002005D5002D00410064006F00620065002000520065006100640065007200200035002E0030002005D505D205E805E105D005D505EA002005DE05EA05E705D305DE05D505EA002005D905D505EA05E8002Egt HRV ltFEFF005a00610020007300740076006100720061006e006a0065002000410064006f00620065002000500044004600200064006f006b0075006d0065006e0061007400610020007a00610020006b00760061006c00690074006500740061006e0020006900730070006900730020006e006100200070006900730061010d0069006d006100200069006c0069002000700072006f006f006600650072002000750072006501110061006a0069006d0061002e00200020005300740076006f00720065006e0069002000500044004600200064006f006b0075006d0065006e007400690020006d006f006700750020007300650020006f00740076006f00720069007400690020004100630072006f00620061007400200069002000410064006f00620065002000520065006100640065007200200035002e0030002000690020006b00610073006e0069006a0069006d0020007600650072007a0069006a0061006d0061002egt HUN ltFEFF004d0069006e0151007300e9006700690020006e0079006f006d00610074006f006b0020006b00e90073007a00ed007400e9007300e900680065007a002000610073007a00740061006c00690020006e0079006f006d00740061007400f3006b006f006e002000e9007300200070007200f300620061006e0079006f006d00f3006b006f006e00200065007a0065006b006b0065006c0020006100200062006500e1006c006c00ed007400e10073006f006b006b0061006c002c00200068006f007a007a006f006e0020006c00e9007400720065002000410064006f00620065002000500044004600200064006f006b0075006d0065006e00740075006d006f006b00610074002e0020002000410020006c00e90074007200650068006f007a006f00740074002000500044004600200064006f006b0075006d0065006e00740075006d006f006b00200061007a0020004100630072006f006200610074002c00200061007a002000410064006f00620065002000520065006100640065007200200035002e0030002000e9007300200061007a002000610074007400f3006c0020006b00e9007301510062006200690020007600650072007a006900f3006b006b0061006c00200020006e00790069007400680061007400f3006b0020006d00650067002egt ITA ltFEFF005500740069006c0069007a007a006100720065002000710075006500730074006500200069006d0070006f007300740061007a0069006f006e00690020007000650072002000630072006500610072006500200064006f00630075006d0065006e00740069002000410064006f006200650020005000440046002000700065007200200075006e00610020007300740061006d007000610020006400690020007100750061006c0069007400e00020007300750020007300740061006d00700061006e0074006900200065002000700072006f006f0066006500720020006400650073006b0074006f0070002e0020004900200064006f00630075006d0065006e007400690020005000440046002000630072006500610074006900200070006f00730073006f006e006f0020006500730073006500720065002000610070006500720074006900200063006f006e0020004100630072006f00620061007400200065002000410064006f00620065002000520065006100640065007200200035002e003000200065002000760065007200730069006f006e006900200073007500630063006500730073006900760065002egt JPN ltFEFF9ad854c18cea51fa529b7528002000410064006f0062006500200050004400460020658766f8306e4f5c6210306b4f7f75283057307e30593002537052376642306e753b8cea3092670059279650306b4fdd306430533068304c3067304d307e3059300230c730b930af30c830c330d730d730ea30f330bf3067306e53705237307e305f306f30d730eb30fc30d57528306b9069305730663044307e305930023053306e8a2d5b9a30674f5c62103055308c305f0020005000440046002030d530a130a430eb306f3001004100630072006f0062006100740020304a30883073002000410064006f00620065002000520065006100640065007200200035002e003000204ee5964d3067958b304f30533068304c3067304d307e30593002gt KOR ltFEFFc7740020c124c815c7440020c0acc6a9d558c5ec0020b370c2a4d06cd0d10020d504b9b0d1300020bc0f0020ad50c815ae30c5d0c11c0020ace0d488c9c8b85c0020c778c1c4d560002000410064006f0062006500200050004400460020bb38c11cb97c0020c791c131d569b2c8b2e4002e0020c774b807ac8c0020c791c131b41c00200050004400460020bb38c11cb2940020004100630072006f0062006100740020bc0f002000410064006f00620065002000520065006100640065007200200035002e00300020c774c0c1c5d0c11c0020c5f40020c2180020c788c2b5b2c8b2e4002egt LTH ltFEFF004e006100750064006f006b0069007400650020016100690075006f007300200070006100720061006d006500740072007500730020006e006f0072011700640061006d00690020006b0075007200740069002000410064006f00620065002000500044004600200064006f006b0075006d0065006e007400750073002c0020006b007500720069006500200073006b00690072007400690020006b006f006b0079006200690161006b0061006900200073007000610075007300640069006e007400690020007300740061006c0069006e0069006100690073002000690072002000620061006e00640079006d006f00200073007000610075007300640069006e007400750076006100690073002e0020002000530075006b0075007200740069002000500044004600200064006f006b0075006d0065006e007400610069002000670061006c006900200062016b007400690020006100740069006400610072006f006d00690020004100630072006f006200610074002000690072002000410064006f00620065002000520065006100640065007200200035002e0030002000610072002000760117006c00650073006e0117006d00690073002000760065007200730069006a006f006d00690073002egt LVI ltFEFF0049007a006d0061006e0074006f006a00690065007400200161006f00730020006900650073007400610074012b006a0075006d00750073002c0020006c0061006900200069007a0076006500690064006f00740075002000410064006f00620065002000500044004600200064006f006b0075006d0065006e0074007500730020006b00760061006c0069007400610074012b0076006100690020006400720075006b010101610061006e00610069002000610072002000670061006c006400610020007000720069006e00740065007200690065006d00200075006e0020007000610072006100750067006e006f00760069006c006b0075006d0075002000690065007300700069006500640113006a00690065006d002e00200049007a0076006500690064006f006a006900650074002000500044004600200064006f006b0075006d0065006e007400750073002c0020006b006f002000760061007200200061007400760113007200740020006100720020004100630072006f00620061007400200075006e002000410064006f00620065002000520065006100640065007200200035002e0030002c0020006b0101002000610072012b00200074006f0020006a00610075006e0101006b0101006d002000760065007200730069006a0101006d002egt NLD (Gebruik deze instellingen om Adobe PDF-documenten te maken voor kwaliteitsafdrukken op desktopprinters en proofers De gemaakte PDF-documenten kunnen worden geopend met Acrobat en Adobe Reader 50 en hoger) NOR ltFEFF004200720075006b00200064006900730073006500200069006e006e007300740069006c006c0069006e00670065006e0065002000740069006c002000e50020006f0070007000720065007400740065002000410064006f006200650020005000440046002d0064006f006b0075006d0065006e00740065007200200066006f00720020007500740073006b00720069006600740020006100760020006800f800790020006b00760061006c00690074006500740020007000e500200062006f007200640073006b0072006900760065007200200065006c006c00650072002000700072006f006f006600650072002e0020005000440046002d0064006f006b0075006d0065006e00740065006e00650020006b0061006e002000e50070006e00650073002000690020004100630072006f00620061007400200065006c006c00650072002000410064006f00620065002000520065006100640065007200200035002e003000200065006c006c00650072002000730065006e006500720065002egt POL ltFEFF0055007300740061007700690065006e0069006100200064006f002000740077006f0072007a0065006e0069006100200064006f006b0075006d0065006e007400f3007700200050004400460020007a002000770079017c0073007a010500200072006f007a0064007a00690065006c0063007a006f015b0063006901050020006f006200720061007a006b00f30077002c0020007a0061007000650077006e00690061006a0105006301050020006c006500700073007a01050020006a0061006b006f015b0107002000770079006400720075006b00f30077002e00200044006f006b0075006d0065006e0074007900200050004400460020006d006f017c006e00610020006f007400770069006500720061010700200077002000700072006f006700720061006d006900650020004100630072006f00620061007400200069002000410064006f00620065002000520065006100640065007200200035002e0030002000690020006e006f00770073007a0079006d002egt PTB ltFEFF005500740069006c0069007a006500200065007300730061007300200063006f006e00660069006700750072006100e700f50065007300200064006500200066006f0072006d00610020006100200063007200690061007200200064006f00630075006d0065006e0074006f0073002000410064006f0062006500200050004400460020007000610072006100200069006d0070007200650073007300f5006500730020006400650020007100750061006c0069006400610064006500200065006d00200069006d00700072006500730073006f0072006100730020006400650073006b0074006f00700020006500200064006900730070006f00730069007400690076006f0073002000640065002000700072006f00760061002e0020004f007300200064006f00630075006d0065006e0074006f00730020005000440046002000630072006900610064006f007300200070006f00640065006d0020007300650072002000610062006500720074006f007300200063006f006d0020006f0020004100630072006f006200610074002000650020006f002000410064006f00620065002000520065006100640065007200200035002e0030002000650020007600650072007300f50065007300200070006f00730074006500720069006f007200650073002egt RUM ltFEFF005500740069006c0069007a00610163006900200061006300650073007400650020007300650074010300720069002000700065006e007400720075002000610020006300720065006100200064006f00630075006d0065006e00740065002000410064006f006200650020005000440046002000700065006e007400720075002000740069007001030072006900720065002000640065002000630061006c006900740061007400650020006c006100200069006d007000720069006d0061006e007400650020006400650073006b0074006f00700020015f0069002000700065006e0074007200750020007600650072006900660069006300610074006f00720069002e002000200044006f00630075006d0065006e00740065006c00650020005000440046002000630072006500610074006500200070006f00740020006600690020006400650073006300680069007300650020006300750020004100630072006f006200610074002c002000410064006f00620065002000520065006100640065007200200035002e00300020015f00690020007600650072007300690075006e0069006c006500200075006c0074006500720069006f006100720065002egt RUS ltFEFF04180441043f043e043b044c04370443043904420435002004340430043d043d044b04350020043d0430044104420440043e0439043a043800200434043b044f00200441043e043704340430043d0438044f00200434043e043a0443043c0435043d0442043e0432002000410064006f006200650020005000440046002c0020043f044004350434043d04300437043d043004470435043d043d044b044500200434043b044f0020043a0430044704350441044204320435043d043d043e04390020043f043504470430044204380020043d04300020043d043004410442043e043b044c043d044b04450020043f04400438043d044204350440043004450020043800200443044104420440043e04390441044204320430044500200434043b044f0020043f043e043b044304470435043d0438044f0020043f0440043e0431043d044b04450020043e0442044204380441043a043e0432002e002000200421043e043704340430043d043d044b04350020005000440046002d0434043e043a0443043c0435043d0442044b0020043c043e0436043d043e00200020043e0442043a0440044b043204300442044c002004410020043f043e043c043e0449044c044e0020004100630072006f00620061007400200438002000410064006f00620065002000520065006100640065007200200035002e00300020043800200431043e043b043504350020043f043e04370434043d043804450020043204350440044104380439002egt SKY ltFEFF0054006900650074006f0020006e006100730074006100760065006e0069006100200070006f0075017e0069007400650020006e00610020007600790074007600e100720061006e0069006500200064006f006b0075006d0065006e0074006f0076002000410064006f00620065002000500044004600200070007200650020006b00760061006c00690074006e00fa00200074006c0061010d0020006e0061002000730074006f006c006e00fd0063006800200074006c0061010d00690061007201480061006300680020006100200074006c0061010d006f007600fd006300680020007a006100720069006100640065006e0069006100630068002e00200056007900740076006f00720065006e00e900200064006f006b0075006d0065006e007400790020005000440046002000620075006400650020006d006f017e006e00e90020006f00740076006f00720069016500200076002000700072006f006700720061006d006f006300680020004100630072006f00620061007400200061002000410064006f00620065002000520065006100640065007200200035002e0030002000610020006e006f0076016100ed00630068002e000d000agt SLV ltFEFF005400650020006e006100730074006100760069007400760065002000750070006f0072006100620069007400650020007a00610020007500730074007600610072006a0061006e006a006500200064006f006b0075006d0065006e0074006f0076002000410064006f0062006500200050004400460020007a00610020006b0061006b006f0076006f00730074006e006f0020007400690073006b0061006e006a00650020006e00610020006e0061006d0069007a006e006900680020007400690073006b0061006c006e0069006b0069006800200069006e0020007000720065007600650072006a0061006c006e0069006b00690068002e00200020005500730074007600610072006a0065006e006500200064006f006b0075006d0065006e0074006500200050004400460020006a00650020006d006f0067006f010d00650020006f0064007000720065007400690020007a0020004100630072006f00620061007400200069006e002000410064006f00620065002000520065006100640065007200200035002e003000200069006e0020006e006f00760065006a01610069006d002egt SUO ltFEFF004b00e40079007400e40020006e00e40069007400e4002000610073006500740075006b007300690061002c0020006b0075006e0020006c0075006f0074002000410064006f0062006500200050004400460020002d0064006f006b0075006d0065006e007400740065006a00610020006c0061006100640075006b006100730074006100200074007900f6007000f60079007400e400740075006c006f0073007400750073007400610020006a00610020007600650064006f007300740075007300740061002000760061007200740065006e002e00200020004c0075006f0064007500740020005000440046002d0064006f006b0075006d0065006e00740069007400200076006f0069006400610061006e0020006100760061007400610020004100630072006f0062006100740069006c006c00610020006a0061002000410064006f00620065002000520065006100640065007200200035002e0030003a006c006c00610020006a006100200075007500640065006d006d0069006c006c0061002egt SVE ltFEFF0041006e007600e4006e00640020006400650020006800e4007200200069006e0073007400e4006c006c006e0069006e006700610072006e00610020006f006d002000640075002000760069006c006c00200073006b006100700061002000410064006f006200650020005000440046002d0064006f006b0075006d0065006e00740020006600f600720020006b00760061006c00690074006500740073007500740073006b0072006900660074006500720020007000e5002000760061006e006c00690067006100200073006b0072006900760061007200650020006f006300680020006600f600720020006b006f007200720065006b007400750072002e002000200053006b006100700061006400650020005000440046002d0064006f006b0075006d0065006e00740020006b0061006e002000f600700070006e00610073002000690020004100630072006f0062006100740020006f00630068002000410064006f00620065002000520065006100640065007200200035002e00300020006f00630068002000730065006e006100720065002egt TUR ltFEFF004d00610073006100fc0073007400fc002000790061007a013100630131006c006100720020007600650020006200610073006b01310020006d0061006b0069006e0065006c006500720069006e006400650020006b0061006c006900740065006c00690020006200610073006b013100200061006d0061006301310079006c0061002000410064006f006200650020005000440046002000620065006c00670065006c0065007200690020006f006c0075015f007400750072006d0061006b0020006900e70069006e00200062007500200061007900610072006c0061007201310020006b0075006c006c0061006e0131006e002e00200020004f006c0075015f0074007500720075006c0061006e0020005000440046002000620065006c00670065006c0065007200690020004100630072006f006200610074002000760065002000410064006f00620065002000520065006100640065007200200035002e003000200076006500200073006f006e0072006100730131006e00640061006b00690020007300fc007200fc006d006c00650072006c00650020006100e70131006c006100620069006c00690072002egt UKR ltFEFF04120438043a043e0440043804410442043e043204430439044204350020044604560020043f043004400430043c043504420440043800200434043b044f0020044104420432043e04400435043d043d044f00200434043e043a0443043c0435043d044204560432002000410064006f006200650020005000440046002c0020044f043a04560020043d04300439043a04400430044904350020043f045604340445043e0434044f0442044c00200434043b044f0020043204380441043e043a043e044f043a04560441043d043e0433043e0020043404400443043a04430020043d04300020043d0430044104420456043b044c043d043804450020043f04400438043d044204350440043004450020044204300020043f04400438044104420440043e044f044500200434043b044f0020043e044204400438043c0430043d043d044f0020043f0440043e0431043d0438044500200437043e04310440043004360435043d044c002e00200020042104420432043e04400435043d045600200434043e043a0443043c0435043d0442043800200050004400460020043c043e0436043d04300020043204560434043a0440043804420438002004430020004100630072006f006200610074002004420430002000410064006f00620065002000520065006100640065007200200035002e0030002004300431043e0020043f04560437043d04560448043e04570020043204350440044104560457002egt ENU ltFEFF005500730065002000740068006500730065002000730065007400740069006e0067007300200074006f0020006300720065006100740065002000410064006f0062006500200050004400460020006200610073006500640020006f006e0020007400680065002000640065006600610075006c0074002000580069006c0069006e007800200070006100720061006d0065007400650072002000730065007400740069006e0067007300200064006500660069006e00650064002000620079002000500053003000300034002e002000460069006c0065006e0061006d0065003a002000780069006c0069006e0078002e006a006f0062006f007000740069006f006e0073002e000d0043006f006d007000610074006100620069006c006900740079003a0020004100630072006f00620061007400200037002e0030002000280050004400460031002e00360029002e0020005500730065003a00200046006f00720020006300720065006100740069006e0067002000460069006e0061006c002c0020005000750062006c0069007300680065006400200050004400460073002e000d0043007200650061007400650064002000310030002f00310032002f003100310020006200790020006a0066006f007200730074002egt gtgt Namespace [ (Adobe) (Common) (10) ] OtherNamespaces [ ltlt AsReaderSpreads false CropImagesToFrames true ErrorControl WarnAndContinue FlattenerIgnoreSpreadOverrides false IncludeGuidesGrids false IncludeNonPrinting false IncludeSlug false Namespace [ (Adobe) (InDesign) (40) ] OmitPlacedBitmaps false OmitPlacedEPS false OmitPlacedPDF false SimulateOverprint Legacy gtgt ltlt AddBleedMarks false AddColorBars false AddCropMarks false AddPageInfo false AddRegMarks false ConvertColors NoConversion DestinationProfileName () DestinationProfileSelector NA Downsample16BitImages true FlattenerPreset ltlt PresetSelector MediumResolution gtgt FormElements false GenerateStructure true IncludeBookmarks false IncludeHyperlinks false IncludeInteractive false IncludeLayers false IncludeProfiles true MultimediaHandling UseObjectSettings Namespace [ (Adobe) (CreativeSuite) (20) ] PDFXOutputIntentProfileSelector NA PreserveEditing true UntaggedCMYKHandling LeaveUntagged UntaggedRGBHandling LeaveUntagged UseDocumentBleed false gtgt ]gtgt setdistillerparamsltlt HWResolution [1200 1200] PageSize [612000 792000]gtgt setpagedevice

Page 19: Xilinx UG883 Kintex-7 FPGA KC705 Evaluation Kit Getting ...€¦ · Updated step 2 and step 3 in Requirements to Get Started, page 14. ... KC705 Getting Started Guide 9 UG883 (v3.0)

KC705 Getting Started Guide wwwxilinxcom 19UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

bull Micro USB cable

bull 4-pin to 6-pin PCIe adapter cable

bull Fedora 16 Live DVD [Ref 6]

bull PC with PCIe v20 slot For a complete list of machines tested and all known issues refer to the Kintex-7 FPGA Base Targeted Reference Design Release Notes and Known Issues Master Answer Record (httpwwwxilinxcomsupportanswers45679htm) This PC could also have Fedora Core 16 Linux OS installed on it

TRD Demonstration SetupThis section describes hardware setup and use of the application GUI to help the user get started quickly with the design in hardware It provides a step-by-step explanation of hardware bring-up and describes using the application GUI

When following the demonstration setup steps for the Kintex-7 FPGA Base TRD if the behavior is not as described refer to the known issues at wwwxilinxcomsupportanswers45679htm

Board Setup

This section describes how to set up the KC705 Evaluation board required to demonstrate the TRD

1 Set the KC705 Jumpers and Switches Verify that the KC705 Evaluation board jumpers and switches are set as shown in Table 2 and Figure 11

Table 2 Switch and Jumper Settings

Jumper Function Setting

J32 PCIe configuration width mdash 4 lane design Jump 3-4

Switch Function or Type Setting

SW15 Board power slide-switch Off

SW11 User GPIO DIP switch

4 Off

3 Off

2 Off

1 Off

S13 DIP switch SW13 positions 1 and 2 control the setting of address bits of the flashDIP switch SW13 positions 3 4 and 5 control which configuration mode

5 (M0) M2 =0 M1=1 M0=0 ndash Master BPIM2 =0 M1=0 M0=1 ndash Master SPIM2 =1 M1=0 M0=1 ndash JTAG

Off

4 (M1) On

3 (M2) Off

2 Off

1 Off

20 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

Hardware Bring-Up

This section presents steps for hardware bring-up

1 With the host system switched off insert the KC705 board in the PCIe slot through the PCI Express x8 or x16 edge connector (Figure 12)

The TRD programmed on the KC705 board has a 4-lane PCIe v20 configuration running at a 5 Gbs link rate per lane The PCI Express specification allows for a smaller lane width Endpoint to be installed into a larger lane width PCIe connector

2 Figure 13 shows the 12V power connection Connect the 12V ATX power supplyrsquos available 4-pin connector to the board (J49) via a 4-pin to 6-pin PCIe adapter cable Toggle the Power switch SW15 to the ON position

X-Ref Target - Figure 11

Figure 11 Switch and Jumper Settings

UG883_11_040913

J27 J28

J29 J30SW13

J32

SW15SW11

X-Ref Target - Figure 12if

Figure 12 KC705 Board Plugged into a PCIe x16 Slot

UG883_12_040913

KC705 Getting Started Guide wwwxilinxcom 21UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

3 Make sure the connections are tight and then power on the PC system

Note If the user wishes to boot Linux from the Fedora 16 Live DVD place the DVD in the PCrsquos DVD-ROM drive as soon as the PC system is powered on

4 Verify the status of the design on the KC705 LEDs The design provides status on the GPIO LEDs on the upper right of the KC705 board (Figure 14) After the PC system is powered on and the TRD has successfully configured status LEDs from right to left should indicate

bull LED 0 mdash ON if the PCIe link is up

bull LED 1 mdash Flashes if the PCIe user clock is present

bull LED 2 mdash ON if lane width is what is expected else it flashes (for a 4 lane design the expected lane width is 4 for an 8 lane design the expected lane width is 8)

bull LED 3 mdash ON if memory calibration is done

bull LED 4 to LED 7 mdash Not connected

X-Ref Target - Figure 13

Figure 13 Power Supply Connection

UG883_13_121112

SW15

J49 6-pinConnector

12V ATX PowerSupply Pluggedinto the 4-pinConnector

22 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

Install the Linux Driver

1 If Fedora 16 is installed on the PC systemrsquos hard disk boot as a root-privileged user and skip to step 3 page 23

2 To boot from the Fedora 16 Live DVD provided in the kit place the DVD in the PCrsquos DVD-ROM drive The Fedora 16 Live Media is for Intel-compatible PCs The DVD contains a complete bootable 32-bit Fedora 16 environment with the proper packages installed for the TRD demonstration environment For more details see Fedora Information page 2 The PC boots from the DVD-ROM drive and logs into a liveuser account This account has kernel development root privileges required to install and remove device driver modules

Note Users might have to adjust BIOS boot order settings to make sure that the DVD-ROM drive is the first drive in the boot order To enter the BIOS menu to set the boot order press the DEL or F2 key when the system is powered on Set the boot order and save the changes (The DEL or F2 key is used by most PC systems to enter the BIOS setup Some PCs might have a different way to enter the BIOS setup)

The PC should boot from the DVD-ROM drive The images in Figure 15 are seen on the monitor during boot up

X-Ref Target - Figure 14

Figure 14 Location of GPIO Status LEDs (Indicates TRD Status)

UG883_14_121112

KC705 Getting Started Guide wwwxilinxcom 23UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

3 After Fedora 16 Core boots open a terminal window (click Activities click Application scroll down and click the Terminal icon) To find out if the PCIe Endpoint is detected at the terminal command line type

$ lspci

The lspci command displays the devices in the PCI and PCI Express buses of the PC On the bus of the KC705 card slot is the message

Communication controller Xilinx Corporation Device 7042

This message confirms that the design programmed into the KC705 board has been found by the BIOS and the Fedora 16 OS The bus number varies depending on which PC motherboard and slot are used Figure 16 shows a lspci output for an example system Xilinx device 7042 has been found by the BIOS on bus number 2 (02000 - busdevfunction)

X-Ref Target - Figure 15

Figure 15 Fedora 16 Live DVD BootingFirst Screen Last Boot Screen Booted

UG883 15 040913

X-Ref Target - Figure 16

Figure 16 PCI and PCI Express Bus Devices

UG883_16_040913

24 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

4 Download the reference design from the Docs amp Designs tab at wwwxilinxcomkc705 and copy the k7_pcie_dma_ddr3_base folder into any directory

5 To set up and run the TRD demonstration the software driver should be installed on the PC system Software driver installation involves

a Building the kernel objects and the GUI

b Inserting the driver modules into the kernel

After the driver modules are loaded the application GUI can be invoked The user can set parameters through the GUI and run the TRD

When the user is done running the TRD the application GUI can be closed and the drivers can be removed

A script is provided to execute all the above actions so that the user can quickly start the TRD

The k7_trd_lin_quickstart script is available in the k7_pcie_dma_ddr3_base folder Right click the script select properties go to the permissions tab check the box Allow executing file as programmdashthis makes the script executable Close the window

To run the script double-click k7_trd_lin_quickstart in the k7_pcie_dma_ddr3_base folder (Figure 17) The window prompt in Figure 18 appears

X-Ref Target - Figure 17

Figure 17 Load Driver and Launch Application GUI

UG883_17_121112

KC705 Getting Started Guide wwwxilinxcom 25UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

Click Run in Terminal to proceed The application GUI is invoked

Proceed to the next section Using the Application GUI to set design parameters and run the TRD

X-Ref Target - Figure 18

Figure 18 Run in Terminal

UG883_18_040913

26 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

Using the Application GUI

When the drivers are loaded and the Performance Monitor GUI is invoked the user can configure the sending and receiving of data The GUI allows the user to observe the collected statistics and other status information

1 Click the System Status tab to verify the status of the KC705 board and the PCIe link (see Figure 19 and Table 3)

X-Ref Target - Figure 19

Figure 19 Verify Board Status in the Performance Monitor

UG883_19_121112

KC705 Getting Started Guide wwwxilinxcom 27UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

2 To start data traffic on the two data paths

a Click Start Test on Raw Data Path0 as shown in Figure 20 This enables the driver to start generating the data for Raw Data Path0

b Click Start Test on Raw Data Path1 as shown in Figure 20 This enables the driver to start generating the data for Raw Data Path1

Table 3 KC705 Board Status Field Explanations

Field Status Explanation

Link Status Up This confirms that the PCIe link is up and a PCIe connection is established between the Kintex-7 FPGA Endpoint for PCI Express and the PC motherboard chipset

Link Speed 50 Gbs This confirms that the PCIe link is operating at line rate speed per PCI Express v20

Link Width x4 This confirms that the PCIe link is trained as a x4 link

28 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

3 Verify TRD operations through the status information provided by the GUI (see Figure 21)

a Verify the PCIe throughput

b Verify the DMA channel throughput for the Raw Data Path0

c Verify the DMA channel throughput for the Raw Data Path1

d Verify there are no buffer descriptor errors for error-free operation

X-Ref Target - Figure 20

Figure 20 Start Data Traffic from the Performance Monitor

UG883_20_121112

KC705 Getting Started Guide wwwxilinxcom 29UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

The Kintex-7 FPGA PCIe-DMA TRD is now set up and running Close the Application GUI to unload the software drivers and stop traffic flow

X-Ref Target - Figure 21

Figure 21 Verify Error-Free Operation in the Performance Monitor

UG883_21_121112

30 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

Evaluating the Kintex-7 FPGA Base TRDThe Kintex-7 FPGA Base TRD provides a Performance and Status monitor application and GUI The application enables customers to evaluate different system parameters This section demonstrates performance variances for the PCI Express and DMA interfaces based on the parameters set

To evaluate the Kintex-7 FPGA Base TRD

1 Launch the Performance Monitor for the Kintex-7 FPGA Base TRD

a Navigate to the k7_pcie_dma_ddr3_base folder

b Double-click k7_lin_trd_quickstart (Figure 22)mdashmake sure that the script has executable permissionmdashto launch the Performance Monitor and Status GUI

c A window prompt appears as shown in Figure 23 Click Run in Terminal to proceed

2 Set up the test parameters in the Performance Monitor

a Two data paths are available Raw Data Path0 and Raw Data Path1 On each path set the Packet Size to a value between 64 ndash 32768 bytes

X-Ref Target - Figure 22

Figure 22 Launch the Performance Monitor and Status GUI

UG883_22_121112

X-Ref Target - Figure 23

Figure 23 Run k7_lin_trd_quickstart

UG883_23_121112

KC705 Getting Started Guide wwwxilinxcom 31UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

3 Execute the test and view payload statistics in the Performance and Status Monitor (see Figure 24)

a Click Start Test to start the performance test

b Click the Payload Statistics tab to view data transfers on the DMA channels

c Click Stop Test to stop data traffic

d Vary the Packet Size parameters for the Raw Data Paths (see Figure 25) and click Start Test Then view the payload statistics to review data transfer rate on the DMA channels With a decrease in packet size the performance drops

Note Before changing packet size click Stop Test change the size and then click Start Test

X-Ref Target - Figure 24

Figure 24 Payload Statistics

UG883_24_121112

32 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

Note For packet sizes equal to 64 or 128 bytes the throughput is reduced and might not be visible on the Payload Statistics tab The exact values can be viewed on the System Status tab

4 Click the PCIe Statistics tab to view data transfer numbers with varying packet sizes on the PCIe interface (Figure 26)

X-Ref Target - Figure 25

Figure 25 Effect of Varying Packet Sizes on Performance

UG883_25_121112

KC705 Getting Started Guide wwwxilinxcom 33UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

The system performance of the Kintex-7 FPGA Base TRD has now been evaluated using the pre-built demonstration design bit file

Now that the Kintex-7 FPGA Base TRD demonstration has been set up and evaluated the design can be modified Before the design can be modified make sure to install the Vivado Design Suite on a PC It is not required that tools be installed on the PC system in which the KC705 evaluation board is plugged in by way of the PCIe edge connector

X-Ref Target - Figure 26

Figure 26 PCIe Statistics in the Performance Monitor

UG883_26_121112

34 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

KC705 Getting Started Guide wwwxilinxcom 35UG883 (v30) April 16 2013

Appendix A

Additional Resources

Xilinx ResourcesFor support resources such as Answers Documentation Downloads and Forums see the Xilinx Support website at

wwwxilinxcomsupport

For continual updates add the Answer Record to your myAlerts

wwwxilinxcomsupportmyalerts

For a glossary of technical terms used in Xilinx documentation see

wwwxilinxcomcompanytermshtm

Solution CentersSee the Xilinx Solution Centers for support on devices software tools and intellectual property at all stages of the design cycle Topics include design assistance advisories and troubleshooting tips

Further ResourcesThe most up to date information related to the KC705 board and its documentation is available on the following websites

The Kintex-7 FPGA KC705 Evaluation Kit Product Page

wwwxilinxcomkc705

The Kintex-7 FPGA KC705 Evaluation Kit Master Answer Record

wwwxilinxcomsupportanswers47787htm

Design advisories by software release for the Kintex-7 FPGA KC705 Evaluation Kit

wwwxilinxcomsupportnav=sd-nav-link-179661amptab=tab-bk

Kintex-7 FPGA Base Targeted Reference Design Release Notes and Known Issues Master Answer Record

wwwxilinxcomsupportanswers45679htm

These documents provide supplemental material useful with this user guide

UG810 KC705 Evaluation Board for the Kintex-7 FPGA User Guide

UG845 KC705 Reference Design User Guide

36 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Appendix A Additional Resources

UG798 Xilinx Design Tools Installation and Licensing Guide Vivado Design Suite and ISE Design Suite

UG908 Vivado Design Suite User Guide Programming and Debugging

UG882 Kintex-7 FPGA KC705 Base Targeted Reference Design User Guide (Vivado Design Suite 20124)

UG886 AMS101 Evaluation Card User Guide

UG477 7 Series FPGAs Integrated Block for PCI Express User Guide

ReferencesThe following websites provide supplemental material useful with this guide

1 LabVIEW 32-bit Run-Time Enginehttpjoulenicomniducdsviewpid2534langen

2 LabVIEW 64-bit Run-Time Engine httpjoulenicomniducdsviewpid2536langen

3 Tera Term Pro program httphpvectorcojpauthorsVA002416teratermhtml

4 Drivers on the Silicon Labs site httpwwwsilabscomSupport20DocumentsSoftwareCP210x_VCP_Win_XP_S2K3_Vista_7exe

5 Northwest Logic DMA back end core httpwwwnwlogiccompacketdma

6 Fedora project httpfedoraprojectorg

Fedora is a Linux-based operating system used in the development of this TRD

7 The GTK+ project API documentation httpwwwgtkorgdocumentationphp

GTK+ is a toolkit for creating graphical user interfaces (GUI)

KC705 Getting Started Guide wwwxilinxcom 37UG883 (v30) April 16 2013

Appendix B

Warranty

THIS LIMITED WARRANTY applies solely to standard hardware development boards and standard hardware programming cables manufactured by or on behalf of Xilinx (ldquoDevelopment Systemsrdquo) Subject to the limitations herein Xilinx warrants that Development Systems when delivered by Xilinx or its authorized distributor for ninety (90) days following the delivery date will be free from defects in material and workmanship and will substantially conform to Xilinx publicly available specifications for such products in effect at the time of delivery This limited warranty excludes (i) engineering samples or beta versions of Development Systems (which are provided ldquoAS ISrdquo without warranty) (ii) design defects or errors known as ldquoerratardquo (iii) Development Systems procured through unauthorized third parties and (iv) Development Systems that have been subject to misuse mishandling accident alteration neglect unauthorized repair or installation Furthermore this limited warranty shall not apply to the use of covered products in an application or environment that is not within Xilinx specifications or in the event of any act error neglect or default of Customer For any breach by Xilinx of this limited warranty the exclusive remedy of Customer and the sole liability of Xilinx shall be at the option of Xilinx to replace or repair the affected products or to refund to Customer the price of the affected products The availability of replacement products is subject to product discontinuation policies at Xilinx Customer may not return product without first obtaining a customer return material authorization (RMA) number from XilinxTHE WARRANTIES SET FORTH HEREIN ARE EXCLUSIVE XILINX DISCLAIMS ALL OTHER WARRANTIES WHETHER EXPRESS IMPLIED OR STATUTORY INCLUDING WITHOUT LIMITATION ANY WARRANTY OF MERCHANTABILITY FITNESS FOR A PARTICULAR PURPOSE OR NON-INFRINGEMENT AND ANY WARRANTY THAT MAY ARISE FROM COURSE OF DEALING COURSE OF PERFORMANCE OR USAGE OF TRADE (200810)

Do not throw Xilinx products marked with the ldquocrossed out wheeled binrdquo in the trash Directive 200296EC on waste electrical and electronic equipment (WEEE) requires the separate collection of WEEE Your cooperation is essential in ensuring the proper management of WEEE and the protection of the environment and human health from potential effects arising from the presence of hazardous substances in WEEE Return the marked products to Xilinx for proper disposal Further information and instructions for free-of-charge return available at httpwwwxilinxcomehsweeehtm

38 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Appendix B Warranty

  • Kintex-7 FPGA KC705 Evaluation Kit (Vivado Design Suite 20131)
    • Revision History
    • Table of Contents
    • Getting Started with the Kintex-7 FPGA KC705 Evaluation Kit (Vivado Design Suite 20131)
      • Introduction
      • Kit Contents
      • Basic Hardware Bring-up Using the BIST
        • Hardware Test Setup Requirements
          • Hardware Test Board Setup Requirements
            • Hardware Bring-Up
            • Run the BIST Application
              • AMS Bring-up with the AMS101 Evaluation Card
                • Requirements to Get Started
                • Evaluating AMS
                  • Advanced Bring-up Using the Base Targeted Reference Design
                    • Components
                    • Hardware Test Setup Requirements
                    • TRD Demonstration Setup
                    • Evaluating the Kintex-7 FPGA Base TRD
                        • Additional Resources
                          • Xilinx Resources
                          • Solution Centers
                          • Further Resources
                          • References
                            • Warranty
                                • ltlt ASCII85EncodePages false AllowTransparency false AutoPositionEPSFiles true AutoRotatePages All Binding Left CalGrayProfile (Dot Gain 20) CalRGBProfile (sRGB IEC61966-21) CalCMYKProfile (US Web Coated 050SWOP051 v2) sRGBProfile (sRGB IEC61966-21) CannotEmbedFontPolicy Warning CompatibilityLevel 16 CompressObjects Tags CompressPages true ConvertImagesToIndexed true PassThroughJPEGImages true CreateJobTicket false DefaultRenderingIntent Default DetectBlends true DetectCurves 00000 ColorConversionStrategy LeaveColorUnchanged DoThumbnails false EmbedAllFonts true EmbedOpenType false ParseICCProfilesInComments true EmbedJobOptions true DSCReportingLevel 0 EmitDSCWarnings false EndPage -1 ImageMemory 1048576 LockDistillerParams true MaxSubsetPct 100 Optimize true OPM 1 ParseDSCComments true ParseDSCCommentsForDocInfo true PreserveCopyPage true PreserveDICMYKValues true PreserveEPSInfo true PreserveFlatness true PreserveHalftoneInfo false PreserveOPIComments false PreserveOverprintSettings true StartPage 1 SubsetFonts true TransferFunctionInfo Apply UCRandBGInfo Preserve UsePrologue false ColorSettingsFile () AlwaysEmbed [ true ] NeverEmbed [ true ] AntiAliasColorImages false CropColorImages true ColorImageMinResolution 300 ColorImageMinResolutionPolicy OK DownsampleColorImages false ColorImageDownsampleType Bicubic ColorImageResolution 300 ColorImageDepth -1 ColorImageMinDownsampleDepth 1 ColorImageDownsampleThreshold 150000 EncodeColorImages true ColorImageFilter DCTEncode AutoFilterColorImages true ColorImageAutoFilterStrategy JPEG ColorACSImageDict ltlt QFactor 040 HSamples [1 1 1 1] VSamples [1 1 1 1] gtgt ColorImageDict ltlt QFactor 015 HSamples [1 1 1 1] VSamples [1 1 1 1] gtgt JPEG2000ColorACSImageDict ltlt TileWidth 256 TileHeight 256 Quality 30 gtgt JPEG2000ColorImageDict ltlt TileWidth 256 TileHeight 256 Quality 30 gtgt AntiAliasGrayImages false CropGrayImages true GrayImageMinResolution 300 GrayImageMinResolutionPolicy OK DownsampleGrayImages false GrayImageDownsampleType Bicubic GrayImageResolution 300 GrayImageDepth -1 GrayImageMinDownsampleDepth 2 GrayImageDownsampleThreshold 150000 EncodeGrayImages true GrayImageFilter DCTEncode AutoFilterGrayImages true GrayImageAutoFilterStrategy JPEG GrayACSImageDict ltlt QFactor 040 HSamples [1 1 1 1] VSamples [1 1 1 1] gtgt GrayImageDict ltlt QFactor 015 HSamples [1 1 1 1] VSamples [1 1 1 1] gtgt JPEG2000GrayACSImageDict ltlt TileWidth 256 TileHeight 256 Quality 30 gtgt JPEG2000GrayImageDict ltlt TileWidth 256 TileHeight 256 Quality 30 gtgt AntiAliasMonoImages false CropMonoImages true MonoImageMinResolution 1200 MonoImageMinResolutionPolicy OK DownsampleMonoImages false MonoImageDownsampleType Bicubic MonoImageResolution 1200 MonoImageDepth -1 MonoImageDownsampleThreshold 150000 EncodeMonoImages true MonoImageFilter CCITTFaxEncode MonoImageDict ltlt K -1 gtgt AllowPSXObjects false CheckCompliance [ None ] PDFX1aCheck false PDFX3Check false PDFXCompliantPDFOnly false PDFXNoTrimBoxError true PDFXTrimBoxToMediaBoxOffset [ 000000 000000 000000 000000 ] PDFXSetBleedBoxToMediaBox true PDFXBleedBoxToTrimBoxOffset [ 000000 000000 000000 000000 ] PDFXOutputIntentProfile (None) PDFXOutputConditionIdentifier () PDFXOutputCondition () PDFXRegistryName () PDFXTrapped False CreateJDFFile false Description ltlt ARA ltFEFF06270633062A062E062F0645002006470630064700200627064406250639062F0627062F0627062A002006440625064606340627062100200648062B062706260642002000410064006F00620065002000500044004600200645062A0648062706410642062900200644064406370628062706390629002006300627062A002006270644062C0648062F0629002006270644063906270644064A06290020064506460020062E06440627064400200627064406370627062806390627062A00200627064406450643062A0628064A062900200623064800200623062C06470632062900200625062C06310627062100200627064406280631064806410627062A061B0020064A06450643064600200641062A062D00200648062B0627062606420020005000440046002006270644064506460634062306290020062806270633062A062E062F062706450020004100630072006F0062006100740020064800410064006F006200650020005200650061006400650072002006250635062F0627063100200035002E0030002006480627064406250635062F062706310627062A0020062706440623062D062F062B002E0020064506390020005000440046002F0041060C0020062706440631062C062706210020064506310627062C063906290020062F0644064A0644002006450633062A062E062F06450020004100630072006F006200610074061B0020064A06450643064600200641062A062D00200648062B0627062606420020005000440046002006270644064506460634062306290020062806270633062A062E062F062706450020004100630072006F0062006100740020064800410064006F006200650020005200650061006400650072002006250635062F0627063100200035002E0030002006480627064406250635062F062706310627062A0020062706440623062D062F062B002Egt BGR ltFEFF04180437043f043e043b043704320430043904420435002004420435043704380020043d0430044104420440043e0439043a0438002c00200437043000200434043000200441044a0437043404300432043004420435002000410064006f00620065002000500044004600200434043e043a0443043c0435043d044204380020043704300020043a0430044704350441044204320435043d0020043f04350447043004420020043d04300020043d043004410442043e043b043d04380020043f04400438043d04420435044004380020043800200443044104420440043e043904410442043204300020043704300020043f04350447043004420020043d04300020043f0440043e0431043d04380020044004300437043f0435044704300442043a0438002e002000200421044a04370434043004340435043d043804420435002000500044004600200434043e043a0443043c0435043d044204380020043c043e0433043004420020043404300020044104350020043e0442043204300440044f0442002004410020004100630072006f00620061007400200438002000410064006f00620065002000520065006100640065007200200035002e00300020043800200441043b0435043404320430044904380020043204350440044104380438002egt CHS ltFEFF4f7f75288fd94e9b8bbe5b9a521b5efa7684002000500044004600206587686353ef901a8fc7684c976262535370673a548c002000700072006f006f00660065007200208fdb884c9ad88d2891cf62535370300260a853ef4ee54f7f75280020004100630072006f0062006100740020548c002000410064006f00620065002000520065006100640065007200200035002e003000204ee553ca66f49ad87248672c676562535f00521b5efa768400200050004400460020658768633002gt CHT ltFEFF4f7f752890194e9b8a2d7f6e5efa7acb7684002000410064006f006200650020005000440046002065874ef653ef5728684c9762537088686a5f548c002000700072006f006f00660065007200204e0a73725f979ad854c18cea7684521753706548679c300260a853ef4ee54f7f75280020004100630072006f0062006100740020548c002000410064006f00620065002000520065006100640065007200200035002e003000204ee553ca66f49ad87248672c4f86958b555f5df25efa7acb76840020005000440046002065874ef63002gt CZE ltFEFF005400610074006f0020006e006100730074006100760065006e00ed00200070006f0075017e0069006a007400650020006b0020007600790074007600e101590065006e00ed00200064006f006b0075006d0065006e0074016f002000410064006f006200650020005000440046002000700072006f0020006b00760061006c00690074006e00ed0020007400690073006b0020006e0061002000730074006f006c006e00ed006300680020007400690073006b00e10072006e00e100630068002000610020006e00e1007400690073006b006f007600fd006300680020007a0061015900ed007a0065006e00ed00630068002e002000200056007900740076006f01590065006e00e900200064006f006b0075006d0065006e007400790020005000440046002000620075006400650020006d006f017e006e00e90020006f007400650076015900ed007400200076002000700072006f006700720061006d0065006300680020004100630072006f00620061007400200061002000410064006f00620065002000520065006100640065007200200035002e0030002000610020006e006f0076011b006a016100ed00630068002egt DAN ltFEFF004200720075006700200069006e0064007300740069006c006c0069006e006700650072006e0065002000740069006c0020006100740020006f007000720065007400740065002000410064006f006200650020005000440046002d0064006f006b0075006d0065006e007400650072002000740069006c0020006b00760061006c00690074006500740073007500640073006b007200690076006e0069006e006700200065006c006c006500720020006b006f007200720065006b007400750072006c00e60073006e0069006e0067002e0020004400650020006f007000720065007400740065006400650020005000440046002d0064006f006b0075006d0065006e0074006500720020006b0061006e002000e50062006e00650073002000690020004100630072006f00620061007400200065006c006c006500720020004100630072006f006200610074002000520065006100640065007200200035002e00300020006f00670020006e0079006500720065002egt DEU ltFEFF00560065007200770065006e00640065006e0020005300690065002000640069006500730065002000450069006e007300740065006c006c0075006e00670065006e0020007a0075006d002000450072007300740065006c006c0065006e00200076006f006e002000410064006f006200650020005000440046002d0044006f006b0075006d0065006e00740065006e002c00200076006f006e002000640065006e0065006e002000530069006500200068006f00630068007700650072007400690067006500200044007200750063006b006500200061007500660020004400650073006b0074006f0070002d0044007200750063006b00650072006e00200075006e0064002000500072006f006f0066002d00470065007200e400740065006e002000650072007a0065007500670065006e0020006d00f60063006800740065006e002e002000450072007300740065006c006c007400650020005000440046002d0044006f006b0075006d0065006e007400650020006b00f6006e006e0065006e0020006d006900740020004100630072006f00620061007400200075006e0064002000410064006f00620065002000520065006100640065007200200035002e00300020006f0064006500720020006800f600680065007200200067006500f600660066006e00650074002000770065007200640065006e002egt ESP ltFEFF005500740069006c0069006300650020006500730074006100200063006f006e0066006900670075007200610063006900f3006e0020007000610072006100200063007200650061007200200064006f00630075006d0065006e0074006f0073002000640065002000410064006f0062006500200050004400460020007000610072006100200063006f006e00730065006700750069007200200069006d0070007200650073006900f3006e002000640065002000630061006c006900640061006400200065006e00200069006d0070007200650073006f0072006100730020006400650020006500730063007200690074006f00720069006f00200079002000680065007200720061006d00690065006e00740061007300200064006500200063006f00720072006500630063006900f3006e002e002000530065002000700075006500640065006e00200061006200720069007200200064006f00630075006d0065006e0074006f00730020005000440046002000630072006500610064006f007300200063006f006e0020004100630072006f006200610074002c002000410064006f00620065002000520065006100640065007200200035002e003000200079002000760065007200730069006f006e0065007300200070006f00730074006500720069006f007200650073002egt ETI ltFEFF004b00610073007500740061006700650020006e0065006900640020007300e4007400740065006900640020006c006100750061002d0020006a00610020006b006f006e00740072006f006c006c007400f5006d006d006900730065007000720069006e0074006500720069007400650020006a0061006f006b00730020006b00760061006c006900740065006500740073006500740065002000410064006f006200650020005000440046002d0064006f006b0075006d0065006e00740069006400650020006c006f006f006d006900730065006b0073002e002e00200020004c006f006f0064007500640020005000440046002d0064006f006b0075006d0065006e00740065002000730061006100740065002000610076006100640061002000700072006f006700720061006d006d006900640065006700610020004100630072006f0062006100740020006e0069006e0067002000410064006f00620065002000520065006100640065007200200035002e00300020006a00610020007500750065006d006100740065002000760065007200730069006f006f006e00690064006500670061002e000d000agt FRA ltFEFF005500740069006c006900730065007a00200063006500730020006f007000740069006f006e00730020006100660069006e00200064006500200063007200e900650072002000640065007300200064006f00630075006d0065006e00740073002000410064006f00620065002000500044004600200070006f007500720020006400650073002000e90070007200650075007600650073002000650074002000640065007300200069006d007000720065007300730069006f006e00730020006400650020006800610075007400650020007100750061006c0069007400e90020007300750072002000640065007300200069006d007000720069006d0061006e0074006500730020006400650020006200750072006500610075002e0020004c0065007300200064006f00630075006d0065006e00740073002000500044004600200063007200e900e90073002000700065007500760065006e0074002000ea0074007200650020006f007500760065007200740073002000640061006e00730020004100630072006f006200610074002c002000610069006e00730069002000710075002700410064006f00620065002000520065006100640065007200200035002e0030002000650074002000760065007200730069006f006e007300200075006c007400e90072006900650075007200650073002egt GRE ltFEFF03a703c103b703c303b903bc03bf03c003bf03b903ae03c303c403b5002003b103c503c403ad03c2002003c403b903c2002003c103c503b803bc03af03c303b503b903c2002003b303b903b1002003bd03b1002003b403b703bc03b903bf03c503c103b303ae03c303b503c403b5002003ad03b303b303c103b103c603b1002000410064006f006200650020005000440046002003b303b903b1002003b503ba03c403cd03c003c903c303b7002003c003bf03b903cc03c403b703c403b103c2002003c303b5002003b503ba03c403c503c003c903c403ad03c2002003b303c103b103c603b503af03bf03c5002003ba03b103b9002003b403bf03ba03b903bc03b103c303c403ad03c2002e0020002003a403b10020005000440046002003ad03b303b303c103b103c603b1002003c003bf03c5002003ad03c703b503c403b5002003b403b703bc03b903bf03c503c103b303ae03c303b503b9002003bc03c003bf03c103bf03cd03bd002003bd03b1002003b103bd03bf03b903c703c403bf03cd03bd002003bc03b5002003c403bf0020004100630072006f006200610074002c002003c403bf002000410064006f006200650020005200650061006400650072002000200035002e0030002003ba03b103b9002003bc03b503c403b103b303b503bd03ad03c303c403b503c103b503c2002003b503ba03b403cc03c303b503b903c2002egt HEB ltFEFF05D405E905EA05DE05E905D5002005D105D405D205D305E805D505EA002005D005DC05D4002005DB05D305D9002005DC05D905E605D505E8002005DE05E105DE05DB05D9002000410064006F006200650020005000440046002005E205D105D505E8002005D405D305E405E105D4002005D005D905DB05D505EA05D905EA002005D105DE05D305E405E105D505EA002005E905D505DC05D705E005D905D505EA002005D505DB05DC05D9002005D405D205D405D4002E002005DE05E105DE05DB05D9002005D4002D005000440046002005E905E005D505E605E805D905DD002005E005D905EA05E005D905DD002005DC05E405EA05D905D705D4002005D105D005DE05E605E205D505EA0020004100630072006F006200610074002005D5002D00410064006F00620065002000520065006100640065007200200035002E0030002005D505D205E805E105D005D505EA002005DE05EA05E705D305DE05D505EA002005D905D505EA05E8002Egt HRV ltFEFF005a00610020007300740076006100720061006e006a0065002000410064006f00620065002000500044004600200064006f006b0075006d0065006e0061007400610020007a00610020006b00760061006c00690074006500740061006e0020006900730070006900730020006e006100200070006900730061010d0069006d006100200069006c0069002000700072006f006f006600650072002000750072006501110061006a0069006d0061002e00200020005300740076006f00720065006e0069002000500044004600200064006f006b0075006d0065006e007400690020006d006f006700750020007300650020006f00740076006f00720069007400690020004100630072006f00620061007400200069002000410064006f00620065002000520065006100640065007200200035002e0030002000690020006b00610073006e0069006a0069006d0020007600650072007a0069006a0061006d0061002egt HUN ltFEFF004d0069006e0151007300e9006700690020006e0079006f006d00610074006f006b0020006b00e90073007a00ed007400e9007300e900680065007a002000610073007a00740061006c00690020006e0079006f006d00740061007400f3006b006f006e002000e9007300200070007200f300620061006e0079006f006d00f3006b006f006e00200065007a0065006b006b0065006c0020006100200062006500e1006c006c00ed007400e10073006f006b006b0061006c002c00200068006f007a007a006f006e0020006c00e9007400720065002000410064006f00620065002000500044004600200064006f006b0075006d0065006e00740075006d006f006b00610074002e0020002000410020006c00e90074007200650068006f007a006f00740074002000500044004600200064006f006b0075006d0065006e00740075006d006f006b00200061007a0020004100630072006f006200610074002c00200061007a002000410064006f00620065002000520065006100640065007200200035002e0030002000e9007300200061007a002000610074007400f3006c0020006b00e9007301510062006200690020007600650072007a006900f3006b006b0061006c00200020006e00790069007400680061007400f3006b0020006d00650067002egt ITA ltFEFF005500740069006c0069007a007a006100720065002000710075006500730074006500200069006d0070006f007300740061007a0069006f006e00690020007000650072002000630072006500610072006500200064006f00630075006d0065006e00740069002000410064006f006200650020005000440046002000700065007200200075006e00610020007300740061006d007000610020006400690020007100750061006c0069007400e00020007300750020007300740061006d00700061006e0074006900200065002000700072006f006f0066006500720020006400650073006b0074006f0070002e0020004900200064006f00630075006d0065006e007400690020005000440046002000630072006500610074006900200070006f00730073006f006e006f0020006500730073006500720065002000610070006500720074006900200063006f006e0020004100630072006f00620061007400200065002000410064006f00620065002000520065006100640065007200200035002e003000200065002000760065007200730069006f006e006900200073007500630063006500730073006900760065002egt JPN ltFEFF9ad854c18cea51fa529b7528002000410064006f0062006500200050004400460020658766f8306e4f5c6210306b4f7f75283057307e30593002537052376642306e753b8cea3092670059279650306b4fdd306430533068304c3067304d307e3059300230c730b930af30c830c330d730d730ea30f330bf3067306e53705237307e305f306f30d730eb30fc30d57528306b9069305730663044307e305930023053306e8a2d5b9a30674f5c62103055308c305f0020005000440046002030d530a130a430eb306f3001004100630072006f0062006100740020304a30883073002000410064006f00620065002000520065006100640065007200200035002e003000204ee5964d3067958b304f30533068304c3067304d307e30593002gt KOR ltFEFFc7740020c124c815c7440020c0acc6a9d558c5ec0020b370c2a4d06cd0d10020d504b9b0d1300020bc0f0020ad50c815ae30c5d0c11c0020ace0d488c9c8b85c0020c778c1c4d560002000410064006f0062006500200050004400460020bb38c11cb97c0020c791c131d569b2c8b2e4002e0020c774b807ac8c0020c791c131b41c00200050004400460020bb38c11cb2940020004100630072006f0062006100740020bc0f002000410064006f00620065002000520065006100640065007200200035002e00300020c774c0c1c5d0c11c0020c5f40020c2180020c788c2b5b2c8b2e4002egt LTH ltFEFF004e006100750064006f006b0069007400650020016100690075006f007300200070006100720061006d006500740072007500730020006e006f0072011700640061006d00690020006b0075007200740069002000410064006f00620065002000500044004600200064006f006b0075006d0065006e007400750073002c0020006b007500720069006500200073006b00690072007400690020006b006f006b0079006200690161006b0061006900200073007000610075007300640069006e007400690020007300740061006c0069006e0069006100690073002000690072002000620061006e00640079006d006f00200073007000610075007300640069006e007400750076006100690073002e0020002000530075006b0075007200740069002000500044004600200064006f006b0075006d0065006e007400610069002000670061006c006900200062016b007400690020006100740069006400610072006f006d00690020004100630072006f006200610074002000690072002000410064006f00620065002000520065006100640065007200200035002e0030002000610072002000760117006c00650073006e0117006d00690073002000760065007200730069006a006f006d00690073002egt LVI ltFEFF0049007a006d0061006e0074006f006a00690065007400200161006f00730020006900650073007400610074012b006a0075006d00750073002c0020006c0061006900200069007a0076006500690064006f00740075002000410064006f00620065002000500044004600200064006f006b0075006d0065006e0074007500730020006b00760061006c0069007400610074012b0076006100690020006400720075006b010101610061006e00610069002000610072002000670061006c006400610020007000720069006e00740065007200690065006d00200075006e0020007000610072006100750067006e006f00760069006c006b0075006d0075002000690065007300700069006500640113006a00690065006d002e00200049007a0076006500690064006f006a006900650074002000500044004600200064006f006b0075006d0065006e007400750073002c0020006b006f002000760061007200200061007400760113007200740020006100720020004100630072006f00620061007400200075006e002000410064006f00620065002000520065006100640065007200200035002e0030002c0020006b0101002000610072012b00200074006f0020006a00610075006e0101006b0101006d002000760065007200730069006a0101006d002egt NLD (Gebruik deze instellingen om Adobe PDF-documenten te maken voor kwaliteitsafdrukken op desktopprinters en proofers De gemaakte PDF-documenten kunnen worden geopend met Acrobat en Adobe Reader 50 en hoger) NOR ltFEFF004200720075006b00200064006900730073006500200069006e006e007300740069006c006c0069006e00670065006e0065002000740069006c002000e50020006f0070007000720065007400740065002000410064006f006200650020005000440046002d0064006f006b0075006d0065006e00740065007200200066006f00720020007500740073006b00720069006600740020006100760020006800f800790020006b00760061006c00690074006500740020007000e500200062006f007200640073006b0072006900760065007200200065006c006c00650072002000700072006f006f006600650072002e0020005000440046002d0064006f006b0075006d0065006e00740065006e00650020006b0061006e002000e50070006e00650073002000690020004100630072006f00620061007400200065006c006c00650072002000410064006f00620065002000520065006100640065007200200035002e003000200065006c006c00650072002000730065006e006500720065002egt POL ltFEFF0055007300740061007700690065006e0069006100200064006f002000740077006f0072007a0065006e0069006100200064006f006b0075006d0065006e007400f3007700200050004400460020007a002000770079017c0073007a010500200072006f007a0064007a00690065006c0063007a006f015b0063006901050020006f006200720061007a006b00f30077002c0020007a0061007000650077006e00690061006a0105006301050020006c006500700073007a01050020006a0061006b006f015b0107002000770079006400720075006b00f30077002e00200044006f006b0075006d0065006e0074007900200050004400460020006d006f017c006e00610020006f007400770069006500720061010700200077002000700072006f006700720061006d006900650020004100630072006f00620061007400200069002000410064006f00620065002000520065006100640065007200200035002e0030002000690020006e006f00770073007a0079006d002egt PTB ltFEFF005500740069006c0069007a006500200065007300730061007300200063006f006e00660069006700750072006100e700f50065007300200064006500200066006f0072006d00610020006100200063007200690061007200200064006f00630075006d0065006e0074006f0073002000410064006f0062006500200050004400460020007000610072006100200069006d0070007200650073007300f5006500730020006400650020007100750061006c0069006400610064006500200065006d00200069006d00700072006500730073006f0072006100730020006400650073006b0074006f00700020006500200064006900730070006f00730069007400690076006f0073002000640065002000700072006f00760061002e0020004f007300200064006f00630075006d0065006e0074006f00730020005000440046002000630072006900610064006f007300200070006f00640065006d0020007300650072002000610062006500720074006f007300200063006f006d0020006f0020004100630072006f006200610074002000650020006f002000410064006f00620065002000520065006100640065007200200035002e0030002000650020007600650072007300f50065007300200070006f00730074006500720069006f007200650073002egt RUM ltFEFF005500740069006c0069007a00610163006900200061006300650073007400650020007300650074010300720069002000700065006e007400720075002000610020006300720065006100200064006f00630075006d0065006e00740065002000410064006f006200650020005000440046002000700065006e007400720075002000740069007001030072006900720065002000640065002000630061006c006900740061007400650020006c006100200069006d007000720069006d0061006e007400650020006400650073006b0074006f00700020015f0069002000700065006e0074007200750020007600650072006900660069006300610074006f00720069002e002000200044006f00630075006d0065006e00740065006c00650020005000440046002000630072006500610074006500200070006f00740020006600690020006400650073006300680069007300650020006300750020004100630072006f006200610074002c002000410064006f00620065002000520065006100640065007200200035002e00300020015f00690020007600650072007300690075006e0069006c006500200075006c0074006500720069006f006100720065002egt RUS ltFEFF04180441043f043e043b044c04370443043904420435002004340430043d043d044b04350020043d0430044104420440043e0439043a043800200434043b044f00200441043e043704340430043d0438044f00200434043e043a0443043c0435043d0442043e0432002000410064006f006200650020005000440046002c0020043f044004350434043d04300437043d043004470435043d043d044b044500200434043b044f0020043a0430044704350441044204320435043d043d043e04390020043f043504470430044204380020043d04300020043d043004410442043e043b044c043d044b04450020043f04400438043d044204350440043004450020043800200443044104420440043e04390441044204320430044500200434043b044f0020043f043e043b044304470435043d0438044f0020043f0440043e0431043d044b04450020043e0442044204380441043a043e0432002e002000200421043e043704340430043d043d044b04350020005000440046002d0434043e043a0443043c0435043d0442044b0020043c043e0436043d043e00200020043e0442043a0440044b043204300442044c002004410020043f043e043c043e0449044c044e0020004100630072006f00620061007400200438002000410064006f00620065002000520065006100640065007200200035002e00300020043800200431043e043b043504350020043f043e04370434043d043804450020043204350440044104380439002egt SKY ltFEFF0054006900650074006f0020006e006100730074006100760065006e0069006100200070006f0075017e0069007400650020006e00610020007600790074007600e100720061006e0069006500200064006f006b0075006d0065006e0074006f0076002000410064006f00620065002000500044004600200070007200650020006b00760061006c00690074006e00fa00200074006c0061010d0020006e0061002000730074006f006c006e00fd0063006800200074006c0061010d00690061007201480061006300680020006100200074006c0061010d006f007600fd006300680020007a006100720069006100640065006e0069006100630068002e00200056007900740076006f00720065006e00e900200064006f006b0075006d0065006e007400790020005000440046002000620075006400650020006d006f017e006e00e90020006f00740076006f00720069016500200076002000700072006f006700720061006d006f006300680020004100630072006f00620061007400200061002000410064006f00620065002000520065006100640065007200200035002e0030002000610020006e006f0076016100ed00630068002e000d000agt SLV ltFEFF005400650020006e006100730074006100760069007400760065002000750070006f0072006100620069007400650020007a00610020007500730074007600610072006a0061006e006a006500200064006f006b0075006d0065006e0074006f0076002000410064006f0062006500200050004400460020007a00610020006b0061006b006f0076006f00730074006e006f0020007400690073006b0061006e006a00650020006e00610020006e0061006d0069007a006e006900680020007400690073006b0061006c006e0069006b0069006800200069006e0020007000720065007600650072006a0061006c006e0069006b00690068002e00200020005500730074007600610072006a0065006e006500200064006f006b0075006d0065006e0074006500200050004400460020006a00650020006d006f0067006f010d00650020006f0064007000720065007400690020007a0020004100630072006f00620061007400200069006e002000410064006f00620065002000520065006100640065007200200035002e003000200069006e0020006e006f00760065006a01610069006d002egt SUO ltFEFF004b00e40079007400e40020006e00e40069007400e4002000610073006500740075006b007300690061002c0020006b0075006e0020006c0075006f0074002000410064006f0062006500200050004400460020002d0064006f006b0075006d0065006e007400740065006a00610020006c0061006100640075006b006100730074006100200074007900f6007000f60079007400e400740075006c006f0073007400750073007400610020006a00610020007600650064006f007300740075007300740061002000760061007200740065006e002e00200020004c0075006f0064007500740020005000440046002d0064006f006b0075006d0065006e00740069007400200076006f0069006400610061006e0020006100760061007400610020004100630072006f0062006100740069006c006c00610020006a0061002000410064006f00620065002000520065006100640065007200200035002e0030003a006c006c00610020006a006100200075007500640065006d006d0069006c006c0061002egt SVE ltFEFF0041006e007600e4006e00640020006400650020006800e4007200200069006e0073007400e4006c006c006e0069006e006700610072006e00610020006f006d002000640075002000760069006c006c00200073006b006100700061002000410064006f006200650020005000440046002d0064006f006b0075006d0065006e00740020006600f600720020006b00760061006c00690074006500740073007500740073006b0072006900660074006500720020007000e5002000760061006e006c00690067006100200073006b0072006900760061007200650020006f006300680020006600f600720020006b006f007200720065006b007400750072002e002000200053006b006100700061006400650020005000440046002d0064006f006b0075006d0065006e00740020006b0061006e002000f600700070006e00610073002000690020004100630072006f0062006100740020006f00630068002000410064006f00620065002000520065006100640065007200200035002e00300020006f00630068002000730065006e006100720065002egt TUR ltFEFF004d00610073006100fc0073007400fc002000790061007a013100630131006c006100720020007600650020006200610073006b01310020006d0061006b0069006e0065006c006500720069006e006400650020006b0061006c006900740065006c00690020006200610073006b013100200061006d0061006301310079006c0061002000410064006f006200650020005000440046002000620065006c00670065006c0065007200690020006f006c0075015f007400750072006d0061006b0020006900e70069006e00200062007500200061007900610072006c0061007201310020006b0075006c006c0061006e0131006e002e00200020004f006c0075015f0074007500720075006c0061006e0020005000440046002000620065006c00670065006c0065007200690020004100630072006f006200610074002000760065002000410064006f00620065002000520065006100640065007200200035002e003000200076006500200073006f006e0072006100730131006e00640061006b00690020007300fc007200fc006d006c00650072006c00650020006100e70131006c006100620069006c00690072002egt UKR ltFEFF04120438043a043e0440043804410442043e043204430439044204350020044604560020043f043004400430043c043504420440043800200434043b044f0020044104420432043e04400435043d043d044f00200434043e043a0443043c0435043d044204560432002000410064006f006200650020005000440046002c0020044f043a04560020043d04300439043a04400430044904350020043f045604340445043e0434044f0442044c00200434043b044f0020043204380441043e043a043e044f043a04560441043d043e0433043e0020043404400443043a04430020043d04300020043d0430044104420456043b044c043d043804450020043f04400438043d044204350440043004450020044204300020043f04400438044104420440043e044f044500200434043b044f0020043e044204400438043c0430043d043d044f0020043f0440043e0431043d0438044500200437043e04310440043004360435043d044c002e00200020042104420432043e04400435043d045600200434043e043a0443043c0435043d0442043800200050004400460020043c043e0436043d04300020043204560434043a0440043804420438002004430020004100630072006f006200610074002004420430002000410064006f00620065002000520065006100640065007200200035002e0030002004300431043e0020043f04560437043d04560448043e04570020043204350440044104560457002egt ENU ltFEFF005500730065002000740068006500730065002000730065007400740069006e0067007300200074006f0020006300720065006100740065002000410064006f0062006500200050004400460020006200610073006500640020006f006e0020007400680065002000640065006600610075006c0074002000580069006c0069006e007800200070006100720061006d0065007400650072002000730065007400740069006e0067007300200064006500660069006e00650064002000620079002000500053003000300034002e002000460069006c0065006e0061006d0065003a002000780069006c0069006e0078002e006a006f0062006f007000740069006f006e0073002e000d0043006f006d007000610074006100620069006c006900740079003a0020004100630072006f00620061007400200037002e0030002000280050004400460031002e00360029002e0020005500730065003a00200046006f00720020006300720065006100740069006e0067002000460069006e0061006c002c0020005000750062006c0069007300680065006400200050004400460073002e000d0043007200650061007400650064002000310030002f00310032002f003100310020006200790020006a0066006f007200730074002egt gtgt Namespace [ (Adobe) (Common) (10) ] OtherNamespaces [ ltlt AsReaderSpreads false CropImagesToFrames true ErrorControl WarnAndContinue FlattenerIgnoreSpreadOverrides false IncludeGuidesGrids false IncludeNonPrinting false IncludeSlug false Namespace [ (Adobe) (InDesign) (40) ] OmitPlacedBitmaps false OmitPlacedEPS false OmitPlacedPDF false SimulateOverprint Legacy gtgt ltlt AddBleedMarks false AddColorBars false AddCropMarks false AddPageInfo false AddRegMarks false ConvertColors NoConversion DestinationProfileName () DestinationProfileSelector NA Downsample16BitImages true FlattenerPreset ltlt PresetSelector MediumResolution gtgt FormElements false GenerateStructure true IncludeBookmarks false IncludeHyperlinks false IncludeInteractive false IncludeLayers false IncludeProfiles true MultimediaHandling UseObjectSettings Namespace [ (Adobe) (CreativeSuite) (20) ] PDFXOutputIntentProfileSelector NA PreserveEditing true UntaggedCMYKHandling LeaveUntagged UntaggedRGBHandling LeaveUntagged UseDocumentBleed false gtgt ]gtgt setdistillerparamsltlt HWResolution [1200 1200] PageSize [612000 792000]gtgt setpagedevice

Page 20: Xilinx UG883 Kintex-7 FPGA KC705 Evaluation Kit Getting ...€¦ · Updated step 2 and step 3 in Requirements to Get Started, page 14. ... KC705 Getting Started Guide 9 UG883 (v3.0)

20 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

Hardware Bring-Up

This section presents steps for hardware bring-up

1 With the host system switched off insert the KC705 board in the PCIe slot through the PCI Express x8 or x16 edge connector (Figure 12)

The TRD programmed on the KC705 board has a 4-lane PCIe v20 configuration running at a 5 Gbs link rate per lane The PCI Express specification allows for a smaller lane width Endpoint to be installed into a larger lane width PCIe connector

2 Figure 13 shows the 12V power connection Connect the 12V ATX power supplyrsquos available 4-pin connector to the board (J49) via a 4-pin to 6-pin PCIe adapter cable Toggle the Power switch SW15 to the ON position

X-Ref Target - Figure 11

Figure 11 Switch and Jumper Settings

UG883_11_040913

J27 J28

J29 J30SW13

J32

SW15SW11

X-Ref Target - Figure 12if

Figure 12 KC705 Board Plugged into a PCIe x16 Slot

UG883_12_040913

KC705 Getting Started Guide wwwxilinxcom 21UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

3 Make sure the connections are tight and then power on the PC system

Note If the user wishes to boot Linux from the Fedora 16 Live DVD place the DVD in the PCrsquos DVD-ROM drive as soon as the PC system is powered on

4 Verify the status of the design on the KC705 LEDs The design provides status on the GPIO LEDs on the upper right of the KC705 board (Figure 14) After the PC system is powered on and the TRD has successfully configured status LEDs from right to left should indicate

bull LED 0 mdash ON if the PCIe link is up

bull LED 1 mdash Flashes if the PCIe user clock is present

bull LED 2 mdash ON if lane width is what is expected else it flashes (for a 4 lane design the expected lane width is 4 for an 8 lane design the expected lane width is 8)

bull LED 3 mdash ON if memory calibration is done

bull LED 4 to LED 7 mdash Not connected

X-Ref Target - Figure 13

Figure 13 Power Supply Connection

UG883_13_121112

SW15

J49 6-pinConnector

12V ATX PowerSupply Pluggedinto the 4-pinConnector

22 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

Install the Linux Driver

1 If Fedora 16 is installed on the PC systemrsquos hard disk boot as a root-privileged user and skip to step 3 page 23

2 To boot from the Fedora 16 Live DVD provided in the kit place the DVD in the PCrsquos DVD-ROM drive The Fedora 16 Live Media is for Intel-compatible PCs The DVD contains a complete bootable 32-bit Fedora 16 environment with the proper packages installed for the TRD demonstration environment For more details see Fedora Information page 2 The PC boots from the DVD-ROM drive and logs into a liveuser account This account has kernel development root privileges required to install and remove device driver modules

Note Users might have to adjust BIOS boot order settings to make sure that the DVD-ROM drive is the first drive in the boot order To enter the BIOS menu to set the boot order press the DEL or F2 key when the system is powered on Set the boot order and save the changes (The DEL or F2 key is used by most PC systems to enter the BIOS setup Some PCs might have a different way to enter the BIOS setup)

The PC should boot from the DVD-ROM drive The images in Figure 15 are seen on the monitor during boot up

X-Ref Target - Figure 14

Figure 14 Location of GPIO Status LEDs (Indicates TRD Status)

UG883_14_121112

KC705 Getting Started Guide wwwxilinxcom 23UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

3 After Fedora 16 Core boots open a terminal window (click Activities click Application scroll down and click the Terminal icon) To find out if the PCIe Endpoint is detected at the terminal command line type

$ lspci

The lspci command displays the devices in the PCI and PCI Express buses of the PC On the bus of the KC705 card slot is the message

Communication controller Xilinx Corporation Device 7042

This message confirms that the design programmed into the KC705 board has been found by the BIOS and the Fedora 16 OS The bus number varies depending on which PC motherboard and slot are used Figure 16 shows a lspci output for an example system Xilinx device 7042 has been found by the BIOS on bus number 2 (02000 - busdevfunction)

X-Ref Target - Figure 15

Figure 15 Fedora 16 Live DVD BootingFirst Screen Last Boot Screen Booted

UG883 15 040913

X-Ref Target - Figure 16

Figure 16 PCI and PCI Express Bus Devices

UG883_16_040913

24 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

4 Download the reference design from the Docs amp Designs tab at wwwxilinxcomkc705 and copy the k7_pcie_dma_ddr3_base folder into any directory

5 To set up and run the TRD demonstration the software driver should be installed on the PC system Software driver installation involves

a Building the kernel objects and the GUI

b Inserting the driver modules into the kernel

After the driver modules are loaded the application GUI can be invoked The user can set parameters through the GUI and run the TRD

When the user is done running the TRD the application GUI can be closed and the drivers can be removed

A script is provided to execute all the above actions so that the user can quickly start the TRD

The k7_trd_lin_quickstart script is available in the k7_pcie_dma_ddr3_base folder Right click the script select properties go to the permissions tab check the box Allow executing file as programmdashthis makes the script executable Close the window

To run the script double-click k7_trd_lin_quickstart in the k7_pcie_dma_ddr3_base folder (Figure 17) The window prompt in Figure 18 appears

X-Ref Target - Figure 17

Figure 17 Load Driver and Launch Application GUI

UG883_17_121112

KC705 Getting Started Guide wwwxilinxcom 25UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

Click Run in Terminal to proceed The application GUI is invoked

Proceed to the next section Using the Application GUI to set design parameters and run the TRD

X-Ref Target - Figure 18

Figure 18 Run in Terminal

UG883_18_040913

26 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

Using the Application GUI

When the drivers are loaded and the Performance Monitor GUI is invoked the user can configure the sending and receiving of data The GUI allows the user to observe the collected statistics and other status information

1 Click the System Status tab to verify the status of the KC705 board and the PCIe link (see Figure 19 and Table 3)

X-Ref Target - Figure 19

Figure 19 Verify Board Status in the Performance Monitor

UG883_19_121112

KC705 Getting Started Guide wwwxilinxcom 27UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

2 To start data traffic on the two data paths

a Click Start Test on Raw Data Path0 as shown in Figure 20 This enables the driver to start generating the data for Raw Data Path0

b Click Start Test on Raw Data Path1 as shown in Figure 20 This enables the driver to start generating the data for Raw Data Path1

Table 3 KC705 Board Status Field Explanations

Field Status Explanation

Link Status Up This confirms that the PCIe link is up and a PCIe connection is established between the Kintex-7 FPGA Endpoint for PCI Express and the PC motherboard chipset

Link Speed 50 Gbs This confirms that the PCIe link is operating at line rate speed per PCI Express v20

Link Width x4 This confirms that the PCIe link is trained as a x4 link

28 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

3 Verify TRD operations through the status information provided by the GUI (see Figure 21)

a Verify the PCIe throughput

b Verify the DMA channel throughput for the Raw Data Path0

c Verify the DMA channel throughput for the Raw Data Path1

d Verify there are no buffer descriptor errors for error-free operation

X-Ref Target - Figure 20

Figure 20 Start Data Traffic from the Performance Monitor

UG883_20_121112

KC705 Getting Started Guide wwwxilinxcom 29UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

The Kintex-7 FPGA PCIe-DMA TRD is now set up and running Close the Application GUI to unload the software drivers and stop traffic flow

X-Ref Target - Figure 21

Figure 21 Verify Error-Free Operation in the Performance Monitor

UG883_21_121112

30 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

Evaluating the Kintex-7 FPGA Base TRDThe Kintex-7 FPGA Base TRD provides a Performance and Status monitor application and GUI The application enables customers to evaluate different system parameters This section demonstrates performance variances for the PCI Express and DMA interfaces based on the parameters set

To evaluate the Kintex-7 FPGA Base TRD

1 Launch the Performance Monitor for the Kintex-7 FPGA Base TRD

a Navigate to the k7_pcie_dma_ddr3_base folder

b Double-click k7_lin_trd_quickstart (Figure 22)mdashmake sure that the script has executable permissionmdashto launch the Performance Monitor and Status GUI

c A window prompt appears as shown in Figure 23 Click Run in Terminal to proceed

2 Set up the test parameters in the Performance Monitor

a Two data paths are available Raw Data Path0 and Raw Data Path1 On each path set the Packet Size to a value between 64 ndash 32768 bytes

X-Ref Target - Figure 22

Figure 22 Launch the Performance Monitor and Status GUI

UG883_22_121112

X-Ref Target - Figure 23

Figure 23 Run k7_lin_trd_quickstart

UG883_23_121112

KC705 Getting Started Guide wwwxilinxcom 31UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

3 Execute the test and view payload statistics in the Performance and Status Monitor (see Figure 24)

a Click Start Test to start the performance test

b Click the Payload Statistics tab to view data transfers on the DMA channels

c Click Stop Test to stop data traffic

d Vary the Packet Size parameters for the Raw Data Paths (see Figure 25) and click Start Test Then view the payload statistics to review data transfer rate on the DMA channels With a decrease in packet size the performance drops

Note Before changing packet size click Stop Test change the size and then click Start Test

X-Ref Target - Figure 24

Figure 24 Payload Statistics

UG883_24_121112

32 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

Note For packet sizes equal to 64 or 128 bytes the throughput is reduced and might not be visible on the Payload Statistics tab The exact values can be viewed on the System Status tab

4 Click the PCIe Statistics tab to view data transfer numbers with varying packet sizes on the PCIe interface (Figure 26)

X-Ref Target - Figure 25

Figure 25 Effect of Varying Packet Sizes on Performance

UG883_25_121112

KC705 Getting Started Guide wwwxilinxcom 33UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

The system performance of the Kintex-7 FPGA Base TRD has now been evaluated using the pre-built demonstration design bit file

Now that the Kintex-7 FPGA Base TRD demonstration has been set up and evaluated the design can be modified Before the design can be modified make sure to install the Vivado Design Suite on a PC It is not required that tools be installed on the PC system in which the KC705 evaluation board is plugged in by way of the PCIe edge connector

X-Ref Target - Figure 26

Figure 26 PCIe Statistics in the Performance Monitor

UG883_26_121112

34 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

KC705 Getting Started Guide wwwxilinxcom 35UG883 (v30) April 16 2013

Appendix A

Additional Resources

Xilinx ResourcesFor support resources such as Answers Documentation Downloads and Forums see the Xilinx Support website at

wwwxilinxcomsupport

For continual updates add the Answer Record to your myAlerts

wwwxilinxcomsupportmyalerts

For a glossary of technical terms used in Xilinx documentation see

wwwxilinxcomcompanytermshtm

Solution CentersSee the Xilinx Solution Centers for support on devices software tools and intellectual property at all stages of the design cycle Topics include design assistance advisories and troubleshooting tips

Further ResourcesThe most up to date information related to the KC705 board and its documentation is available on the following websites

The Kintex-7 FPGA KC705 Evaluation Kit Product Page

wwwxilinxcomkc705

The Kintex-7 FPGA KC705 Evaluation Kit Master Answer Record

wwwxilinxcomsupportanswers47787htm

Design advisories by software release for the Kintex-7 FPGA KC705 Evaluation Kit

wwwxilinxcomsupportnav=sd-nav-link-179661amptab=tab-bk

Kintex-7 FPGA Base Targeted Reference Design Release Notes and Known Issues Master Answer Record

wwwxilinxcomsupportanswers45679htm

These documents provide supplemental material useful with this user guide

UG810 KC705 Evaluation Board for the Kintex-7 FPGA User Guide

UG845 KC705 Reference Design User Guide

36 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Appendix A Additional Resources

UG798 Xilinx Design Tools Installation and Licensing Guide Vivado Design Suite and ISE Design Suite

UG908 Vivado Design Suite User Guide Programming and Debugging

UG882 Kintex-7 FPGA KC705 Base Targeted Reference Design User Guide (Vivado Design Suite 20124)

UG886 AMS101 Evaluation Card User Guide

UG477 7 Series FPGAs Integrated Block for PCI Express User Guide

ReferencesThe following websites provide supplemental material useful with this guide

1 LabVIEW 32-bit Run-Time Enginehttpjoulenicomniducdsviewpid2534langen

2 LabVIEW 64-bit Run-Time Engine httpjoulenicomniducdsviewpid2536langen

3 Tera Term Pro program httphpvectorcojpauthorsVA002416teratermhtml

4 Drivers on the Silicon Labs site httpwwwsilabscomSupport20DocumentsSoftwareCP210x_VCP_Win_XP_S2K3_Vista_7exe

5 Northwest Logic DMA back end core httpwwwnwlogiccompacketdma

6 Fedora project httpfedoraprojectorg

Fedora is a Linux-based operating system used in the development of this TRD

7 The GTK+ project API documentation httpwwwgtkorgdocumentationphp

GTK+ is a toolkit for creating graphical user interfaces (GUI)

KC705 Getting Started Guide wwwxilinxcom 37UG883 (v30) April 16 2013

Appendix B

Warranty

THIS LIMITED WARRANTY applies solely to standard hardware development boards and standard hardware programming cables manufactured by or on behalf of Xilinx (ldquoDevelopment Systemsrdquo) Subject to the limitations herein Xilinx warrants that Development Systems when delivered by Xilinx or its authorized distributor for ninety (90) days following the delivery date will be free from defects in material and workmanship and will substantially conform to Xilinx publicly available specifications for such products in effect at the time of delivery This limited warranty excludes (i) engineering samples or beta versions of Development Systems (which are provided ldquoAS ISrdquo without warranty) (ii) design defects or errors known as ldquoerratardquo (iii) Development Systems procured through unauthorized third parties and (iv) Development Systems that have been subject to misuse mishandling accident alteration neglect unauthorized repair or installation Furthermore this limited warranty shall not apply to the use of covered products in an application or environment that is not within Xilinx specifications or in the event of any act error neglect or default of Customer For any breach by Xilinx of this limited warranty the exclusive remedy of Customer and the sole liability of Xilinx shall be at the option of Xilinx to replace or repair the affected products or to refund to Customer the price of the affected products The availability of replacement products is subject to product discontinuation policies at Xilinx Customer may not return product without first obtaining a customer return material authorization (RMA) number from XilinxTHE WARRANTIES SET FORTH HEREIN ARE EXCLUSIVE XILINX DISCLAIMS ALL OTHER WARRANTIES WHETHER EXPRESS IMPLIED OR STATUTORY INCLUDING WITHOUT LIMITATION ANY WARRANTY OF MERCHANTABILITY FITNESS FOR A PARTICULAR PURPOSE OR NON-INFRINGEMENT AND ANY WARRANTY THAT MAY ARISE FROM COURSE OF DEALING COURSE OF PERFORMANCE OR USAGE OF TRADE (200810)

Do not throw Xilinx products marked with the ldquocrossed out wheeled binrdquo in the trash Directive 200296EC on waste electrical and electronic equipment (WEEE) requires the separate collection of WEEE Your cooperation is essential in ensuring the proper management of WEEE and the protection of the environment and human health from potential effects arising from the presence of hazardous substances in WEEE Return the marked products to Xilinx for proper disposal Further information and instructions for free-of-charge return available at httpwwwxilinxcomehsweeehtm

38 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Appendix B Warranty

  • Kintex-7 FPGA KC705 Evaluation Kit (Vivado Design Suite 20131)
    • Revision History
    • Table of Contents
    • Getting Started with the Kintex-7 FPGA KC705 Evaluation Kit (Vivado Design Suite 20131)
      • Introduction
      • Kit Contents
      • Basic Hardware Bring-up Using the BIST
        • Hardware Test Setup Requirements
          • Hardware Test Board Setup Requirements
            • Hardware Bring-Up
            • Run the BIST Application
              • AMS Bring-up with the AMS101 Evaluation Card
                • Requirements to Get Started
                • Evaluating AMS
                  • Advanced Bring-up Using the Base Targeted Reference Design
                    • Components
                    • Hardware Test Setup Requirements
                    • TRD Demonstration Setup
                    • Evaluating the Kintex-7 FPGA Base TRD
                        • Additional Resources
                          • Xilinx Resources
                          • Solution Centers
                          • Further Resources
                          • References
                            • Warranty
                                • ltlt ASCII85EncodePages false AllowTransparency false AutoPositionEPSFiles true AutoRotatePages All Binding Left CalGrayProfile (Dot Gain 20) CalRGBProfile (sRGB IEC61966-21) CalCMYKProfile (US Web Coated 050SWOP051 v2) sRGBProfile (sRGB IEC61966-21) CannotEmbedFontPolicy Warning CompatibilityLevel 16 CompressObjects Tags CompressPages true ConvertImagesToIndexed true PassThroughJPEGImages true CreateJobTicket false DefaultRenderingIntent Default DetectBlends true DetectCurves 00000 ColorConversionStrategy LeaveColorUnchanged DoThumbnails false EmbedAllFonts true EmbedOpenType false ParseICCProfilesInComments true EmbedJobOptions true DSCReportingLevel 0 EmitDSCWarnings false EndPage -1 ImageMemory 1048576 LockDistillerParams true MaxSubsetPct 100 Optimize true OPM 1 ParseDSCComments true ParseDSCCommentsForDocInfo true PreserveCopyPage true PreserveDICMYKValues true PreserveEPSInfo true PreserveFlatness true PreserveHalftoneInfo false PreserveOPIComments false PreserveOverprintSettings true StartPage 1 SubsetFonts true TransferFunctionInfo Apply UCRandBGInfo Preserve UsePrologue false ColorSettingsFile () AlwaysEmbed [ true ] NeverEmbed [ true ] AntiAliasColorImages false CropColorImages true ColorImageMinResolution 300 ColorImageMinResolutionPolicy OK DownsampleColorImages false ColorImageDownsampleType Bicubic ColorImageResolution 300 ColorImageDepth -1 ColorImageMinDownsampleDepth 1 ColorImageDownsampleThreshold 150000 EncodeColorImages true ColorImageFilter DCTEncode AutoFilterColorImages true ColorImageAutoFilterStrategy JPEG ColorACSImageDict ltlt QFactor 040 HSamples [1 1 1 1] VSamples [1 1 1 1] gtgt ColorImageDict ltlt QFactor 015 HSamples [1 1 1 1] VSamples [1 1 1 1] gtgt JPEG2000ColorACSImageDict ltlt TileWidth 256 TileHeight 256 Quality 30 gtgt JPEG2000ColorImageDict ltlt TileWidth 256 TileHeight 256 Quality 30 gtgt AntiAliasGrayImages false CropGrayImages true GrayImageMinResolution 300 GrayImageMinResolutionPolicy OK DownsampleGrayImages false GrayImageDownsampleType Bicubic GrayImageResolution 300 GrayImageDepth -1 GrayImageMinDownsampleDepth 2 GrayImageDownsampleThreshold 150000 EncodeGrayImages true GrayImageFilter DCTEncode AutoFilterGrayImages true GrayImageAutoFilterStrategy JPEG GrayACSImageDict ltlt QFactor 040 HSamples [1 1 1 1] VSamples [1 1 1 1] gtgt GrayImageDict ltlt QFactor 015 HSamples [1 1 1 1] VSamples [1 1 1 1] gtgt JPEG2000GrayACSImageDict ltlt TileWidth 256 TileHeight 256 Quality 30 gtgt JPEG2000GrayImageDict ltlt TileWidth 256 TileHeight 256 Quality 30 gtgt AntiAliasMonoImages false CropMonoImages true MonoImageMinResolution 1200 MonoImageMinResolutionPolicy OK DownsampleMonoImages false MonoImageDownsampleType Bicubic MonoImageResolution 1200 MonoImageDepth -1 MonoImageDownsampleThreshold 150000 EncodeMonoImages true MonoImageFilter CCITTFaxEncode MonoImageDict ltlt K -1 gtgt AllowPSXObjects false CheckCompliance [ None ] PDFX1aCheck false PDFX3Check false PDFXCompliantPDFOnly false PDFXNoTrimBoxError true PDFXTrimBoxToMediaBoxOffset [ 000000 000000 000000 000000 ] PDFXSetBleedBoxToMediaBox true PDFXBleedBoxToTrimBoxOffset [ 000000 000000 000000 000000 ] PDFXOutputIntentProfile (None) PDFXOutputConditionIdentifier () PDFXOutputCondition () PDFXRegistryName () PDFXTrapped False CreateJDFFile false Description ltlt ARA ltFEFF06270633062A062E062F0645002006470630064700200627064406250639062F0627062F0627062A002006440625064606340627062100200648062B062706260642002000410064006F00620065002000500044004600200645062A0648062706410642062900200644064406370628062706390629002006300627062A002006270644062C0648062F0629002006270644063906270644064A06290020064506460020062E06440627064400200627064406370627062806390627062A00200627064406450643062A0628064A062900200623064800200623062C06470632062900200625062C06310627062100200627064406280631064806410627062A061B0020064A06450643064600200641062A062D00200648062B0627062606420020005000440046002006270644064506460634062306290020062806270633062A062E062F062706450020004100630072006F0062006100740020064800410064006F006200650020005200650061006400650072002006250635062F0627063100200035002E0030002006480627064406250635062F062706310627062A0020062706440623062D062F062B002E0020064506390020005000440046002F0041060C0020062706440631062C062706210020064506310627062C063906290020062F0644064A0644002006450633062A062E062F06450020004100630072006F006200610074061B0020064A06450643064600200641062A062D00200648062B0627062606420020005000440046002006270644064506460634062306290020062806270633062A062E062F062706450020004100630072006F0062006100740020064800410064006F006200650020005200650061006400650072002006250635062F0627063100200035002E0030002006480627064406250635062F062706310627062A0020062706440623062D062F062B002Egt BGR ltFEFF04180437043f043e043b043704320430043904420435002004420435043704380020043d0430044104420440043e0439043a0438002c00200437043000200434043000200441044a0437043404300432043004420435002000410064006f00620065002000500044004600200434043e043a0443043c0435043d044204380020043704300020043a0430044704350441044204320435043d0020043f04350447043004420020043d04300020043d043004410442043e043b043d04380020043f04400438043d04420435044004380020043800200443044104420440043e043904410442043204300020043704300020043f04350447043004420020043d04300020043f0440043e0431043d04380020044004300437043f0435044704300442043a0438002e002000200421044a04370434043004340435043d043804420435002000500044004600200434043e043a0443043c0435043d044204380020043c043e0433043004420020043404300020044104350020043e0442043204300440044f0442002004410020004100630072006f00620061007400200438002000410064006f00620065002000520065006100640065007200200035002e00300020043800200441043b0435043404320430044904380020043204350440044104380438002egt CHS ltFEFF4f7f75288fd94e9b8bbe5b9a521b5efa7684002000500044004600206587686353ef901a8fc7684c976262535370673a548c002000700072006f006f00660065007200208fdb884c9ad88d2891cf62535370300260a853ef4ee54f7f75280020004100630072006f0062006100740020548c002000410064006f00620065002000520065006100640065007200200035002e003000204ee553ca66f49ad87248672c676562535f00521b5efa768400200050004400460020658768633002gt CHT ltFEFF4f7f752890194e9b8a2d7f6e5efa7acb7684002000410064006f006200650020005000440046002065874ef653ef5728684c9762537088686a5f548c002000700072006f006f00660065007200204e0a73725f979ad854c18cea7684521753706548679c300260a853ef4ee54f7f75280020004100630072006f0062006100740020548c002000410064006f00620065002000520065006100640065007200200035002e003000204ee553ca66f49ad87248672c4f86958b555f5df25efa7acb76840020005000440046002065874ef63002gt CZE ltFEFF005400610074006f0020006e006100730074006100760065006e00ed00200070006f0075017e0069006a007400650020006b0020007600790074007600e101590065006e00ed00200064006f006b0075006d0065006e0074016f002000410064006f006200650020005000440046002000700072006f0020006b00760061006c00690074006e00ed0020007400690073006b0020006e0061002000730074006f006c006e00ed006300680020007400690073006b00e10072006e00e100630068002000610020006e00e1007400690073006b006f007600fd006300680020007a0061015900ed007a0065006e00ed00630068002e002000200056007900740076006f01590065006e00e900200064006f006b0075006d0065006e007400790020005000440046002000620075006400650020006d006f017e006e00e90020006f007400650076015900ed007400200076002000700072006f006700720061006d0065006300680020004100630072006f00620061007400200061002000410064006f00620065002000520065006100640065007200200035002e0030002000610020006e006f0076011b006a016100ed00630068002egt DAN ltFEFF004200720075006700200069006e0064007300740069006c006c0069006e006700650072006e0065002000740069006c0020006100740020006f007000720065007400740065002000410064006f006200650020005000440046002d0064006f006b0075006d0065006e007400650072002000740069006c0020006b00760061006c00690074006500740073007500640073006b007200690076006e0069006e006700200065006c006c006500720020006b006f007200720065006b007400750072006c00e60073006e0069006e0067002e0020004400650020006f007000720065007400740065006400650020005000440046002d0064006f006b0075006d0065006e0074006500720020006b0061006e002000e50062006e00650073002000690020004100630072006f00620061007400200065006c006c006500720020004100630072006f006200610074002000520065006100640065007200200035002e00300020006f00670020006e0079006500720065002egt DEU ltFEFF00560065007200770065006e00640065006e0020005300690065002000640069006500730065002000450069006e007300740065006c006c0075006e00670065006e0020007a0075006d002000450072007300740065006c006c0065006e00200076006f006e002000410064006f006200650020005000440046002d0044006f006b0075006d0065006e00740065006e002c00200076006f006e002000640065006e0065006e002000530069006500200068006f00630068007700650072007400690067006500200044007200750063006b006500200061007500660020004400650073006b0074006f0070002d0044007200750063006b00650072006e00200075006e0064002000500072006f006f0066002d00470065007200e400740065006e002000650072007a0065007500670065006e0020006d00f60063006800740065006e002e002000450072007300740065006c006c007400650020005000440046002d0044006f006b0075006d0065006e007400650020006b00f6006e006e0065006e0020006d006900740020004100630072006f00620061007400200075006e0064002000410064006f00620065002000520065006100640065007200200035002e00300020006f0064006500720020006800f600680065007200200067006500f600660066006e00650074002000770065007200640065006e002egt ESP ltFEFF005500740069006c0069006300650020006500730074006100200063006f006e0066006900670075007200610063006900f3006e0020007000610072006100200063007200650061007200200064006f00630075006d0065006e0074006f0073002000640065002000410064006f0062006500200050004400460020007000610072006100200063006f006e00730065006700750069007200200069006d0070007200650073006900f3006e002000640065002000630061006c006900640061006400200065006e00200069006d0070007200650073006f0072006100730020006400650020006500730063007200690074006f00720069006f00200079002000680065007200720061006d00690065006e00740061007300200064006500200063006f00720072006500630063006900f3006e002e002000530065002000700075006500640065006e00200061006200720069007200200064006f00630075006d0065006e0074006f00730020005000440046002000630072006500610064006f007300200063006f006e0020004100630072006f006200610074002c002000410064006f00620065002000520065006100640065007200200035002e003000200079002000760065007200730069006f006e0065007300200070006f00730074006500720069006f007200650073002egt ETI ltFEFF004b00610073007500740061006700650020006e0065006900640020007300e4007400740065006900640020006c006100750061002d0020006a00610020006b006f006e00740072006f006c006c007400f5006d006d006900730065007000720069006e0074006500720069007400650020006a0061006f006b00730020006b00760061006c006900740065006500740073006500740065002000410064006f006200650020005000440046002d0064006f006b0075006d0065006e00740069006400650020006c006f006f006d006900730065006b0073002e002e00200020004c006f006f0064007500640020005000440046002d0064006f006b0075006d0065006e00740065002000730061006100740065002000610076006100640061002000700072006f006700720061006d006d006900640065006700610020004100630072006f0062006100740020006e0069006e0067002000410064006f00620065002000520065006100640065007200200035002e00300020006a00610020007500750065006d006100740065002000760065007200730069006f006f006e00690064006500670061002e000d000agt FRA ltFEFF005500740069006c006900730065007a00200063006500730020006f007000740069006f006e00730020006100660069006e00200064006500200063007200e900650072002000640065007300200064006f00630075006d0065006e00740073002000410064006f00620065002000500044004600200070006f007500720020006400650073002000e90070007200650075007600650073002000650074002000640065007300200069006d007000720065007300730069006f006e00730020006400650020006800610075007400650020007100750061006c0069007400e90020007300750072002000640065007300200069006d007000720069006d0061006e0074006500730020006400650020006200750072006500610075002e0020004c0065007300200064006f00630075006d0065006e00740073002000500044004600200063007200e900e90073002000700065007500760065006e0074002000ea0074007200650020006f007500760065007200740073002000640061006e00730020004100630072006f006200610074002c002000610069006e00730069002000710075002700410064006f00620065002000520065006100640065007200200035002e0030002000650074002000760065007200730069006f006e007300200075006c007400e90072006900650075007200650073002egt GRE ltFEFF03a703c103b703c303b903bc03bf03c003bf03b903ae03c303c403b5002003b103c503c403ad03c2002003c403b903c2002003c103c503b803bc03af03c303b503b903c2002003b303b903b1002003bd03b1002003b403b703bc03b903bf03c503c103b303ae03c303b503c403b5002003ad03b303b303c103b103c603b1002000410064006f006200650020005000440046002003b303b903b1002003b503ba03c403cd03c003c903c303b7002003c003bf03b903cc03c403b703c403b103c2002003c303b5002003b503ba03c403c503c003c903c403ad03c2002003b303c103b103c603b503af03bf03c5002003ba03b103b9002003b403bf03ba03b903bc03b103c303c403ad03c2002e0020002003a403b10020005000440046002003ad03b303b303c103b103c603b1002003c003bf03c5002003ad03c703b503c403b5002003b403b703bc03b903bf03c503c103b303ae03c303b503b9002003bc03c003bf03c103bf03cd03bd002003bd03b1002003b103bd03bf03b903c703c403bf03cd03bd002003bc03b5002003c403bf0020004100630072006f006200610074002c002003c403bf002000410064006f006200650020005200650061006400650072002000200035002e0030002003ba03b103b9002003bc03b503c403b103b303b503bd03ad03c303c403b503c103b503c2002003b503ba03b403cc03c303b503b903c2002egt HEB ltFEFF05D405E905EA05DE05E905D5002005D105D405D205D305E805D505EA002005D005DC05D4002005DB05D305D9002005DC05D905E605D505E8002005DE05E105DE05DB05D9002000410064006F006200650020005000440046002005E205D105D505E8002005D405D305E405E105D4002005D005D905DB05D505EA05D905EA002005D105DE05D305E405E105D505EA002005E905D505DC05D705E005D905D505EA002005D505DB05DC05D9002005D405D205D405D4002E002005DE05E105DE05DB05D9002005D4002D005000440046002005E905E005D505E605E805D905DD002005E005D905EA05E005D905DD002005DC05E405EA05D905D705D4002005D105D005DE05E605E205D505EA0020004100630072006F006200610074002005D5002D00410064006F00620065002000520065006100640065007200200035002E0030002005D505D205E805E105D005D505EA002005DE05EA05E705D305DE05D505EA002005D905D505EA05E8002Egt HRV ltFEFF005a00610020007300740076006100720061006e006a0065002000410064006f00620065002000500044004600200064006f006b0075006d0065006e0061007400610020007a00610020006b00760061006c00690074006500740061006e0020006900730070006900730020006e006100200070006900730061010d0069006d006100200069006c0069002000700072006f006f006600650072002000750072006501110061006a0069006d0061002e00200020005300740076006f00720065006e0069002000500044004600200064006f006b0075006d0065006e007400690020006d006f006700750020007300650020006f00740076006f00720069007400690020004100630072006f00620061007400200069002000410064006f00620065002000520065006100640065007200200035002e0030002000690020006b00610073006e0069006a0069006d0020007600650072007a0069006a0061006d0061002egt HUN ltFEFF004d0069006e0151007300e9006700690020006e0079006f006d00610074006f006b0020006b00e90073007a00ed007400e9007300e900680065007a002000610073007a00740061006c00690020006e0079006f006d00740061007400f3006b006f006e002000e9007300200070007200f300620061006e0079006f006d00f3006b006f006e00200065007a0065006b006b0065006c0020006100200062006500e1006c006c00ed007400e10073006f006b006b0061006c002c00200068006f007a007a006f006e0020006c00e9007400720065002000410064006f00620065002000500044004600200064006f006b0075006d0065006e00740075006d006f006b00610074002e0020002000410020006c00e90074007200650068006f007a006f00740074002000500044004600200064006f006b0075006d0065006e00740075006d006f006b00200061007a0020004100630072006f006200610074002c00200061007a002000410064006f00620065002000520065006100640065007200200035002e0030002000e9007300200061007a002000610074007400f3006c0020006b00e9007301510062006200690020007600650072007a006900f3006b006b0061006c00200020006e00790069007400680061007400f3006b0020006d00650067002egt ITA ltFEFF005500740069006c0069007a007a006100720065002000710075006500730074006500200069006d0070006f007300740061007a0069006f006e00690020007000650072002000630072006500610072006500200064006f00630075006d0065006e00740069002000410064006f006200650020005000440046002000700065007200200075006e00610020007300740061006d007000610020006400690020007100750061006c0069007400e00020007300750020007300740061006d00700061006e0074006900200065002000700072006f006f0066006500720020006400650073006b0074006f0070002e0020004900200064006f00630075006d0065006e007400690020005000440046002000630072006500610074006900200070006f00730073006f006e006f0020006500730073006500720065002000610070006500720074006900200063006f006e0020004100630072006f00620061007400200065002000410064006f00620065002000520065006100640065007200200035002e003000200065002000760065007200730069006f006e006900200073007500630063006500730073006900760065002egt JPN ltFEFF9ad854c18cea51fa529b7528002000410064006f0062006500200050004400460020658766f8306e4f5c6210306b4f7f75283057307e30593002537052376642306e753b8cea3092670059279650306b4fdd306430533068304c3067304d307e3059300230c730b930af30c830c330d730d730ea30f330bf3067306e53705237307e305f306f30d730eb30fc30d57528306b9069305730663044307e305930023053306e8a2d5b9a30674f5c62103055308c305f0020005000440046002030d530a130a430eb306f3001004100630072006f0062006100740020304a30883073002000410064006f00620065002000520065006100640065007200200035002e003000204ee5964d3067958b304f30533068304c3067304d307e30593002gt KOR ltFEFFc7740020c124c815c7440020c0acc6a9d558c5ec0020b370c2a4d06cd0d10020d504b9b0d1300020bc0f0020ad50c815ae30c5d0c11c0020ace0d488c9c8b85c0020c778c1c4d560002000410064006f0062006500200050004400460020bb38c11cb97c0020c791c131d569b2c8b2e4002e0020c774b807ac8c0020c791c131b41c00200050004400460020bb38c11cb2940020004100630072006f0062006100740020bc0f002000410064006f00620065002000520065006100640065007200200035002e00300020c774c0c1c5d0c11c0020c5f40020c2180020c788c2b5b2c8b2e4002egt LTH ltFEFF004e006100750064006f006b0069007400650020016100690075006f007300200070006100720061006d006500740072007500730020006e006f0072011700640061006d00690020006b0075007200740069002000410064006f00620065002000500044004600200064006f006b0075006d0065006e007400750073002c0020006b007500720069006500200073006b00690072007400690020006b006f006b0079006200690161006b0061006900200073007000610075007300640069006e007400690020007300740061006c0069006e0069006100690073002000690072002000620061006e00640079006d006f00200073007000610075007300640069006e007400750076006100690073002e0020002000530075006b0075007200740069002000500044004600200064006f006b0075006d0065006e007400610069002000670061006c006900200062016b007400690020006100740069006400610072006f006d00690020004100630072006f006200610074002000690072002000410064006f00620065002000520065006100640065007200200035002e0030002000610072002000760117006c00650073006e0117006d00690073002000760065007200730069006a006f006d00690073002egt LVI ltFEFF0049007a006d0061006e0074006f006a00690065007400200161006f00730020006900650073007400610074012b006a0075006d00750073002c0020006c0061006900200069007a0076006500690064006f00740075002000410064006f00620065002000500044004600200064006f006b0075006d0065006e0074007500730020006b00760061006c0069007400610074012b0076006100690020006400720075006b010101610061006e00610069002000610072002000670061006c006400610020007000720069006e00740065007200690065006d00200075006e0020007000610072006100750067006e006f00760069006c006b0075006d0075002000690065007300700069006500640113006a00690065006d002e00200049007a0076006500690064006f006a006900650074002000500044004600200064006f006b0075006d0065006e007400750073002c0020006b006f002000760061007200200061007400760113007200740020006100720020004100630072006f00620061007400200075006e002000410064006f00620065002000520065006100640065007200200035002e0030002c0020006b0101002000610072012b00200074006f0020006a00610075006e0101006b0101006d002000760065007200730069006a0101006d002egt NLD (Gebruik deze instellingen om Adobe PDF-documenten te maken voor kwaliteitsafdrukken op desktopprinters en proofers De gemaakte PDF-documenten kunnen worden geopend met Acrobat en Adobe Reader 50 en hoger) NOR ltFEFF004200720075006b00200064006900730073006500200069006e006e007300740069006c006c0069006e00670065006e0065002000740069006c002000e50020006f0070007000720065007400740065002000410064006f006200650020005000440046002d0064006f006b0075006d0065006e00740065007200200066006f00720020007500740073006b00720069006600740020006100760020006800f800790020006b00760061006c00690074006500740020007000e500200062006f007200640073006b0072006900760065007200200065006c006c00650072002000700072006f006f006600650072002e0020005000440046002d0064006f006b0075006d0065006e00740065006e00650020006b0061006e002000e50070006e00650073002000690020004100630072006f00620061007400200065006c006c00650072002000410064006f00620065002000520065006100640065007200200035002e003000200065006c006c00650072002000730065006e006500720065002egt POL ltFEFF0055007300740061007700690065006e0069006100200064006f002000740077006f0072007a0065006e0069006100200064006f006b0075006d0065006e007400f3007700200050004400460020007a002000770079017c0073007a010500200072006f007a0064007a00690065006c0063007a006f015b0063006901050020006f006200720061007a006b00f30077002c0020007a0061007000650077006e00690061006a0105006301050020006c006500700073007a01050020006a0061006b006f015b0107002000770079006400720075006b00f30077002e00200044006f006b0075006d0065006e0074007900200050004400460020006d006f017c006e00610020006f007400770069006500720061010700200077002000700072006f006700720061006d006900650020004100630072006f00620061007400200069002000410064006f00620065002000520065006100640065007200200035002e0030002000690020006e006f00770073007a0079006d002egt PTB ltFEFF005500740069006c0069007a006500200065007300730061007300200063006f006e00660069006700750072006100e700f50065007300200064006500200066006f0072006d00610020006100200063007200690061007200200064006f00630075006d0065006e0074006f0073002000410064006f0062006500200050004400460020007000610072006100200069006d0070007200650073007300f5006500730020006400650020007100750061006c0069006400610064006500200065006d00200069006d00700072006500730073006f0072006100730020006400650073006b0074006f00700020006500200064006900730070006f00730069007400690076006f0073002000640065002000700072006f00760061002e0020004f007300200064006f00630075006d0065006e0074006f00730020005000440046002000630072006900610064006f007300200070006f00640065006d0020007300650072002000610062006500720074006f007300200063006f006d0020006f0020004100630072006f006200610074002000650020006f002000410064006f00620065002000520065006100640065007200200035002e0030002000650020007600650072007300f50065007300200070006f00730074006500720069006f007200650073002egt RUM ltFEFF005500740069006c0069007a00610163006900200061006300650073007400650020007300650074010300720069002000700065006e007400720075002000610020006300720065006100200064006f00630075006d0065006e00740065002000410064006f006200650020005000440046002000700065006e007400720075002000740069007001030072006900720065002000640065002000630061006c006900740061007400650020006c006100200069006d007000720069006d0061006e007400650020006400650073006b0074006f00700020015f0069002000700065006e0074007200750020007600650072006900660069006300610074006f00720069002e002000200044006f00630075006d0065006e00740065006c00650020005000440046002000630072006500610074006500200070006f00740020006600690020006400650073006300680069007300650020006300750020004100630072006f006200610074002c002000410064006f00620065002000520065006100640065007200200035002e00300020015f00690020007600650072007300690075006e0069006c006500200075006c0074006500720069006f006100720065002egt RUS ltFEFF04180441043f043e043b044c04370443043904420435002004340430043d043d044b04350020043d0430044104420440043e0439043a043800200434043b044f00200441043e043704340430043d0438044f00200434043e043a0443043c0435043d0442043e0432002000410064006f006200650020005000440046002c0020043f044004350434043d04300437043d043004470435043d043d044b044500200434043b044f0020043a0430044704350441044204320435043d043d043e04390020043f043504470430044204380020043d04300020043d043004410442043e043b044c043d044b04450020043f04400438043d044204350440043004450020043800200443044104420440043e04390441044204320430044500200434043b044f0020043f043e043b044304470435043d0438044f0020043f0440043e0431043d044b04450020043e0442044204380441043a043e0432002e002000200421043e043704340430043d043d044b04350020005000440046002d0434043e043a0443043c0435043d0442044b0020043c043e0436043d043e00200020043e0442043a0440044b043204300442044c002004410020043f043e043c043e0449044c044e0020004100630072006f00620061007400200438002000410064006f00620065002000520065006100640065007200200035002e00300020043800200431043e043b043504350020043f043e04370434043d043804450020043204350440044104380439002egt SKY ltFEFF0054006900650074006f0020006e006100730074006100760065006e0069006100200070006f0075017e0069007400650020006e00610020007600790074007600e100720061006e0069006500200064006f006b0075006d0065006e0074006f0076002000410064006f00620065002000500044004600200070007200650020006b00760061006c00690074006e00fa00200074006c0061010d0020006e0061002000730074006f006c006e00fd0063006800200074006c0061010d00690061007201480061006300680020006100200074006c0061010d006f007600fd006300680020007a006100720069006100640065006e0069006100630068002e00200056007900740076006f00720065006e00e900200064006f006b0075006d0065006e007400790020005000440046002000620075006400650020006d006f017e006e00e90020006f00740076006f00720069016500200076002000700072006f006700720061006d006f006300680020004100630072006f00620061007400200061002000410064006f00620065002000520065006100640065007200200035002e0030002000610020006e006f0076016100ed00630068002e000d000agt SLV ltFEFF005400650020006e006100730074006100760069007400760065002000750070006f0072006100620069007400650020007a00610020007500730074007600610072006a0061006e006a006500200064006f006b0075006d0065006e0074006f0076002000410064006f0062006500200050004400460020007a00610020006b0061006b006f0076006f00730074006e006f0020007400690073006b0061006e006a00650020006e00610020006e0061006d0069007a006e006900680020007400690073006b0061006c006e0069006b0069006800200069006e0020007000720065007600650072006a0061006c006e0069006b00690068002e00200020005500730074007600610072006a0065006e006500200064006f006b0075006d0065006e0074006500200050004400460020006a00650020006d006f0067006f010d00650020006f0064007000720065007400690020007a0020004100630072006f00620061007400200069006e002000410064006f00620065002000520065006100640065007200200035002e003000200069006e0020006e006f00760065006a01610069006d002egt SUO ltFEFF004b00e40079007400e40020006e00e40069007400e4002000610073006500740075006b007300690061002c0020006b0075006e0020006c0075006f0074002000410064006f0062006500200050004400460020002d0064006f006b0075006d0065006e007400740065006a00610020006c0061006100640075006b006100730074006100200074007900f6007000f60079007400e400740075006c006f0073007400750073007400610020006a00610020007600650064006f007300740075007300740061002000760061007200740065006e002e00200020004c0075006f0064007500740020005000440046002d0064006f006b0075006d0065006e00740069007400200076006f0069006400610061006e0020006100760061007400610020004100630072006f0062006100740069006c006c00610020006a0061002000410064006f00620065002000520065006100640065007200200035002e0030003a006c006c00610020006a006100200075007500640065006d006d0069006c006c0061002egt SVE ltFEFF0041006e007600e4006e00640020006400650020006800e4007200200069006e0073007400e4006c006c006e0069006e006700610072006e00610020006f006d002000640075002000760069006c006c00200073006b006100700061002000410064006f006200650020005000440046002d0064006f006b0075006d0065006e00740020006600f600720020006b00760061006c00690074006500740073007500740073006b0072006900660074006500720020007000e5002000760061006e006c00690067006100200073006b0072006900760061007200650020006f006300680020006600f600720020006b006f007200720065006b007400750072002e002000200053006b006100700061006400650020005000440046002d0064006f006b0075006d0065006e00740020006b0061006e002000f600700070006e00610073002000690020004100630072006f0062006100740020006f00630068002000410064006f00620065002000520065006100640065007200200035002e00300020006f00630068002000730065006e006100720065002egt TUR ltFEFF004d00610073006100fc0073007400fc002000790061007a013100630131006c006100720020007600650020006200610073006b01310020006d0061006b0069006e0065006c006500720069006e006400650020006b0061006c006900740065006c00690020006200610073006b013100200061006d0061006301310079006c0061002000410064006f006200650020005000440046002000620065006c00670065006c0065007200690020006f006c0075015f007400750072006d0061006b0020006900e70069006e00200062007500200061007900610072006c0061007201310020006b0075006c006c0061006e0131006e002e00200020004f006c0075015f0074007500720075006c0061006e0020005000440046002000620065006c00670065006c0065007200690020004100630072006f006200610074002000760065002000410064006f00620065002000520065006100640065007200200035002e003000200076006500200073006f006e0072006100730131006e00640061006b00690020007300fc007200fc006d006c00650072006c00650020006100e70131006c006100620069006c00690072002egt UKR ltFEFF04120438043a043e0440043804410442043e043204430439044204350020044604560020043f043004400430043c043504420440043800200434043b044f0020044104420432043e04400435043d043d044f00200434043e043a0443043c0435043d044204560432002000410064006f006200650020005000440046002c0020044f043a04560020043d04300439043a04400430044904350020043f045604340445043e0434044f0442044c00200434043b044f0020043204380441043e043a043e044f043a04560441043d043e0433043e0020043404400443043a04430020043d04300020043d0430044104420456043b044c043d043804450020043f04400438043d044204350440043004450020044204300020043f04400438044104420440043e044f044500200434043b044f0020043e044204400438043c0430043d043d044f0020043f0440043e0431043d0438044500200437043e04310440043004360435043d044c002e00200020042104420432043e04400435043d045600200434043e043a0443043c0435043d0442043800200050004400460020043c043e0436043d04300020043204560434043a0440043804420438002004430020004100630072006f006200610074002004420430002000410064006f00620065002000520065006100640065007200200035002e0030002004300431043e0020043f04560437043d04560448043e04570020043204350440044104560457002egt ENU ltFEFF005500730065002000740068006500730065002000730065007400740069006e0067007300200074006f0020006300720065006100740065002000410064006f0062006500200050004400460020006200610073006500640020006f006e0020007400680065002000640065006600610075006c0074002000580069006c0069006e007800200070006100720061006d0065007400650072002000730065007400740069006e0067007300200064006500660069006e00650064002000620079002000500053003000300034002e002000460069006c0065006e0061006d0065003a002000780069006c0069006e0078002e006a006f0062006f007000740069006f006e0073002e000d0043006f006d007000610074006100620069006c006900740079003a0020004100630072006f00620061007400200037002e0030002000280050004400460031002e00360029002e0020005500730065003a00200046006f00720020006300720065006100740069006e0067002000460069006e0061006c002c0020005000750062006c0069007300680065006400200050004400460073002e000d0043007200650061007400650064002000310030002f00310032002f003100310020006200790020006a0066006f007200730074002egt gtgt Namespace [ (Adobe) (Common) (10) ] OtherNamespaces [ ltlt AsReaderSpreads false CropImagesToFrames true ErrorControl WarnAndContinue FlattenerIgnoreSpreadOverrides false IncludeGuidesGrids false IncludeNonPrinting false IncludeSlug false Namespace [ (Adobe) (InDesign) (40) ] OmitPlacedBitmaps false OmitPlacedEPS false OmitPlacedPDF false SimulateOverprint Legacy gtgt ltlt AddBleedMarks false AddColorBars false AddCropMarks false AddPageInfo false AddRegMarks false ConvertColors NoConversion DestinationProfileName () DestinationProfileSelector NA Downsample16BitImages true FlattenerPreset ltlt PresetSelector MediumResolution gtgt FormElements false GenerateStructure true IncludeBookmarks false IncludeHyperlinks false IncludeInteractive false IncludeLayers false IncludeProfiles true MultimediaHandling UseObjectSettings Namespace [ (Adobe) (CreativeSuite) (20) ] PDFXOutputIntentProfileSelector NA PreserveEditing true UntaggedCMYKHandling LeaveUntagged UntaggedRGBHandling LeaveUntagged UseDocumentBleed false gtgt ]gtgt setdistillerparamsltlt HWResolution [1200 1200] PageSize [612000 792000]gtgt setpagedevice

Page 21: Xilinx UG883 Kintex-7 FPGA KC705 Evaluation Kit Getting ...€¦ · Updated step 2 and step 3 in Requirements to Get Started, page 14. ... KC705 Getting Started Guide 9 UG883 (v3.0)

KC705 Getting Started Guide wwwxilinxcom 21UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

3 Make sure the connections are tight and then power on the PC system

Note If the user wishes to boot Linux from the Fedora 16 Live DVD place the DVD in the PCrsquos DVD-ROM drive as soon as the PC system is powered on

4 Verify the status of the design on the KC705 LEDs The design provides status on the GPIO LEDs on the upper right of the KC705 board (Figure 14) After the PC system is powered on and the TRD has successfully configured status LEDs from right to left should indicate

bull LED 0 mdash ON if the PCIe link is up

bull LED 1 mdash Flashes if the PCIe user clock is present

bull LED 2 mdash ON if lane width is what is expected else it flashes (for a 4 lane design the expected lane width is 4 for an 8 lane design the expected lane width is 8)

bull LED 3 mdash ON if memory calibration is done

bull LED 4 to LED 7 mdash Not connected

X-Ref Target - Figure 13

Figure 13 Power Supply Connection

UG883_13_121112

SW15

J49 6-pinConnector

12V ATX PowerSupply Pluggedinto the 4-pinConnector

22 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

Install the Linux Driver

1 If Fedora 16 is installed on the PC systemrsquos hard disk boot as a root-privileged user and skip to step 3 page 23

2 To boot from the Fedora 16 Live DVD provided in the kit place the DVD in the PCrsquos DVD-ROM drive The Fedora 16 Live Media is for Intel-compatible PCs The DVD contains a complete bootable 32-bit Fedora 16 environment with the proper packages installed for the TRD demonstration environment For more details see Fedora Information page 2 The PC boots from the DVD-ROM drive and logs into a liveuser account This account has kernel development root privileges required to install and remove device driver modules

Note Users might have to adjust BIOS boot order settings to make sure that the DVD-ROM drive is the first drive in the boot order To enter the BIOS menu to set the boot order press the DEL or F2 key when the system is powered on Set the boot order and save the changes (The DEL or F2 key is used by most PC systems to enter the BIOS setup Some PCs might have a different way to enter the BIOS setup)

The PC should boot from the DVD-ROM drive The images in Figure 15 are seen on the monitor during boot up

X-Ref Target - Figure 14

Figure 14 Location of GPIO Status LEDs (Indicates TRD Status)

UG883_14_121112

KC705 Getting Started Guide wwwxilinxcom 23UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

3 After Fedora 16 Core boots open a terminal window (click Activities click Application scroll down and click the Terminal icon) To find out if the PCIe Endpoint is detected at the terminal command line type

$ lspci

The lspci command displays the devices in the PCI and PCI Express buses of the PC On the bus of the KC705 card slot is the message

Communication controller Xilinx Corporation Device 7042

This message confirms that the design programmed into the KC705 board has been found by the BIOS and the Fedora 16 OS The bus number varies depending on which PC motherboard and slot are used Figure 16 shows a lspci output for an example system Xilinx device 7042 has been found by the BIOS on bus number 2 (02000 - busdevfunction)

X-Ref Target - Figure 15

Figure 15 Fedora 16 Live DVD BootingFirst Screen Last Boot Screen Booted

UG883 15 040913

X-Ref Target - Figure 16

Figure 16 PCI and PCI Express Bus Devices

UG883_16_040913

24 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

4 Download the reference design from the Docs amp Designs tab at wwwxilinxcomkc705 and copy the k7_pcie_dma_ddr3_base folder into any directory

5 To set up and run the TRD demonstration the software driver should be installed on the PC system Software driver installation involves

a Building the kernel objects and the GUI

b Inserting the driver modules into the kernel

After the driver modules are loaded the application GUI can be invoked The user can set parameters through the GUI and run the TRD

When the user is done running the TRD the application GUI can be closed and the drivers can be removed

A script is provided to execute all the above actions so that the user can quickly start the TRD

The k7_trd_lin_quickstart script is available in the k7_pcie_dma_ddr3_base folder Right click the script select properties go to the permissions tab check the box Allow executing file as programmdashthis makes the script executable Close the window

To run the script double-click k7_trd_lin_quickstart in the k7_pcie_dma_ddr3_base folder (Figure 17) The window prompt in Figure 18 appears

X-Ref Target - Figure 17

Figure 17 Load Driver and Launch Application GUI

UG883_17_121112

KC705 Getting Started Guide wwwxilinxcom 25UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

Click Run in Terminal to proceed The application GUI is invoked

Proceed to the next section Using the Application GUI to set design parameters and run the TRD

X-Ref Target - Figure 18

Figure 18 Run in Terminal

UG883_18_040913

26 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

Using the Application GUI

When the drivers are loaded and the Performance Monitor GUI is invoked the user can configure the sending and receiving of data The GUI allows the user to observe the collected statistics and other status information

1 Click the System Status tab to verify the status of the KC705 board and the PCIe link (see Figure 19 and Table 3)

X-Ref Target - Figure 19

Figure 19 Verify Board Status in the Performance Monitor

UG883_19_121112

KC705 Getting Started Guide wwwxilinxcom 27UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

2 To start data traffic on the two data paths

a Click Start Test on Raw Data Path0 as shown in Figure 20 This enables the driver to start generating the data for Raw Data Path0

b Click Start Test on Raw Data Path1 as shown in Figure 20 This enables the driver to start generating the data for Raw Data Path1

Table 3 KC705 Board Status Field Explanations

Field Status Explanation

Link Status Up This confirms that the PCIe link is up and a PCIe connection is established between the Kintex-7 FPGA Endpoint for PCI Express and the PC motherboard chipset

Link Speed 50 Gbs This confirms that the PCIe link is operating at line rate speed per PCI Express v20

Link Width x4 This confirms that the PCIe link is trained as a x4 link

28 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

3 Verify TRD operations through the status information provided by the GUI (see Figure 21)

a Verify the PCIe throughput

b Verify the DMA channel throughput for the Raw Data Path0

c Verify the DMA channel throughput for the Raw Data Path1

d Verify there are no buffer descriptor errors for error-free operation

X-Ref Target - Figure 20

Figure 20 Start Data Traffic from the Performance Monitor

UG883_20_121112

KC705 Getting Started Guide wwwxilinxcom 29UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

The Kintex-7 FPGA PCIe-DMA TRD is now set up and running Close the Application GUI to unload the software drivers and stop traffic flow

X-Ref Target - Figure 21

Figure 21 Verify Error-Free Operation in the Performance Monitor

UG883_21_121112

30 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

Evaluating the Kintex-7 FPGA Base TRDThe Kintex-7 FPGA Base TRD provides a Performance and Status monitor application and GUI The application enables customers to evaluate different system parameters This section demonstrates performance variances for the PCI Express and DMA interfaces based on the parameters set

To evaluate the Kintex-7 FPGA Base TRD

1 Launch the Performance Monitor for the Kintex-7 FPGA Base TRD

a Navigate to the k7_pcie_dma_ddr3_base folder

b Double-click k7_lin_trd_quickstart (Figure 22)mdashmake sure that the script has executable permissionmdashto launch the Performance Monitor and Status GUI

c A window prompt appears as shown in Figure 23 Click Run in Terminal to proceed

2 Set up the test parameters in the Performance Monitor

a Two data paths are available Raw Data Path0 and Raw Data Path1 On each path set the Packet Size to a value between 64 ndash 32768 bytes

X-Ref Target - Figure 22

Figure 22 Launch the Performance Monitor and Status GUI

UG883_22_121112

X-Ref Target - Figure 23

Figure 23 Run k7_lin_trd_quickstart

UG883_23_121112

KC705 Getting Started Guide wwwxilinxcom 31UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

3 Execute the test and view payload statistics in the Performance and Status Monitor (see Figure 24)

a Click Start Test to start the performance test

b Click the Payload Statistics tab to view data transfers on the DMA channels

c Click Stop Test to stop data traffic

d Vary the Packet Size parameters for the Raw Data Paths (see Figure 25) and click Start Test Then view the payload statistics to review data transfer rate on the DMA channels With a decrease in packet size the performance drops

Note Before changing packet size click Stop Test change the size and then click Start Test

X-Ref Target - Figure 24

Figure 24 Payload Statistics

UG883_24_121112

32 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

Note For packet sizes equal to 64 or 128 bytes the throughput is reduced and might not be visible on the Payload Statistics tab The exact values can be viewed on the System Status tab

4 Click the PCIe Statistics tab to view data transfer numbers with varying packet sizes on the PCIe interface (Figure 26)

X-Ref Target - Figure 25

Figure 25 Effect of Varying Packet Sizes on Performance

UG883_25_121112

KC705 Getting Started Guide wwwxilinxcom 33UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

The system performance of the Kintex-7 FPGA Base TRD has now been evaluated using the pre-built demonstration design bit file

Now that the Kintex-7 FPGA Base TRD demonstration has been set up and evaluated the design can be modified Before the design can be modified make sure to install the Vivado Design Suite on a PC It is not required that tools be installed on the PC system in which the KC705 evaluation board is plugged in by way of the PCIe edge connector

X-Ref Target - Figure 26

Figure 26 PCIe Statistics in the Performance Monitor

UG883_26_121112

34 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

KC705 Getting Started Guide wwwxilinxcom 35UG883 (v30) April 16 2013

Appendix A

Additional Resources

Xilinx ResourcesFor support resources such as Answers Documentation Downloads and Forums see the Xilinx Support website at

wwwxilinxcomsupport

For continual updates add the Answer Record to your myAlerts

wwwxilinxcomsupportmyalerts

For a glossary of technical terms used in Xilinx documentation see

wwwxilinxcomcompanytermshtm

Solution CentersSee the Xilinx Solution Centers for support on devices software tools and intellectual property at all stages of the design cycle Topics include design assistance advisories and troubleshooting tips

Further ResourcesThe most up to date information related to the KC705 board and its documentation is available on the following websites

The Kintex-7 FPGA KC705 Evaluation Kit Product Page

wwwxilinxcomkc705

The Kintex-7 FPGA KC705 Evaluation Kit Master Answer Record

wwwxilinxcomsupportanswers47787htm

Design advisories by software release for the Kintex-7 FPGA KC705 Evaluation Kit

wwwxilinxcomsupportnav=sd-nav-link-179661amptab=tab-bk

Kintex-7 FPGA Base Targeted Reference Design Release Notes and Known Issues Master Answer Record

wwwxilinxcomsupportanswers45679htm

These documents provide supplemental material useful with this user guide

UG810 KC705 Evaluation Board for the Kintex-7 FPGA User Guide

UG845 KC705 Reference Design User Guide

36 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Appendix A Additional Resources

UG798 Xilinx Design Tools Installation and Licensing Guide Vivado Design Suite and ISE Design Suite

UG908 Vivado Design Suite User Guide Programming and Debugging

UG882 Kintex-7 FPGA KC705 Base Targeted Reference Design User Guide (Vivado Design Suite 20124)

UG886 AMS101 Evaluation Card User Guide

UG477 7 Series FPGAs Integrated Block for PCI Express User Guide

ReferencesThe following websites provide supplemental material useful with this guide

1 LabVIEW 32-bit Run-Time Enginehttpjoulenicomniducdsviewpid2534langen

2 LabVIEW 64-bit Run-Time Engine httpjoulenicomniducdsviewpid2536langen

3 Tera Term Pro program httphpvectorcojpauthorsVA002416teratermhtml

4 Drivers on the Silicon Labs site httpwwwsilabscomSupport20DocumentsSoftwareCP210x_VCP_Win_XP_S2K3_Vista_7exe

5 Northwest Logic DMA back end core httpwwwnwlogiccompacketdma

6 Fedora project httpfedoraprojectorg

Fedora is a Linux-based operating system used in the development of this TRD

7 The GTK+ project API documentation httpwwwgtkorgdocumentationphp

GTK+ is a toolkit for creating graphical user interfaces (GUI)

KC705 Getting Started Guide wwwxilinxcom 37UG883 (v30) April 16 2013

Appendix B

Warranty

THIS LIMITED WARRANTY applies solely to standard hardware development boards and standard hardware programming cables manufactured by or on behalf of Xilinx (ldquoDevelopment Systemsrdquo) Subject to the limitations herein Xilinx warrants that Development Systems when delivered by Xilinx or its authorized distributor for ninety (90) days following the delivery date will be free from defects in material and workmanship and will substantially conform to Xilinx publicly available specifications for such products in effect at the time of delivery This limited warranty excludes (i) engineering samples or beta versions of Development Systems (which are provided ldquoAS ISrdquo without warranty) (ii) design defects or errors known as ldquoerratardquo (iii) Development Systems procured through unauthorized third parties and (iv) Development Systems that have been subject to misuse mishandling accident alteration neglect unauthorized repair or installation Furthermore this limited warranty shall not apply to the use of covered products in an application or environment that is not within Xilinx specifications or in the event of any act error neglect or default of Customer For any breach by Xilinx of this limited warranty the exclusive remedy of Customer and the sole liability of Xilinx shall be at the option of Xilinx to replace or repair the affected products or to refund to Customer the price of the affected products The availability of replacement products is subject to product discontinuation policies at Xilinx Customer may not return product without first obtaining a customer return material authorization (RMA) number from XilinxTHE WARRANTIES SET FORTH HEREIN ARE EXCLUSIVE XILINX DISCLAIMS ALL OTHER WARRANTIES WHETHER EXPRESS IMPLIED OR STATUTORY INCLUDING WITHOUT LIMITATION ANY WARRANTY OF MERCHANTABILITY FITNESS FOR A PARTICULAR PURPOSE OR NON-INFRINGEMENT AND ANY WARRANTY THAT MAY ARISE FROM COURSE OF DEALING COURSE OF PERFORMANCE OR USAGE OF TRADE (200810)

Do not throw Xilinx products marked with the ldquocrossed out wheeled binrdquo in the trash Directive 200296EC on waste electrical and electronic equipment (WEEE) requires the separate collection of WEEE Your cooperation is essential in ensuring the proper management of WEEE and the protection of the environment and human health from potential effects arising from the presence of hazardous substances in WEEE Return the marked products to Xilinx for proper disposal Further information and instructions for free-of-charge return available at httpwwwxilinxcomehsweeehtm

38 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Appendix B Warranty

  • Kintex-7 FPGA KC705 Evaluation Kit (Vivado Design Suite 20131)
    • Revision History
    • Table of Contents
    • Getting Started with the Kintex-7 FPGA KC705 Evaluation Kit (Vivado Design Suite 20131)
      • Introduction
      • Kit Contents
      • Basic Hardware Bring-up Using the BIST
        • Hardware Test Setup Requirements
          • Hardware Test Board Setup Requirements
            • Hardware Bring-Up
            • Run the BIST Application
              • AMS Bring-up with the AMS101 Evaluation Card
                • Requirements to Get Started
                • Evaluating AMS
                  • Advanced Bring-up Using the Base Targeted Reference Design
                    • Components
                    • Hardware Test Setup Requirements
                    • TRD Demonstration Setup
                    • Evaluating the Kintex-7 FPGA Base TRD
                        • Additional Resources
                          • Xilinx Resources
                          • Solution Centers
                          • Further Resources
                          • References
                            • Warranty
                                • ltlt ASCII85EncodePages false AllowTransparency false AutoPositionEPSFiles true AutoRotatePages All Binding Left CalGrayProfile (Dot Gain 20) CalRGBProfile (sRGB IEC61966-21) CalCMYKProfile (US Web Coated 050SWOP051 v2) sRGBProfile (sRGB IEC61966-21) CannotEmbedFontPolicy Warning CompatibilityLevel 16 CompressObjects Tags CompressPages true ConvertImagesToIndexed true PassThroughJPEGImages true CreateJobTicket false DefaultRenderingIntent Default DetectBlends true DetectCurves 00000 ColorConversionStrategy LeaveColorUnchanged DoThumbnails false EmbedAllFonts true EmbedOpenType false ParseICCProfilesInComments true EmbedJobOptions true DSCReportingLevel 0 EmitDSCWarnings false EndPage -1 ImageMemory 1048576 LockDistillerParams true MaxSubsetPct 100 Optimize true OPM 1 ParseDSCComments true ParseDSCCommentsForDocInfo true PreserveCopyPage true PreserveDICMYKValues true PreserveEPSInfo true PreserveFlatness true PreserveHalftoneInfo false PreserveOPIComments false PreserveOverprintSettings true StartPage 1 SubsetFonts true TransferFunctionInfo Apply UCRandBGInfo Preserve UsePrologue false ColorSettingsFile () AlwaysEmbed [ true ] NeverEmbed [ true ] AntiAliasColorImages false CropColorImages true ColorImageMinResolution 300 ColorImageMinResolutionPolicy OK DownsampleColorImages false ColorImageDownsampleType Bicubic ColorImageResolution 300 ColorImageDepth -1 ColorImageMinDownsampleDepth 1 ColorImageDownsampleThreshold 150000 EncodeColorImages true ColorImageFilter DCTEncode AutoFilterColorImages true ColorImageAutoFilterStrategy JPEG ColorACSImageDict ltlt QFactor 040 HSamples [1 1 1 1] VSamples [1 1 1 1] gtgt ColorImageDict ltlt QFactor 015 HSamples [1 1 1 1] VSamples [1 1 1 1] gtgt JPEG2000ColorACSImageDict ltlt TileWidth 256 TileHeight 256 Quality 30 gtgt JPEG2000ColorImageDict ltlt TileWidth 256 TileHeight 256 Quality 30 gtgt AntiAliasGrayImages false CropGrayImages true GrayImageMinResolution 300 GrayImageMinResolutionPolicy OK DownsampleGrayImages false GrayImageDownsampleType Bicubic GrayImageResolution 300 GrayImageDepth -1 GrayImageMinDownsampleDepth 2 GrayImageDownsampleThreshold 150000 EncodeGrayImages true GrayImageFilter DCTEncode AutoFilterGrayImages true GrayImageAutoFilterStrategy JPEG GrayACSImageDict ltlt QFactor 040 HSamples [1 1 1 1] VSamples [1 1 1 1] gtgt GrayImageDict ltlt QFactor 015 HSamples [1 1 1 1] VSamples [1 1 1 1] gtgt JPEG2000GrayACSImageDict ltlt TileWidth 256 TileHeight 256 Quality 30 gtgt JPEG2000GrayImageDict ltlt TileWidth 256 TileHeight 256 Quality 30 gtgt AntiAliasMonoImages false CropMonoImages true MonoImageMinResolution 1200 MonoImageMinResolutionPolicy OK DownsampleMonoImages false MonoImageDownsampleType Bicubic MonoImageResolution 1200 MonoImageDepth -1 MonoImageDownsampleThreshold 150000 EncodeMonoImages true MonoImageFilter CCITTFaxEncode MonoImageDict ltlt K -1 gtgt AllowPSXObjects false CheckCompliance [ None ] PDFX1aCheck false PDFX3Check false PDFXCompliantPDFOnly false PDFXNoTrimBoxError true PDFXTrimBoxToMediaBoxOffset [ 000000 000000 000000 000000 ] PDFXSetBleedBoxToMediaBox true PDFXBleedBoxToTrimBoxOffset [ 000000 000000 000000 000000 ] PDFXOutputIntentProfile (None) PDFXOutputConditionIdentifier () PDFXOutputCondition () PDFXRegistryName () PDFXTrapped False CreateJDFFile false Description ltlt ARA ltFEFF06270633062A062E062F0645002006470630064700200627064406250639062F0627062F0627062A002006440625064606340627062100200648062B062706260642002000410064006F00620065002000500044004600200645062A0648062706410642062900200644064406370628062706390629002006300627062A002006270644062C0648062F0629002006270644063906270644064A06290020064506460020062E06440627064400200627064406370627062806390627062A00200627064406450643062A0628064A062900200623064800200623062C06470632062900200625062C06310627062100200627064406280631064806410627062A061B0020064A06450643064600200641062A062D00200648062B0627062606420020005000440046002006270644064506460634062306290020062806270633062A062E062F062706450020004100630072006F0062006100740020064800410064006F006200650020005200650061006400650072002006250635062F0627063100200035002E0030002006480627064406250635062F062706310627062A0020062706440623062D062F062B002E0020064506390020005000440046002F0041060C0020062706440631062C062706210020064506310627062C063906290020062F0644064A0644002006450633062A062E062F06450020004100630072006F006200610074061B0020064A06450643064600200641062A062D00200648062B0627062606420020005000440046002006270644064506460634062306290020062806270633062A062E062F062706450020004100630072006F0062006100740020064800410064006F006200650020005200650061006400650072002006250635062F0627063100200035002E0030002006480627064406250635062F062706310627062A0020062706440623062D062F062B002Egt BGR ltFEFF04180437043f043e043b043704320430043904420435002004420435043704380020043d0430044104420440043e0439043a0438002c00200437043000200434043000200441044a0437043404300432043004420435002000410064006f00620065002000500044004600200434043e043a0443043c0435043d044204380020043704300020043a0430044704350441044204320435043d0020043f04350447043004420020043d04300020043d043004410442043e043b043d04380020043f04400438043d04420435044004380020043800200443044104420440043e043904410442043204300020043704300020043f04350447043004420020043d04300020043f0440043e0431043d04380020044004300437043f0435044704300442043a0438002e002000200421044a04370434043004340435043d043804420435002000500044004600200434043e043a0443043c0435043d044204380020043c043e0433043004420020043404300020044104350020043e0442043204300440044f0442002004410020004100630072006f00620061007400200438002000410064006f00620065002000520065006100640065007200200035002e00300020043800200441043b0435043404320430044904380020043204350440044104380438002egt CHS ltFEFF4f7f75288fd94e9b8bbe5b9a521b5efa7684002000500044004600206587686353ef901a8fc7684c976262535370673a548c002000700072006f006f00660065007200208fdb884c9ad88d2891cf62535370300260a853ef4ee54f7f75280020004100630072006f0062006100740020548c002000410064006f00620065002000520065006100640065007200200035002e003000204ee553ca66f49ad87248672c676562535f00521b5efa768400200050004400460020658768633002gt CHT ltFEFF4f7f752890194e9b8a2d7f6e5efa7acb7684002000410064006f006200650020005000440046002065874ef653ef5728684c9762537088686a5f548c002000700072006f006f00660065007200204e0a73725f979ad854c18cea7684521753706548679c300260a853ef4ee54f7f75280020004100630072006f0062006100740020548c002000410064006f00620065002000520065006100640065007200200035002e003000204ee553ca66f49ad87248672c4f86958b555f5df25efa7acb76840020005000440046002065874ef63002gt CZE ltFEFF005400610074006f0020006e006100730074006100760065006e00ed00200070006f0075017e0069006a007400650020006b0020007600790074007600e101590065006e00ed00200064006f006b0075006d0065006e0074016f002000410064006f006200650020005000440046002000700072006f0020006b00760061006c00690074006e00ed0020007400690073006b0020006e0061002000730074006f006c006e00ed006300680020007400690073006b00e10072006e00e100630068002000610020006e00e1007400690073006b006f007600fd006300680020007a0061015900ed007a0065006e00ed00630068002e002000200056007900740076006f01590065006e00e900200064006f006b0075006d0065006e007400790020005000440046002000620075006400650020006d006f017e006e00e90020006f007400650076015900ed007400200076002000700072006f006700720061006d0065006300680020004100630072006f00620061007400200061002000410064006f00620065002000520065006100640065007200200035002e0030002000610020006e006f0076011b006a016100ed00630068002egt DAN ltFEFF004200720075006700200069006e0064007300740069006c006c0069006e006700650072006e0065002000740069006c0020006100740020006f007000720065007400740065002000410064006f006200650020005000440046002d0064006f006b0075006d0065006e007400650072002000740069006c0020006b00760061006c00690074006500740073007500640073006b007200690076006e0069006e006700200065006c006c006500720020006b006f007200720065006b007400750072006c00e60073006e0069006e0067002e0020004400650020006f007000720065007400740065006400650020005000440046002d0064006f006b0075006d0065006e0074006500720020006b0061006e002000e50062006e00650073002000690020004100630072006f00620061007400200065006c006c006500720020004100630072006f006200610074002000520065006100640065007200200035002e00300020006f00670020006e0079006500720065002egt DEU ltFEFF00560065007200770065006e00640065006e0020005300690065002000640069006500730065002000450069006e007300740065006c006c0075006e00670065006e0020007a0075006d002000450072007300740065006c006c0065006e00200076006f006e002000410064006f006200650020005000440046002d0044006f006b0075006d0065006e00740065006e002c00200076006f006e002000640065006e0065006e002000530069006500200068006f00630068007700650072007400690067006500200044007200750063006b006500200061007500660020004400650073006b0074006f0070002d0044007200750063006b00650072006e00200075006e0064002000500072006f006f0066002d00470065007200e400740065006e002000650072007a0065007500670065006e0020006d00f60063006800740065006e002e002000450072007300740065006c006c007400650020005000440046002d0044006f006b0075006d0065006e007400650020006b00f6006e006e0065006e0020006d006900740020004100630072006f00620061007400200075006e0064002000410064006f00620065002000520065006100640065007200200035002e00300020006f0064006500720020006800f600680065007200200067006500f600660066006e00650074002000770065007200640065006e002egt ESP ltFEFF005500740069006c0069006300650020006500730074006100200063006f006e0066006900670075007200610063006900f3006e0020007000610072006100200063007200650061007200200064006f00630075006d0065006e0074006f0073002000640065002000410064006f0062006500200050004400460020007000610072006100200063006f006e00730065006700750069007200200069006d0070007200650073006900f3006e002000640065002000630061006c006900640061006400200065006e00200069006d0070007200650073006f0072006100730020006400650020006500730063007200690074006f00720069006f00200079002000680065007200720061006d00690065006e00740061007300200064006500200063006f00720072006500630063006900f3006e002e002000530065002000700075006500640065006e00200061006200720069007200200064006f00630075006d0065006e0074006f00730020005000440046002000630072006500610064006f007300200063006f006e0020004100630072006f006200610074002c002000410064006f00620065002000520065006100640065007200200035002e003000200079002000760065007200730069006f006e0065007300200070006f00730074006500720069006f007200650073002egt ETI ltFEFF004b00610073007500740061006700650020006e0065006900640020007300e4007400740065006900640020006c006100750061002d0020006a00610020006b006f006e00740072006f006c006c007400f5006d006d006900730065007000720069006e0074006500720069007400650020006a0061006f006b00730020006b00760061006c006900740065006500740073006500740065002000410064006f006200650020005000440046002d0064006f006b0075006d0065006e00740069006400650020006c006f006f006d006900730065006b0073002e002e00200020004c006f006f0064007500640020005000440046002d0064006f006b0075006d0065006e00740065002000730061006100740065002000610076006100640061002000700072006f006700720061006d006d006900640065006700610020004100630072006f0062006100740020006e0069006e0067002000410064006f00620065002000520065006100640065007200200035002e00300020006a00610020007500750065006d006100740065002000760065007200730069006f006f006e00690064006500670061002e000d000agt FRA ltFEFF005500740069006c006900730065007a00200063006500730020006f007000740069006f006e00730020006100660069006e00200064006500200063007200e900650072002000640065007300200064006f00630075006d0065006e00740073002000410064006f00620065002000500044004600200070006f007500720020006400650073002000e90070007200650075007600650073002000650074002000640065007300200069006d007000720065007300730069006f006e00730020006400650020006800610075007400650020007100750061006c0069007400e90020007300750072002000640065007300200069006d007000720069006d0061006e0074006500730020006400650020006200750072006500610075002e0020004c0065007300200064006f00630075006d0065006e00740073002000500044004600200063007200e900e90073002000700065007500760065006e0074002000ea0074007200650020006f007500760065007200740073002000640061006e00730020004100630072006f006200610074002c002000610069006e00730069002000710075002700410064006f00620065002000520065006100640065007200200035002e0030002000650074002000760065007200730069006f006e007300200075006c007400e90072006900650075007200650073002egt GRE ltFEFF03a703c103b703c303b903bc03bf03c003bf03b903ae03c303c403b5002003b103c503c403ad03c2002003c403b903c2002003c103c503b803bc03af03c303b503b903c2002003b303b903b1002003bd03b1002003b403b703bc03b903bf03c503c103b303ae03c303b503c403b5002003ad03b303b303c103b103c603b1002000410064006f006200650020005000440046002003b303b903b1002003b503ba03c403cd03c003c903c303b7002003c003bf03b903cc03c403b703c403b103c2002003c303b5002003b503ba03c403c503c003c903c403ad03c2002003b303c103b103c603b503af03bf03c5002003ba03b103b9002003b403bf03ba03b903bc03b103c303c403ad03c2002e0020002003a403b10020005000440046002003ad03b303b303c103b103c603b1002003c003bf03c5002003ad03c703b503c403b5002003b403b703bc03b903bf03c503c103b303ae03c303b503b9002003bc03c003bf03c103bf03cd03bd002003bd03b1002003b103bd03bf03b903c703c403bf03cd03bd002003bc03b5002003c403bf0020004100630072006f006200610074002c002003c403bf002000410064006f006200650020005200650061006400650072002000200035002e0030002003ba03b103b9002003bc03b503c403b103b303b503bd03ad03c303c403b503c103b503c2002003b503ba03b403cc03c303b503b903c2002egt HEB ltFEFF05D405E905EA05DE05E905D5002005D105D405D205D305E805D505EA002005D005DC05D4002005DB05D305D9002005DC05D905E605D505E8002005DE05E105DE05DB05D9002000410064006F006200650020005000440046002005E205D105D505E8002005D405D305E405E105D4002005D005D905DB05D505EA05D905EA002005D105DE05D305E405E105D505EA002005E905D505DC05D705E005D905D505EA002005D505DB05DC05D9002005D405D205D405D4002E002005DE05E105DE05DB05D9002005D4002D005000440046002005E905E005D505E605E805D905DD002005E005D905EA05E005D905DD002005DC05E405EA05D905D705D4002005D105D005DE05E605E205D505EA0020004100630072006F006200610074002005D5002D00410064006F00620065002000520065006100640065007200200035002E0030002005D505D205E805E105D005D505EA002005DE05EA05E705D305DE05D505EA002005D905D505EA05E8002Egt HRV ltFEFF005a00610020007300740076006100720061006e006a0065002000410064006f00620065002000500044004600200064006f006b0075006d0065006e0061007400610020007a00610020006b00760061006c00690074006500740061006e0020006900730070006900730020006e006100200070006900730061010d0069006d006100200069006c0069002000700072006f006f006600650072002000750072006501110061006a0069006d0061002e00200020005300740076006f00720065006e0069002000500044004600200064006f006b0075006d0065006e007400690020006d006f006700750020007300650020006f00740076006f00720069007400690020004100630072006f00620061007400200069002000410064006f00620065002000520065006100640065007200200035002e0030002000690020006b00610073006e0069006a0069006d0020007600650072007a0069006a0061006d0061002egt HUN ltFEFF004d0069006e0151007300e9006700690020006e0079006f006d00610074006f006b0020006b00e90073007a00ed007400e9007300e900680065007a002000610073007a00740061006c00690020006e0079006f006d00740061007400f3006b006f006e002000e9007300200070007200f300620061006e0079006f006d00f3006b006f006e00200065007a0065006b006b0065006c0020006100200062006500e1006c006c00ed007400e10073006f006b006b0061006c002c00200068006f007a007a006f006e0020006c00e9007400720065002000410064006f00620065002000500044004600200064006f006b0075006d0065006e00740075006d006f006b00610074002e0020002000410020006c00e90074007200650068006f007a006f00740074002000500044004600200064006f006b0075006d0065006e00740075006d006f006b00200061007a0020004100630072006f006200610074002c00200061007a002000410064006f00620065002000520065006100640065007200200035002e0030002000e9007300200061007a002000610074007400f3006c0020006b00e9007301510062006200690020007600650072007a006900f3006b006b0061006c00200020006e00790069007400680061007400f3006b0020006d00650067002egt ITA ltFEFF005500740069006c0069007a007a006100720065002000710075006500730074006500200069006d0070006f007300740061007a0069006f006e00690020007000650072002000630072006500610072006500200064006f00630075006d0065006e00740069002000410064006f006200650020005000440046002000700065007200200075006e00610020007300740061006d007000610020006400690020007100750061006c0069007400e00020007300750020007300740061006d00700061006e0074006900200065002000700072006f006f0066006500720020006400650073006b0074006f0070002e0020004900200064006f00630075006d0065006e007400690020005000440046002000630072006500610074006900200070006f00730073006f006e006f0020006500730073006500720065002000610070006500720074006900200063006f006e0020004100630072006f00620061007400200065002000410064006f00620065002000520065006100640065007200200035002e003000200065002000760065007200730069006f006e006900200073007500630063006500730073006900760065002egt JPN ltFEFF9ad854c18cea51fa529b7528002000410064006f0062006500200050004400460020658766f8306e4f5c6210306b4f7f75283057307e30593002537052376642306e753b8cea3092670059279650306b4fdd306430533068304c3067304d307e3059300230c730b930af30c830c330d730d730ea30f330bf3067306e53705237307e305f306f30d730eb30fc30d57528306b9069305730663044307e305930023053306e8a2d5b9a30674f5c62103055308c305f0020005000440046002030d530a130a430eb306f3001004100630072006f0062006100740020304a30883073002000410064006f00620065002000520065006100640065007200200035002e003000204ee5964d3067958b304f30533068304c3067304d307e30593002gt KOR ltFEFFc7740020c124c815c7440020c0acc6a9d558c5ec0020b370c2a4d06cd0d10020d504b9b0d1300020bc0f0020ad50c815ae30c5d0c11c0020ace0d488c9c8b85c0020c778c1c4d560002000410064006f0062006500200050004400460020bb38c11cb97c0020c791c131d569b2c8b2e4002e0020c774b807ac8c0020c791c131b41c00200050004400460020bb38c11cb2940020004100630072006f0062006100740020bc0f002000410064006f00620065002000520065006100640065007200200035002e00300020c774c0c1c5d0c11c0020c5f40020c2180020c788c2b5b2c8b2e4002egt LTH ltFEFF004e006100750064006f006b0069007400650020016100690075006f007300200070006100720061006d006500740072007500730020006e006f0072011700640061006d00690020006b0075007200740069002000410064006f00620065002000500044004600200064006f006b0075006d0065006e007400750073002c0020006b007500720069006500200073006b00690072007400690020006b006f006b0079006200690161006b0061006900200073007000610075007300640069006e007400690020007300740061006c0069006e0069006100690073002000690072002000620061006e00640079006d006f00200073007000610075007300640069006e007400750076006100690073002e0020002000530075006b0075007200740069002000500044004600200064006f006b0075006d0065006e007400610069002000670061006c006900200062016b007400690020006100740069006400610072006f006d00690020004100630072006f006200610074002000690072002000410064006f00620065002000520065006100640065007200200035002e0030002000610072002000760117006c00650073006e0117006d00690073002000760065007200730069006a006f006d00690073002egt LVI ltFEFF0049007a006d0061006e0074006f006a00690065007400200161006f00730020006900650073007400610074012b006a0075006d00750073002c0020006c0061006900200069007a0076006500690064006f00740075002000410064006f00620065002000500044004600200064006f006b0075006d0065006e0074007500730020006b00760061006c0069007400610074012b0076006100690020006400720075006b010101610061006e00610069002000610072002000670061006c006400610020007000720069006e00740065007200690065006d00200075006e0020007000610072006100750067006e006f00760069006c006b0075006d0075002000690065007300700069006500640113006a00690065006d002e00200049007a0076006500690064006f006a006900650074002000500044004600200064006f006b0075006d0065006e007400750073002c0020006b006f002000760061007200200061007400760113007200740020006100720020004100630072006f00620061007400200075006e002000410064006f00620065002000520065006100640065007200200035002e0030002c0020006b0101002000610072012b00200074006f0020006a00610075006e0101006b0101006d002000760065007200730069006a0101006d002egt NLD (Gebruik deze instellingen om Adobe PDF-documenten te maken voor kwaliteitsafdrukken op desktopprinters en proofers De gemaakte PDF-documenten kunnen worden geopend met Acrobat en Adobe Reader 50 en hoger) NOR ltFEFF004200720075006b00200064006900730073006500200069006e006e007300740069006c006c0069006e00670065006e0065002000740069006c002000e50020006f0070007000720065007400740065002000410064006f006200650020005000440046002d0064006f006b0075006d0065006e00740065007200200066006f00720020007500740073006b00720069006600740020006100760020006800f800790020006b00760061006c00690074006500740020007000e500200062006f007200640073006b0072006900760065007200200065006c006c00650072002000700072006f006f006600650072002e0020005000440046002d0064006f006b0075006d0065006e00740065006e00650020006b0061006e002000e50070006e00650073002000690020004100630072006f00620061007400200065006c006c00650072002000410064006f00620065002000520065006100640065007200200035002e003000200065006c006c00650072002000730065006e006500720065002egt POL ltFEFF0055007300740061007700690065006e0069006100200064006f002000740077006f0072007a0065006e0069006100200064006f006b0075006d0065006e007400f3007700200050004400460020007a002000770079017c0073007a010500200072006f007a0064007a00690065006c0063007a006f015b0063006901050020006f006200720061007a006b00f30077002c0020007a0061007000650077006e00690061006a0105006301050020006c006500700073007a01050020006a0061006b006f015b0107002000770079006400720075006b00f30077002e00200044006f006b0075006d0065006e0074007900200050004400460020006d006f017c006e00610020006f007400770069006500720061010700200077002000700072006f006700720061006d006900650020004100630072006f00620061007400200069002000410064006f00620065002000520065006100640065007200200035002e0030002000690020006e006f00770073007a0079006d002egt PTB ltFEFF005500740069006c0069007a006500200065007300730061007300200063006f006e00660069006700750072006100e700f50065007300200064006500200066006f0072006d00610020006100200063007200690061007200200064006f00630075006d0065006e0074006f0073002000410064006f0062006500200050004400460020007000610072006100200069006d0070007200650073007300f5006500730020006400650020007100750061006c0069006400610064006500200065006d00200069006d00700072006500730073006f0072006100730020006400650073006b0074006f00700020006500200064006900730070006f00730069007400690076006f0073002000640065002000700072006f00760061002e0020004f007300200064006f00630075006d0065006e0074006f00730020005000440046002000630072006900610064006f007300200070006f00640065006d0020007300650072002000610062006500720074006f007300200063006f006d0020006f0020004100630072006f006200610074002000650020006f002000410064006f00620065002000520065006100640065007200200035002e0030002000650020007600650072007300f50065007300200070006f00730074006500720069006f007200650073002egt RUM ltFEFF005500740069006c0069007a00610163006900200061006300650073007400650020007300650074010300720069002000700065006e007400720075002000610020006300720065006100200064006f00630075006d0065006e00740065002000410064006f006200650020005000440046002000700065006e007400720075002000740069007001030072006900720065002000640065002000630061006c006900740061007400650020006c006100200069006d007000720069006d0061006e007400650020006400650073006b0074006f00700020015f0069002000700065006e0074007200750020007600650072006900660069006300610074006f00720069002e002000200044006f00630075006d0065006e00740065006c00650020005000440046002000630072006500610074006500200070006f00740020006600690020006400650073006300680069007300650020006300750020004100630072006f006200610074002c002000410064006f00620065002000520065006100640065007200200035002e00300020015f00690020007600650072007300690075006e0069006c006500200075006c0074006500720069006f006100720065002egt RUS ltFEFF04180441043f043e043b044c04370443043904420435002004340430043d043d044b04350020043d0430044104420440043e0439043a043800200434043b044f00200441043e043704340430043d0438044f00200434043e043a0443043c0435043d0442043e0432002000410064006f006200650020005000440046002c0020043f044004350434043d04300437043d043004470435043d043d044b044500200434043b044f0020043a0430044704350441044204320435043d043d043e04390020043f043504470430044204380020043d04300020043d043004410442043e043b044c043d044b04450020043f04400438043d044204350440043004450020043800200443044104420440043e04390441044204320430044500200434043b044f0020043f043e043b044304470435043d0438044f0020043f0440043e0431043d044b04450020043e0442044204380441043a043e0432002e002000200421043e043704340430043d043d044b04350020005000440046002d0434043e043a0443043c0435043d0442044b0020043c043e0436043d043e00200020043e0442043a0440044b043204300442044c002004410020043f043e043c043e0449044c044e0020004100630072006f00620061007400200438002000410064006f00620065002000520065006100640065007200200035002e00300020043800200431043e043b043504350020043f043e04370434043d043804450020043204350440044104380439002egt SKY ltFEFF0054006900650074006f0020006e006100730074006100760065006e0069006100200070006f0075017e0069007400650020006e00610020007600790074007600e100720061006e0069006500200064006f006b0075006d0065006e0074006f0076002000410064006f00620065002000500044004600200070007200650020006b00760061006c00690074006e00fa00200074006c0061010d0020006e0061002000730074006f006c006e00fd0063006800200074006c0061010d00690061007201480061006300680020006100200074006c0061010d006f007600fd006300680020007a006100720069006100640065006e0069006100630068002e00200056007900740076006f00720065006e00e900200064006f006b0075006d0065006e007400790020005000440046002000620075006400650020006d006f017e006e00e90020006f00740076006f00720069016500200076002000700072006f006700720061006d006f006300680020004100630072006f00620061007400200061002000410064006f00620065002000520065006100640065007200200035002e0030002000610020006e006f0076016100ed00630068002e000d000agt SLV ltFEFF005400650020006e006100730074006100760069007400760065002000750070006f0072006100620069007400650020007a00610020007500730074007600610072006a0061006e006a006500200064006f006b0075006d0065006e0074006f0076002000410064006f0062006500200050004400460020007a00610020006b0061006b006f0076006f00730074006e006f0020007400690073006b0061006e006a00650020006e00610020006e0061006d0069007a006e006900680020007400690073006b0061006c006e0069006b0069006800200069006e0020007000720065007600650072006a0061006c006e0069006b00690068002e00200020005500730074007600610072006a0065006e006500200064006f006b0075006d0065006e0074006500200050004400460020006a00650020006d006f0067006f010d00650020006f0064007000720065007400690020007a0020004100630072006f00620061007400200069006e002000410064006f00620065002000520065006100640065007200200035002e003000200069006e0020006e006f00760065006a01610069006d002egt SUO ltFEFF004b00e40079007400e40020006e00e40069007400e4002000610073006500740075006b007300690061002c0020006b0075006e0020006c0075006f0074002000410064006f0062006500200050004400460020002d0064006f006b0075006d0065006e007400740065006a00610020006c0061006100640075006b006100730074006100200074007900f6007000f60079007400e400740075006c006f0073007400750073007400610020006a00610020007600650064006f007300740075007300740061002000760061007200740065006e002e00200020004c0075006f0064007500740020005000440046002d0064006f006b0075006d0065006e00740069007400200076006f0069006400610061006e0020006100760061007400610020004100630072006f0062006100740069006c006c00610020006a0061002000410064006f00620065002000520065006100640065007200200035002e0030003a006c006c00610020006a006100200075007500640065006d006d0069006c006c0061002egt SVE ltFEFF0041006e007600e4006e00640020006400650020006800e4007200200069006e0073007400e4006c006c006e0069006e006700610072006e00610020006f006d002000640075002000760069006c006c00200073006b006100700061002000410064006f006200650020005000440046002d0064006f006b0075006d0065006e00740020006600f600720020006b00760061006c00690074006500740073007500740073006b0072006900660074006500720020007000e5002000760061006e006c00690067006100200073006b0072006900760061007200650020006f006300680020006600f600720020006b006f007200720065006b007400750072002e002000200053006b006100700061006400650020005000440046002d0064006f006b0075006d0065006e00740020006b0061006e002000f600700070006e00610073002000690020004100630072006f0062006100740020006f00630068002000410064006f00620065002000520065006100640065007200200035002e00300020006f00630068002000730065006e006100720065002egt TUR ltFEFF004d00610073006100fc0073007400fc002000790061007a013100630131006c006100720020007600650020006200610073006b01310020006d0061006b0069006e0065006c006500720069006e006400650020006b0061006c006900740065006c00690020006200610073006b013100200061006d0061006301310079006c0061002000410064006f006200650020005000440046002000620065006c00670065006c0065007200690020006f006c0075015f007400750072006d0061006b0020006900e70069006e00200062007500200061007900610072006c0061007201310020006b0075006c006c0061006e0131006e002e00200020004f006c0075015f0074007500720075006c0061006e0020005000440046002000620065006c00670065006c0065007200690020004100630072006f006200610074002000760065002000410064006f00620065002000520065006100640065007200200035002e003000200076006500200073006f006e0072006100730131006e00640061006b00690020007300fc007200fc006d006c00650072006c00650020006100e70131006c006100620069006c00690072002egt UKR ltFEFF04120438043a043e0440043804410442043e043204430439044204350020044604560020043f043004400430043c043504420440043800200434043b044f0020044104420432043e04400435043d043d044f00200434043e043a0443043c0435043d044204560432002000410064006f006200650020005000440046002c0020044f043a04560020043d04300439043a04400430044904350020043f045604340445043e0434044f0442044c00200434043b044f0020043204380441043e043a043e044f043a04560441043d043e0433043e0020043404400443043a04430020043d04300020043d0430044104420456043b044c043d043804450020043f04400438043d044204350440043004450020044204300020043f04400438044104420440043e044f044500200434043b044f0020043e044204400438043c0430043d043d044f0020043f0440043e0431043d0438044500200437043e04310440043004360435043d044c002e00200020042104420432043e04400435043d045600200434043e043a0443043c0435043d0442043800200050004400460020043c043e0436043d04300020043204560434043a0440043804420438002004430020004100630072006f006200610074002004420430002000410064006f00620065002000520065006100640065007200200035002e0030002004300431043e0020043f04560437043d04560448043e04570020043204350440044104560457002egt ENU ltFEFF005500730065002000740068006500730065002000730065007400740069006e0067007300200074006f0020006300720065006100740065002000410064006f0062006500200050004400460020006200610073006500640020006f006e0020007400680065002000640065006600610075006c0074002000580069006c0069006e007800200070006100720061006d0065007400650072002000730065007400740069006e0067007300200064006500660069006e00650064002000620079002000500053003000300034002e002000460069006c0065006e0061006d0065003a002000780069006c0069006e0078002e006a006f0062006f007000740069006f006e0073002e000d0043006f006d007000610074006100620069006c006900740079003a0020004100630072006f00620061007400200037002e0030002000280050004400460031002e00360029002e0020005500730065003a00200046006f00720020006300720065006100740069006e0067002000460069006e0061006c002c0020005000750062006c0069007300680065006400200050004400460073002e000d0043007200650061007400650064002000310030002f00310032002f003100310020006200790020006a0066006f007200730074002egt gtgt Namespace [ (Adobe) (Common) (10) ] OtherNamespaces [ ltlt AsReaderSpreads false CropImagesToFrames true ErrorControl WarnAndContinue FlattenerIgnoreSpreadOverrides false IncludeGuidesGrids false IncludeNonPrinting false IncludeSlug false Namespace [ (Adobe) (InDesign) (40) ] OmitPlacedBitmaps false OmitPlacedEPS false OmitPlacedPDF false SimulateOverprint Legacy gtgt ltlt AddBleedMarks false AddColorBars false AddCropMarks false AddPageInfo false AddRegMarks false ConvertColors NoConversion DestinationProfileName () DestinationProfileSelector NA Downsample16BitImages true FlattenerPreset ltlt PresetSelector MediumResolution gtgt FormElements false GenerateStructure true IncludeBookmarks false IncludeHyperlinks false IncludeInteractive false IncludeLayers false IncludeProfiles true MultimediaHandling UseObjectSettings Namespace [ (Adobe) (CreativeSuite) (20) ] PDFXOutputIntentProfileSelector NA PreserveEditing true UntaggedCMYKHandling LeaveUntagged UntaggedRGBHandling LeaveUntagged UseDocumentBleed false gtgt ]gtgt setdistillerparamsltlt HWResolution [1200 1200] PageSize [612000 792000]gtgt setpagedevice

Page 22: Xilinx UG883 Kintex-7 FPGA KC705 Evaluation Kit Getting ...€¦ · Updated step 2 and step 3 in Requirements to Get Started, page 14. ... KC705 Getting Started Guide 9 UG883 (v3.0)

22 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

Install the Linux Driver

1 If Fedora 16 is installed on the PC systemrsquos hard disk boot as a root-privileged user and skip to step 3 page 23

2 To boot from the Fedora 16 Live DVD provided in the kit place the DVD in the PCrsquos DVD-ROM drive The Fedora 16 Live Media is for Intel-compatible PCs The DVD contains a complete bootable 32-bit Fedora 16 environment with the proper packages installed for the TRD demonstration environment For more details see Fedora Information page 2 The PC boots from the DVD-ROM drive and logs into a liveuser account This account has kernel development root privileges required to install and remove device driver modules

Note Users might have to adjust BIOS boot order settings to make sure that the DVD-ROM drive is the first drive in the boot order To enter the BIOS menu to set the boot order press the DEL or F2 key when the system is powered on Set the boot order and save the changes (The DEL or F2 key is used by most PC systems to enter the BIOS setup Some PCs might have a different way to enter the BIOS setup)

The PC should boot from the DVD-ROM drive The images in Figure 15 are seen on the monitor during boot up

X-Ref Target - Figure 14

Figure 14 Location of GPIO Status LEDs (Indicates TRD Status)

UG883_14_121112

KC705 Getting Started Guide wwwxilinxcom 23UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

3 After Fedora 16 Core boots open a terminal window (click Activities click Application scroll down and click the Terminal icon) To find out if the PCIe Endpoint is detected at the terminal command line type

$ lspci

The lspci command displays the devices in the PCI and PCI Express buses of the PC On the bus of the KC705 card slot is the message

Communication controller Xilinx Corporation Device 7042

This message confirms that the design programmed into the KC705 board has been found by the BIOS and the Fedora 16 OS The bus number varies depending on which PC motherboard and slot are used Figure 16 shows a lspci output for an example system Xilinx device 7042 has been found by the BIOS on bus number 2 (02000 - busdevfunction)

X-Ref Target - Figure 15

Figure 15 Fedora 16 Live DVD BootingFirst Screen Last Boot Screen Booted

UG883 15 040913

X-Ref Target - Figure 16

Figure 16 PCI and PCI Express Bus Devices

UG883_16_040913

24 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

4 Download the reference design from the Docs amp Designs tab at wwwxilinxcomkc705 and copy the k7_pcie_dma_ddr3_base folder into any directory

5 To set up and run the TRD demonstration the software driver should be installed on the PC system Software driver installation involves

a Building the kernel objects and the GUI

b Inserting the driver modules into the kernel

After the driver modules are loaded the application GUI can be invoked The user can set parameters through the GUI and run the TRD

When the user is done running the TRD the application GUI can be closed and the drivers can be removed

A script is provided to execute all the above actions so that the user can quickly start the TRD

The k7_trd_lin_quickstart script is available in the k7_pcie_dma_ddr3_base folder Right click the script select properties go to the permissions tab check the box Allow executing file as programmdashthis makes the script executable Close the window

To run the script double-click k7_trd_lin_quickstart in the k7_pcie_dma_ddr3_base folder (Figure 17) The window prompt in Figure 18 appears

X-Ref Target - Figure 17

Figure 17 Load Driver and Launch Application GUI

UG883_17_121112

KC705 Getting Started Guide wwwxilinxcom 25UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

Click Run in Terminal to proceed The application GUI is invoked

Proceed to the next section Using the Application GUI to set design parameters and run the TRD

X-Ref Target - Figure 18

Figure 18 Run in Terminal

UG883_18_040913

26 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

Using the Application GUI

When the drivers are loaded and the Performance Monitor GUI is invoked the user can configure the sending and receiving of data The GUI allows the user to observe the collected statistics and other status information

1 Click the System Status tab to verify the status of the KC705 board and the PCIe link (see Figure 19 and Table 3)

X-Ref Target - Figure 19

Figure 19 Verify Board Status in the Performance Monitor

UG883_19_121112

KC705 Getting Started Guide wwwxilinxcom 27UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

2 To start data traffic on the two data paths

a Click Start Test on Raw Data Path0 as shown in Figure 20 This enables the driver to start generating the data for Raw Data Path0

b Click Start Test on Raw Data Path1 as shown in Figure 20 This enables the driver to start generating the data for Raw Data Path1

Table 3 KC705 Board Status Field Explanations

Field Status Explanation

Link Status Up This confirms that the PCIe link is up and a PCIe connection is established between the Kintex-7 FPGA Endpoint for PCI Express and the PC motherboard chipset

Link Speed 50 Gbs This confirms that the PCIe link is operating at line rate speed per PCI Express v20

Link Width x4 This confirms that the PCIe link is trained as a x4 link

28 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

3 Verify TRD operations through the status information provided by the GUI (see Figure 21)

a Verify the PCIe throughput

b Verify the DMA channel throughput for the Raw Data Path0

c Verify the DMA channel throughput for the Raw Data Path1

d Verify there are no buffer descriptor errors for error-free operation

X-Ref Target - Figure 20

Figure 20 Start Data Traffic from the Performance Monitor

UG883_20_121112

KC705 Getting Started Guide wwwxilinxcom 29UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

The Kintex-7 FPGA PCIe-DMA TRD is now set up and running Close the Application GUI to unload the software drivers and stop traffic flow

X-Ref Target - Figure 21

Figure 21 Verify Error-Free Operation in the Performance Monitor

UG883_21_121112

30 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

Evaluating the Kintex-7 FPGA Base TRDThe Kintex-7 FPGA Base TRD provides a Performance and Status monitor application and GUI The application enables customers to evaluate different system parameters This section demonstrates performance variances for the PCI Express and DMA interfaces based on the parameters set

To evaluate the Kintex-7 FPGA Base TRD

1 Launch the Performance Monitor for the Kintex-7 FPGA Base TRD

a Navigate to the k7_pcie_dma_ddr3_base folder

b Double-click k7_lin_trd_quickstart (Figure 22)mdashmake sure that the script has executable permissionmdashto launch the Performance Monitor and Status GUI

c A window prompt appears as shown in Figure 23 Click Run in Terminal to proceed

2 Set up the test parameters in the Performance Monitor

a Two data paths are available Raw Data Path0 and Raw Data Path1 On each path set the Packet Size to a value between 64 ndash 32768 bytes

X-Ref Target - Figure 22

Figure 22 Launch the Performance Monitor and Status GUI

UG883_22_121112

X-Ref Target - Figure 23

Figure 23 Run k7_lin_trd_quickstart

UG883_23_121112

KC705 Getting Started Guide wwwxilinxcom 31UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

3 Execute the test and view payload statistics in the Performance and Status Monitor (see Figure 24)

a Click Start Test to start the performance test

b Click the Payload Statistics tab to view data transfers on the DMA channels

c Click Stop Test to stop data traffic

d Vary the Packet Size parameters for the Raw Data Paths (see Figure 25) and click Start Test Then view the payload statistics to review data transfer rate on the DMA channels With a decrease in packet size the performance drops

Note Before changing packet size click Stop Test change the size and then click Start Test

X-Ref Target - Figure 24

Figure 24 Payload Statistics

UG883_24_121112

32 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

Note For packet sizes equal to 64 or 128 bytes the throughput is reduced and might not be visible on the Payload Statistics tab The exact values can be viewed on the System Status tab

4 Click the PCIe Statistics tab to view data transfer numbers with varying packet sizes on the PCIe interface (Figure 26)

X-Ref Target - Figure 25

Figure 25 Effect of Varying Packet Sizes on Performance

UG883_25_121112

KC705 Getting Started Guide wwwxilinxcom 33UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

The system performance of the Kintex-7 FPGA Base TRD has now been evaluated using the pre-built demonstration design bit file

Now that the Kintex-7 FPGA Base TRD demonstration has been set up and evaluated the design can be modified Before the design can be modified make sure to install the Vivado Design Suite on a PC It is not required that tools be installed on the PC system in which the KC705 evaluation board is plugged in by way of the PCIe edge connector

X-Ref Target - Figure 26

Figure 26 PCIe Statistics in the Performance Monitor

UG883_26_121112

34 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

KC705 Getting Started Guide wwwxilinxcom 35UG883 (v30) April 16 2013

Appendix A

Additional Resources

Xilinx ResourcesFor support resources such as Answers Documentation Downloads and Forums see the Xilinx Support website at

wwwxilinxcomsupport

For continual updates add the Answer Record to your myAlerts

wwwxilinxcomsupportmyalerts

For a glossary of technical terms used in Xilinx documentation see

wwwxilinxcomcompanytermshtm

Solution CentersSee the Xilinx Solution Centers for support on devices software tools and intellectual property at all stages of the design cycle Topics include design assistance advisories and troubleshooting tips

Further ResourcesThe most up to date information related to the KC705 board and its documentation is available on the following websites

The Kintex-7 FPGA KC705 Evaluation Kit Product Page

wwwxilinxcomkc705

The Kintex-7 FPGA KC705 Evaluation Kit Master Answer Record

wwwxilinxcomsupportanswers47787htm

Design advisories by software release for the Kintex-7 FPGA KC705 Evaluation Kit

wwwxilinxcomsupportnav=sd-nav-link-179661amptab=tab-bk

Kintex-7 FPGA Base Targeted Reference Design Release Notes and Known Issues Master Answer Record

wwwxilinxcomsupportanswers45679htm

These documents provide supplemental material useful with this user guide

UG810 KC705 Evaluation Board for the Kintex-7 FPGA User Guide

UG845 KC705 Reference Design User Guide

36 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Appendix A Additional Resources

UG798 Xilinx Design Tools Installation and Licensing Guide Vivado Design Suite and ISE Design Suite

UG908 Vivado Design Suite User Guide Programming and Debugging

UG882 Kintex-7 FPGA KC705 Base Targeted Reference Design User Guide (Vivado Design Suite 20124)

UG886 AMS101 Evaluation Card User Guide

UG477 7 Series FPGAs Integrated Block for PCI Express User Guide

ReferencesThe following websites provide supplemental material useful with this guide

1 LabVIEW 32-bit Run-Time Enginehttpjoulenicomniducdsviewpid2534langen

2 LabVIEW 64-bit Run-Time Engine httpjoulenicomniducdsviewpid2536langen

3 Tera Term Pro program httphpvectorcojpauthorsVA002416teratermhtml

4 Drivers on the Silicon Labs site httpwwwsilabscomSupport20DocumentsSoftwareCP210x_VCP_Win_XP_S2K3_Vista_7exe

5 Northwest Logic DMA back end core httpwwwnwlogiccompacketdma

6 Fedora project httpfedoraprojectorg

Fedora is a Linux-based operating system used in the development of this TRD

7 The GTK+ project API documentation httpwwwgtkorgdocumentationphp

GTK+ is a toolkit for creating graphical user interfaces (GUI)

KC705 Getting Started Guide wwwxilinxcom 37UG883 (v30) April 16 2013

Appendix B

Warranty

THIS LIMITED WARRANTY applies solely to standard hardware development boards and standard hardware programming cables manufactured by or on behalf of Xilinx (ldquoDevelopment Systemsrdquo) Subject to the limitations herein Xilinx warrants that Development Systems when delivered by Xilinx or its authorized distributor for ninety (90) days following the delivery date will be free from defects in material and workmanship and will substantially conform to Xilinx publicly available specifications for such products in effect at the time of delivery This limited warranty excludes (i) engineering samples or beta versions of Development Systems (which are provided ldquoAS ISrdquo without warranty) (ii) design defects or errors known as ldquoerratardquo (iii) Development Systems procured through unauthorized third parties and (iv) Development Systems that have been subject to misuse mishandling accident alteration neglect unauthorized repair or installation Furthermore this limited warranty shall not apply to the use of covered products in an application or environment that is not within Xilinx specifications or in the event of any act error neglect or default of Customer For any breach by Xilinx of this limited warranty the exclusive remedy of Customer and the sole liability of Xilinx shall be at the option of Xilinx to replace or repair the affected products or to refund to Customer the price of the affected products The availability of replacement products is subject to product discontinuation policies at Xilinx Customer may not return product without first obtaining a customer return material authorization (RMA) number from XilinxTHE WARRANTIES SET FORTH HEREIN ARE EXCLUSIVE XILINX DISCLAIMS ALL OTHER WARRANTIES WHETHER EXPRESS IMPLIED OR STATUTORY INCLUDING WITHOUT LIMITATION ANY WARRANTY OF MERCHANTABILITY FITNESS FOR A PARTICULAR PURPOSE OR NON-INFRINGEMENT AND ANY WARRANTY THAT MAY ARISE FROM COURSE OF DEALING COURSE OF PERFORMANCE OR USAGE OF TRADE (200810)

Do not throw Xilinx products marked with the ldquocrossed out wheeled binrdquo in the trash Directive 200296EC on waste electrical and electronic equipment (WEEE) requires the separate collection of WEEE Your cooperation is essential in ensuring the proper management of WEEE and the protection of the environment and human health from potential effects arising from the presence of hazardous substances in WEEE Return the marked products to Xilinx for proper disposal Further information and instructions for free-of-charge return available at httpwwwxilinxcomehsweeehtm

38 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Appendix B Warranty

  • Kintex-7 FPGA KC705 Evaluation Kit (Vivado Design Suite 20131)
    • Revision History
    • Table of Contents
    • Getting Started with the Kintex-7 FPGA KC705 Evaluation Kit (Vivado Design Suite 20131)
      • Introduction
      • Kit Contents
      • Basic Hardware Bring-up Using the BIST
        • Hardware Test Setup Requirements
          • Hardware Test Board Setup Requirements
            • Hardware Bring-Up
            • Run the BIST Application
              • AMS Bring-up with the AMS101 Evaluation Card
                • Requirements to Get Started
                • Evaluating AMS
                  • Advanced Bring-up Using the Base Targeted Reference Design
                    • Components
                    • Hardware Test Setup Requirements
                    • TRD Demonstration Setup
                    • Evaluating the Kintex-7 FPGA Base TRD
                        • Additional Resources
                          • Xilinx Resources
                          • Solution Centers
                          • Further Resources
                          • References
                            • Warranty
                                • ltlt ASCII85EncodePages false AllowTransparency false AutoPositionEPSFiles true AutoRotatePages All Binding Left CalGrayProfile (Dot Gain 20) CalRGBProfile (sRGB IEC61966-21) CalCMYKProfile (US Web Coated 050SWOP051 v2) sRGBProfile (sRGB IEC61966-21) CannotEmbedFontPolicy Warning CompatibilityLevel 16 CompressObjects Tags CompressPages true ConvertImagesToIndexed true PassThroughJPEGImages true CreateJobTicket false DefaultRenderingIntent Default DetectBlends true DetectCurves 00000 ColorConversionStrategy LeaveColorUnchanged DoThumbnails false EmbedAllFonts true EmbedOpenType false ParseICCProfilesInComments true EmbedJobOptions true DSCReportingLevel 0 EmitDSCWarnings false EndPage -1 ImageMemory 1048576 LockDistillerParams true MaxSubsetPct 100 Optimize true OPM 1 ParseDSCComments true ParseDSCCommentsForDocInfo true PreserveCopyPage true PreserveDICMYKValues true PreserveEPSInfo true PreserveFlatness true PreserveHalftoneInfo false PreserveOPIComments false PreserveOverprintSettings true StartPage 1 SubsetFonts true TransferFunctionInfo Apply UCRandBGInfo Preserve UsePrologue false ColorSettingsFile () AlwaysEmbed [ true ] NeverEmbed [ true ] AntiAliasColorImages false CropColorImages true ColorImageMinResolution 300 ColorImageMinResolutionPolicy OK DownsampleColorImages false ColorImageDownsampleType Bicubic ColorImageResolution 300 ColorImageDepth -1 ColorImageMinDownsampleDepth 1 ColorImageDownsampleThreshold 150000 EncodeColorImages true ColorImageFilter DCTEncode AutoFilterColorImages true ColorImageAutoFilterStrategy JPEG ColorACSImageDict ltlt QFactor 040 HSamples [1 1 1 1] VSamples [1 1 1 1] gtgt ColorImageDict ltlt QFactor 015 HSamples [1 1 1 1] VSamples [1 1 1 1] gtgt JPEG2000ColorACSImageDict ltlt TileWidth 256 TileHeight 256 Quality 30 gtgt JPEG2000ColorImageDict ltlt TileWidth 256 TileHeight 256 Quality 30 gtgt AntiAliasGrayImages false CropGrayImages true GrayImageMinResolution 300 GrayImageMinResolutionPolicy OK DownsampleGrayImages false GrayImageDownsampleType Bicubic GrayImageResolution 300 GrayImageDepth -1 GrayImageMinDownsampleDepth 2 GrayImageDownsampleThreshold 150000 EncodeGrayImages true GrayImageFilter DCTEncode AutoFilterGrayImages true GrayImageAutoFilterStrategy JPEG GrayACSImageDict ltlt QFactor 040 HSamples [1 1 1 1] VSamples [1 1 1 1] gtgt GrayImageDict ltlt QFactor 015 HSamples [1 1 1 1] VSamples [1 1 1 1] gtgt JPEG2000GrayACSImageDict ltlt TileWidth 256 TileHeight 256 Quality 30 gtgt JPEG2000GrayImageDict ltlt TileWidth 256 TileHeight 256 Quality 30 gtgt AntiAliasMonoImages false CropMonoImages true MonoImageMinResolution 1200 MonoImageMinResolutionPolicy OK DownsampleMonoImages false MonoImageDownsampleType Bicubic MonoImageResolution 1200 MonoImageDepth -1 MonoImageDownsampleThreshold 150000 EncodeMonoImages true MonoImageFilter CCITTFaxEncode MonoImageDict ltlt K -1 gtgt AllowPSXObjects false CheckCompliance [ None ] PDFX1aCheck false PDFX3Check false PDFXCompliantPDFOnly false PDFXNoTrimBoxError true PDFXTrimBoxToMediaBoxOffset [ 000000 000000 000000 000000 ] PDFXSetBleedBoxToMediaBox true PDFXBleedBoxToTrimBoxOffset [ 000000 000000 000000 000000 ] PDFXOutputIntentProfile (None) PDFXOutputConditionIdentifier () PDFXOutputCondition () PDFXRegistryName () PDFXTrapped False CreateJDFFile false Description ltlt ARA ltFEFF06270633062A062E062F0645002006470630064700200627064406250639062F0627062F0627062A002006440625064606340627062100200648062B062706260642002000410064006F00620065002000500044004600200645062A0648062706410642062900200644064406370628062706390629002006300627062A002006270644062C0648062F0629002006270644063906270644064A06290020064506460020062E06440627064400200627064406370627062806390627062A00200627064406450643062A0628064A062900200623064800200623062C06470632062900200625062C06310627062100200627064406280631064806410627062A061B0020064A06450643064600200641062A062D00200648062B0627062606420020005000440046002006270644064506460634062306290020062806270633062A062E062F062706450020004100630072006F0062006100740020064800410064006F006200650020005200650061006400650072002006250635062F0627063100200035002E0030002006480627064406250635062F062706310627062A0020062706440623062D062F062B002E0020064506390020005000440046002F0041060C0020062706440631062C062706210020064506310627062C063906290020062F0644064A0644002006450633062A062E062F06450020004100630072006F006200610074061B0020064A06450643064600200641062A062D00200648062B0627062606420020005000440046002006270644064506460634062306290020062806270633062A062E062F062706450020004100630072006F0062006100740020064800410064006F006200650020005200650061006400650072002006250635062F0627063100200035002E0030002006480627064406250635062F062706310627062A0020062706440623062D062F062B002Egt BGR ltFEFF04180437043f043e043b043704320430043904420435002004420435043704380020043d0430044104420440043e0439043a0438002c00200437043000200434043000200441044a0437043404300432043004420435002000410064006f00620065002000500044004600200434043e043a0443043c0435043d044204380020043704300020043a0430044704350441044204320435043d0020043f04350447043004420020043d04300020043d043004410442043e043b043d04380020043f04400438043d04420435044004380020043800200443044104420440043e043904410442043204300020043704300020043f04350447043004420020043d04300020043f0440043e0431043d04380020044004300437043f0435044704300442043a0438002e002000200421044a04370434043004340435043d043804420435002000500044004600200434043e043a0443043c0435043d044204380020043c043e0433043004420020043404300020044104350020043e0442043204300440044f0442002004410020004100630072006f00620061007400200438002000410064006f00620065002000520065006100640065007200200035002e00300020043800200441043b0435043404320430044904380020043204350440044104380438002egt CHS ltFEFF4f7f75288fd94e9b8bbe5b9a521b5efa7684002000500044004600206587686353ef901a8fc7684c976262535370673a548c002000700072006f006f00660065007200208fdb884c9ad88d2891cf62535370300260a853ef4ee54f7f75280020004100630072006f0062006100740020548c002000410064006f00620065002000520065006100640065007200200035002e003000204ee553ca66f49ad87248672c676562535f00521b5efa768400200050004400460020658768633002gt CHT ltFEFF4f7f752890194e9b8a2d7f6e5efa7acb7684002000410064006f006200650020005000440046002065874ef653ef5728684c9762537088686a5f548c002000700072006f006f00660065007200204e0a73725f979ad854c18cea7684521753706548679c300260a853ef4ee54f7f75280020004100630072006f0062006100740020548c002000410064006f00620065002000520065006100640065007200200035002e003000204ee553ca66f49ad87248672c4f86958b555f5df25efa7acb76840020005000440046002065874ef63002gt CZE ltFEFF005400610074006f0020006e006100730074006100760065006e00ed00200070006f0075017e0069006a007400650020006b0020007600790074007600e101590065006e00ed00200064006f006b0075006d0065006e0074016f002000410064006f006200650020005000440046002000700072006f0020006b00760061006c00690074006e00ed0020007400690073006b0020006e0061002000730074006f006c006e00ed006300680020007400690073006b00e10072006e00e100630068002000610020006e00e1007400690073006b006f007600fd006300680020007a0061015900ed007a0065006e00ed00630068002e002000200056007900740076006f01590065006e00e900200064006f006b0075006d0065006e007400790020005000440046002000620075006400650020006d006f017e006e00e90020006f007400650076015900ed007400200076002000700072006f006700720061006d0065006300680020004100630072006f00620061007400200061002000410064006f00620065002000520065006100640065007200200035002e0030002000610020006e006f0076011b006a016100ed00630068002egt DAN ltFEFF004200720075006700200069006e0064007300740069006c006c0069006e006700650072006e0065002000740069006c0020006100740020006f007000720065007400740065002000410064006f006200650020005000440046002d0064006f006b0075006d0065006e007400650072002000740069006c0020006b00760061006c00690074006500740073007500640073006b007200690076006e0069006e006700200065006c006c006500720020006b006f007200720065006b007400750072006c00e60073006e0069006e0067002e0020004400650020006f007000720065007400740065006400650020005000440046002d0064006f006b0075006d0065006e0074006500720020006b0061006e002000e50062006e00650073002000690020004100630072006f00620061007400200065006c006c006500720020004100630072006f006200610074002000520065006100640065007200200035002e00300020006f00670020006e0079006500720065002egt DEU ltFEFF00560065007200770065006e00640065006e0020005300690065002000640069006500730065002000450069006e007300740065006c006c0075006e00670065006e0020007a0075006d002000450072007300740065006c006c0065006e00200076006f006e002000410064006f006200650020005000440046002d0044006f006b0075006d0065006e00740065006e002c00200076006f006e002000640065006e0065006e002000530069006500200068006f00630068007700650072007400690067006500200044007200750063006b006500200061007500660020004400650073006b0074006f0070002d0044007200750063006b00650072006e00200075006e0064002000500072006f006f0066002d00470065007200e400740065006e002000650072007a0065007500670065006e0020006d00f60063006800740065006e002e002000450072007300740065006c006c007400650020005000440046002d0044006f006b0075006d0065006e007400650020006b00f6006e006e0065006e0020006d006900740020004100630072006f00620061007400200075006e0064002000410064006f00620065002000520065006100640065007200200035002e00300020006f0064006500720020006800f600680065007200200067006500f600660066006e00650074002000770065007200640065006e002egt ESP ltFEFF005500740069006c0069006300650020006500730074006100200063006f006e0066006900670075007200610063006900f3006e0020007000610072006100200063007200650061007200200064006f00630075006d0065006e0074006f0073002000640065002000410064006f0062006500200050004400460020007000610072006100200063006f006e00730065006700750069007200200069006d0070007200650073006900f3006e002000640065002000630061006c006900640061006400200065006e00200069006d0070007200650073006f0072006100730020006400650020006500730063007200690074006f00720069006f00200079002000680065007200720061006d00690065006e00740061007300200064006500200063006f00720072006500630063006900f3006e002e002000530065002000700075006500640065006e00200061006200720069007200200064006f00630075006d0065006e0074006f00730020005000440046002000630072006500610064006f007300200063006f006e0020004100630072006f006200610074002c002000410064006f00620065002000520065006100640065007200200035002e003000200079002000760065007200730069006f006e0065007300200070006f00730074006500720069006f007200650073002egt ETI ltFEFF004b00610073007500740061006700650020006e0065006900640020007300e4007400740065006900640020006c006100750061002d0020006a00610020006b006f006e00740072006f006c006c007400f5006d006d006900730065007000720069006e0074006500720069007400650020006a0061006f006b00730020006b00760061006c006900740065006500740073006500740065002000410064006f006200650020005000440046002d0064006f006b0075006d0065006e00740069006400650020006c006f006f006d006900730065006b0073002e002e00200020004c006f006f0064007500640020005000440046002d0064006f006b0075006d0065006e00740065002000730061006100740065002000610076006100640061002000700072006f006700720061006d006d006900640065006700610020004100630072006f0062006100740020006e0069006e0067002000410064006f00620065002000520065006100640065007200200035002e00300020006a00610020007500750065006d006100740065002000760065007200730069006f006f006e00690064006500670061002e000d000agt FRA ltFEFF005500740069006c006900730065007a00200063006500730020006f007000740069006f006e00730020006100660069006e00200064006500200063007200e900650072002000640065007300200064006f00630075006d0065006e00740073002000410064006f00620065002000500044004600200070006f007500720020006400650073002000e90070007200650075007600650073002000650074002000640065007300200069006d007000720065007300730069006f006e00730020006400650020006800610075007400650020007100750061006c0069007400e90020007300750072002000640065007300200069006d007000720069006d0061006e0074006500730020006400650020006200750072006500610075002e0020004c0065007300200064006f00630075006d0065006e00740073002000500044004600200063007200e900e90073002000700065007500760065006e0074002000ea0074007200650020006f007500760065007200740073002000640061006e00730020004100630072006f006200610074002c002000610069006e00730069002000710075002700410064006f00620065002000520065006100640065007200200035002e0030002000650074002000760065007200730069006f006e007300200075006c007400e90072006900650075007200650073002egt GRE ltFEFF03a703c103b703c303b903bc03bf03c003bf03b903ae03c303c403b5002003b103c503c403ad03c2002003c403b903c2002003c103c503b803bc03af03c303b503b903c2002003b303b903b1002003bd03b1002003b403b703bc03b903bf03c503c103b303ae03c303b503c403b5002003ad03b303b303c103b103c603b1002000410064006f006200650020005000440046002003b303b903b1002003b503ba03c403cd03c003c903c303b7002003c003bf03b903cc03c403b703c403b103c2002003c303b5002003b503ba03c403c503c003c903c403ad03c2002003b303c103b103c603b503af03bf03c5002003ba03b103b9002003b403bf03ba03b903bc03b103c303c403ad03c2002e0020002003a403b10020005000440046002003ad03b303b303c103b103c603b1002003c003bf03c5002003ad03c703b503c403b5002003b403b703bc03b903bf03c503c103b303ae03c303b503b9002003bc03c003bf03c103bf03cd03bd002003bd03b1002003b103bd03bf03b903c703c403bf03cd03bd002003bc03b5002003c403bf0020004100630072006f006200610074002c002003c403bf002000410064006f006200650020005200650061006400650072002000200035002e0030002003ba03b103b9002003bc03b503c403b103b303b503bd03ad03c303c403b503c103b503c2002003b503ba03b403cc03c303b503b903c2002egt HEB ltFEFF05D405E905EA05DE05E905D5002005D105D405D205D305E805D505EA002005D005DC05D4002005DB05D305D9002005DC05D905E605D505E8002005DE05E105DE05DB05D9002000410064006F006200650020005000440046002005E205D105D505E8002005D405D305E405E105D4002005D005D905DB05D505EA05D905EA002005D105DE05D305E405E105D505EA002005E905D505DC05D705E005D905D505EA002005D505DB05DC05D9002005D405D205D405D4002E002005DE05E105DE05DB05D9002005D4002D005000440046002005E905E005D505E605E805D905DD002005E005D905EA05E005D905DD002005DC05E405EA05D905D705D4002005D105D005DE05E605E205D505EA0020004100630072006F006200610074002005D5002D00410064006F00620065002000520065006100640065007200200035002E0030002005D505D205E805E105D005D505EA002005DE05EA05E705D305DE05D505EA002005D905D505EA05E8002Egt HRV ltFEFF005a00610020007300740076006100720061006e006a0065002000410064006f00620065002000500044004600200064006f006b0075006d0065006e0061007400610020007a00610020006b00760061006c00690074006500740061006e0020006900730070006900730020006e006100200070006900730061010d0069006d006100200069006c0069002000700072006f006f006600650072002000750072006501110061006a0069006d0061002e00200020005300740076006f00720065006e0069002000500044004600200064006f006b0075006d0065006e007400690020006d006f006700750020007300650020006f00740076006f00720069007400690020004100630072006f00620061007400200069002000410064006f00620065002000520065006100640065007200200035002e0030002000690020006b00610073006e0069006a0069006d0020007600650072007a0069006a0061006d0061002egt HUN ltFEFF004d0069006e0151007300e9006700690020006e0079006f006d00610074006f006b0020006b00e90073007a00ed007400e9007300e900680065007a002000610073007a00740061006c00690020006e0079006f006d00740061007400f3006b006f006e002000e9007300200070007200f300620061006e0079006f006d00f3006b006f006e00200065007a0065006b006b0065006c0020006100200062006500e1006c006c00ed007400e10073006f006b006b0061006c002c00200068006f007a007a006f006e0020006c00e9007400720065002000410064006f00620065002000500044004600200064006f006b0075006d0065006e00740075006d006f006b00610074002e0020002000410020006c00e90074007200650068006f007a006f00740074002000500044004600200064006f006b0075006d0065006e00740075006d006f006b00200061007a0020004100630072006f006200610074002c00200061007a002000410064006f00620065002000520065006100640065007200200035002e0030002000e9007300200061007a002000610074007400f3006c0020006b00e9007301510062006200690020007600650072007a006900f3006b006b0061006c00200020006e00790069007400680061007400f3006b0020006d00650067002egt ITA ltFEFF005500740069006c0069007a007a006100720065002000710075006500730074006500200069006d0070006f007300740061007a0069006f006e00690020007000650072002000630072006500610072006500200064006f00630075006d0065006e00740069002000410064006f006200650020005000440046002000700065007200200075006e00610020007300740061006d007000610020006400690020007100750061006c0069007400e00020007300750020007300740061006d00700061006e0074006900200065002000700072006f006f0066006500720020006400650073006b0074006f0070002e0020004900200064006f00630075006d0065006e007400690020005000440046002000630072006500610074006900200070006f00730073006f006e006f0020006500730073006500720065002000610070006500720074006900200063006f006e0020004100630072006f00620061007400200065002000410064006f00620065002000520065006100640065007200200035002e003000200065002000760065007200730069006f006e006900200073007500630063006500730073006900760065002egt JPN ltFEFF9ad854c18cea51fa529b7528002000410064006f0062006500200050004400460020658766f8306e4f5c6210306b4f7f75283057307e30593002537052376642306e753b8cea3092670059279650306b4fdd306430533068304c3067304d307e3059300230c730b930af30c830c330d730d730ea30f330bf3067306e53705237307e305f306f30d730eb30fc30d57528306b9069305730663044307e305930023053306e8a2d5b9a30674f5c62103055308c305f0020005000440046002030d530a130a430eb306f3001004100630072006f0062006100740020304a30883073002000410064006f00620065002000520065006100640065007200200035002e003000204ee5964d3067958b304f30533068304c3067304d307e30593002gt KOR ltFEFFc7740020c124c815c7440020c0acc6a9d558c5ec0020b370c2a4d06cd0d10020d504b9b0d1300020bc0f0020ad50c815ae30c5d0c11c0020ace0d488c9c8b85c0020c778c1c4d560002000410064006f0062006500200050004400460020bb38c11cb97c0020c791c131d569b2c8b2e4002e0020c774b807ac8c0020c791c131b41c00200050004400460020bb38c11cb2940020004100630072006f0062006100740020bc0f002000410064006f00620065002000520065006100640065007200200035002e00300020c774c0c1c5d0c11c0020c5f40020c2180020c788c2b5b2c8b2e4002egt LTH ltFEFF004e006100750064006f006b0069007400650020016100690075006f007300200070006100720061006d006500740072007500730020006e006f0072011700640061006d00690020006b0075007200740069002000410064006f00620065002000500044004600200064006f006b0075006d0065006e007400750073002c0020006b007500720069006500200073006b00690072007400690020006b006f006b0079006200690161006b0061006900200073007000610075007300640069006e007400690020007300740061006c0069006e0069006100690073002000690072002000620061006e00640079006d006f00200073007000610075007300640069006e007400750076006100690073002e0020002000530075006b0075007200740069002000500044004600200064006f006b0075006d0065006e007400610069002000670061006c006900200062016b007400690020006100740069006400610072006f006d00690020004100630072006f006200610074002000690072002000410064006f00620065002000520065006100640065007200200035002e0030002000610072002000760117006c00650073006e0117006d00690073002000760065007200730069006a006f006d00690073002egt LVI ltFEFF0049007a006d0061006e0074006f006a00690065007400200161006f00730020006900650073007400610074012b006a0075006d00750073002c0020006c0061006900200069007a0076006500690064006f00740075002000410064006f00620065002000500044004600200064006f006b0075006d0065006e0074007500730020006b00760061006c0069007400610074012b0076006100690020006400720075006b010101610061006e00610069002000610072002000670061006c006400610020007000720069006e00740065007200690065006d00200075006e0020007000610072006100750067006e006f00760069006c006b0075006d0075002000690065007300700069006500640113006a00690065006d002e00200049007a0076006500690064006f006a006900650074002000500044004600200064006f006b0075006d0065006e007400750073002c0020006b006f002000760061007200200061007400760113007200740020006100720020004100630072006f00620061007400200075006e002000410064006f00620065002000520065006100640065007200200035002e0030002c0020006b0101002000610072012b00200074006f0020006a00610075006e0101006b0101006d002000760065007200730069006a0101006d002egt NLD (Gebruik deze instellingen om Adobe PDF-documenten te maken voor kwaliteitsafdrukken op desktopprinters en proofers De gemaakte PDF-documenten kunnen worden geopend met Acrobat en Adobe Reader 50 en hoger) NOR ltFEFF004200720075006b00200064006900730073006500200069006e006e007300740069006c006c0069006e00670065006e0065002000740069006c002000e50020006f0070007000720065007400740065002000410064006f006200650020005000440046002d0064006f006b0075006d0065006e00740065007200200066006f00720020007500740073006b00720069006600740020006100760020006800f800790020006b00760061006c00690074006500740020007000e500200062006f007200640073006b0072006900760065007200200065006c006c00650072002000700072006f006f006600650072002e0020005000440046002d0064006f006b0075006d0065006e00740065006e00650020006b0061006e002000e50070006e00650073002000690020004100630072006f00620061007400200065006c006c00650072002000410064006f00620065002000520065006100640065007200200035002e003000200065006c006c00650072002000730065006e006500720065002egt POL ltFEFF0055007300740061007700690065006e0069006100200064006f002000740077006f0072007a0065006e0069006100200064006f006b0075006d0065006e007400f3007700200050004400460020007a002000770079017c0073007a010500200072006f007a0064007a00690065006c0063007a006f015b0063006901050020006f006200720061007a006b00f30077002c0020007a0061007000650077006e00690061006a0105006301050020006c006500700073007a01050020006a0061006b006f015b0107002000770079006400720075006b00f30077002e00200044006f006b0075006d0065006e0074007900200050004400460020006d006f017c006e00610020006f007400770069006500720061010700200077002000700072006f006700720061006d006900650020004100630072006f00620061007400200069002000410064006f00620065002000520065006100640065007200200035002e0030002000690020006e006f00770073007a0079006d002egt PTB ltFEFF005500740069006c0069007a006500200065007300730061007300200063006f006e00660069006700750072006100e700f50065007300200064006500200066006f0072006d00610020006100200063007200690061007200200064006f00630075006d0065006e0074006f0073002000410064006f0062006500200050004400460020007000610072006100200069006d0070007200650073007300f5006500730020006400650020007100750061006c0069006400610064006500200065006d00200069006d00700072006500730073006f0072006100730020006400650073006b0074006f00700020006500200064006900730070006f00730069007400690076006f0073002000640065002000700072006f00760061002e0020004f007300200064006f00630075006d0065006e0074006f00730020005000440046002000630072006900610064006f007300200070006f00640065006d0020007300650072002000610062006500720074006f007300200063006f006d0020006f0020004100630072006f006200610074002000650020006f002000410064006f00620065002000520065006100640065007200200035002e0030002000650020007600650072007300f50065007300200070006f00730074006500720069006f007200650073002egt RUM ltFEFF005500740069006c0069007a00610163006900200061006300650073007400650020007300650074010300720069002000700065006e007400720075002000610020006300720065006100200064006f00630075006d0065006e00740065002000410064006f006200650020005000440046002000700065006e007400720075002000740069007001030072006900720065002000640065002000630061006c006900740061007400650020006c006100200069006d007000720069006d0061006e007400650020006400650073006b0074006f00700020015f0069002000700065006e0074007200750020007600650072006900660069006300610074006f00720069002e002000200044006f00630075006d0065006e00740065006c00650020005000440046002000630072006500610074006500200070006f00740020006600690020006400650073006300680069007300650020006300750020004100630072006f006200610074002c002000410064006f00620065002000520065006100640065007200200035002e00300020015f00690020007600650072007300690075006e0069006c006500200075006c0074006500720069006f006100720065002egt RUS ltFEFF04180441043f043e043b044c04370443043904420435002004340430043d043d044b04350020043d0430044104420440043e0439043a043800200434043b044f00200441043e043704340430043d0438044f00200434043e043a0443043c0435043d0442043e0432002000410064006f006200650020005000440046002c0020043f044004350434043d04300437043d043004470435043d043d044b044500200434043b044f0020043a0430044704350441044204320435043d043d043e04390020043f043504470430044204380020043d04300020043d043004410442043e043b044c043d044b04450020043f04400438043d044204350440043004450020043800200443044104420440043e04390441044204320430044500200434043b044f0020043f043e043b044304470435043d0438044f0020043f0440043e0431043d044b04450020043e0442044204380441043a043e0432002e002000200421043e043704340430043d043d044b04350020005000440046002d0434043e043a0443043c0435043d0442044b0020043c043e0436043d043e00200020043e0442043a0440044b043204300442044c002004410020043f043e043c043e0449044c044e0020004100630072006f00620061007400200438002000410064006f00620065002000520065006100640065007200200035002e00300020043800200431043e043b043504350020043f043e04370434043d043804450020043204350440044104380439002egt SKY ltFEFF0054006900650074006f0020006e006100730074006100760065006e0069006100200070006f0075017e0069007400650020006e00610020007600790074007600e100720061006e0069006500200064006f006b0075006d0065006e0074006f0076002000410064006f00620065002000500044004600200070007200650020006b00760061006c00690074006e00fa00200074006c0061010d0020006e0061002000730074006f006c006e00fd0063006800200074006c0061010d00690061007201480061006300680020006100200074006c0061010d006f007600fd006300680020007a006100720069006100640065006e0069006100630068002e00200056007900740076006f00720065006e00e900200064006f006b0075006d0065006e007400790020005000440046002000620075006400650020006d006f017e006e00e90020006f00740076006f00720069016500200076002000700072006f006700720061006d006f006300680020004100630072006f00620061007400200061002000410064006f00620065002000520065006100640065007200200035002e0030002000610020006e006f0076016100ed00630068002e000d000agt SLV ltFEFF005400650020006e006100730074006100760069007400760065002000750070006f0072006100620069007400650020007a00610020007500730074007600610072006a0061006e006a006500200064006f006b0075006d0065006e0074006f0076002000410064006f0062006500200050004400460020007a00610020006b0061006b006f0076006f00730074006e006f0020007400690073006b0061006e006a00650020006e00610020006e0061006d0069007a006e006900680020007400690073006b0061006c006e0069006b0069006800200069006e0020007000720065007600650072006a0061006c006e0069006b00690068002e00200020005500730074007600610072006a0065006e006500200064006f006b0075006d0065006e0074006500200050004400460020006a00650020006d006f0067006f010d00650020006f0064007000720065007400690020007a0020004100630072006f00620061007400200069006e002000410064006f00620065002000520065006100640065007200200035002e003000200069006e0020006e006f00760065006a01610069006d002egt SUO ltFEFF004b00e40079007400e40020006e00e40069007400e4002000610073006500740075006b007300690061002c0020006b0075006e0020006c0075006f0074002000410064006f0062006500200050004400460020002d0064006f006b0075006d0065006e007400740065006a00610020006c0061006100640075006b006100730074006100200074007900f6007000f60079007400e400740075006c006f0073007400750073007400610020006a00610020007600650064006f007300740075007300740061002000760061007200740065006e002e00200020004c0075006f0064007500740020005000440046002d0064006f006b0075006d0065006e00740069007400200076006f0069006400610061006e0020006100760061007400610020004100630072006f0062006100740069006c006c00610020006a0061002000410064006f00620065002000520065006100640065007200200035002e0030003a006c006c00610020006a006100200075007500640065006d006d0069006c006c0061002egt SVE ltFEFF0041006e007600e4006e00640020006400650020006800e4007200200069006e0073007400e4006c006c006e0069006e006700610072006e00610020006f006d002000640075002000760069006c006c00200073006b006100700061002000410064006f006200650020005000440046002d0064006f006b0075006d0065006e00740020006600f600720020006b00760061006c00690074006500740073007500740073006b0072006900660074006500720020007000e5002000760061006e006c00690067006100200073006b0072006900760061007200650020006f006300680020006600f600720020006b006f007200720065006b007400750072002e002000200053006b006100700061006400650020005000440046002d0064006f006b0075006d0065006e00740020006b0061006e002000f600700070006e00610073002000690020004100630072006f0062006100740020006f00630068002000410064006f00620065002000520065006100640065007200200035002e00300020006f00630068002000730065006e006100720065002egt TUR ltFEFF004d00610073006100fc0073007400fc002000790061007a013100630131006c006100720020007600650020006200610073006b01310020006d0061006b0069006e0065006c006500720069006e006400650020006b0061006c006900740065006c00690020006200610073006b013100200061006d0061006301310079006c0061002000410064006f006200650020005000440046002000620065006c00670065006c0065007200690020006f006c0075015f007400750072006d0061006b0020006900e70069006e00200062007500200061007900610072006c0061007201310020006b0075006c006c0061006e0131006e002e00200020004f006c0075015f0074007500720075006c0061006e0020005000440046002000620065006c00670065006c0065007200690020004100630072006f006200610074002000760065002000410064006f00620065002000520065006100640065007200200035002e003000200076006500200073006f006e0072006100730131006e00640061006b00690020007300fc007200fc006d006c00650072006c00650020006100e70131006c006100620069006c00690072002egt UKR ltFEFF04120438043a043e0440043804410442043e043204430439044204350020044604560020043f043004400430043c043504420440043800200434043b044f0020044104420432043e04400435043d043d044f00200434043e043a0443043c0435043d044204560432002000410064006f006200650020005000440046002c0020044f043a04560020043d04300439043a04400430044904350020043f045604340445043e0434044f0442044c00200434043b044f0020043204380441043e043a043e044f043a04560441043d043e0433043e0020043404400443043a04430020043d04300020043d0430044104420456043b044c043d043804450020043f04400438043d044204350440043004450020044204300020043f04400438044104420440043e044f044500200434043b044f0020043e044204400438043c0430043d043d044f0020043f0440043e0431043d0438044500200437043e04310440043004360435043d044c002e00200020042104420432043e04400435043d045600200434043e043a0443043c0435043d0442043800200050004400460020043c043e0436043d04300020043204560434043a0440043804420438002004430020004100630072006f006200610074002004420430002000410064006f00620065002000520065006100640065007200200035002e0030002004300431043e0020043f04560437043d04560448043e04570020043204350440044104560457002egt ENU ltFEFF005500730065002000740068006500730065002000730065007400740069006e0067007300200074006f0020006300720065006100740065002000410064006f0062006500200050004400460020006200610073006500640020006f006e0020007400680065002000640065006600610075006c0074002000580069006c0069006e007800200070006100720061006d0065007400650072002000730065007400740069006e0067007300200064006500660069006e00650064002000620079002000500053003000300034002e002000460069006c0065006e0061006d0065003a002000780069006c0069006e0078002e006a006f0062006f007000740069006f006e0073002e000d0043006f006d007000610074006100620069006c006900740079003a0020004100630072006f00620061007400200037002e0030002000280050004400460031002e00360029002e0020005500730065003a00200046006f00720020006300720065006100740069006e0067002000460069006e0061006c002c0020005000750062006c0069007300680065006400200050004400460073002e000d0043007200650061007400650064002000310030002f00310032002f003100310020006200790020006a0066006f007200730074002egt gtgt Namespace [ (Adobe) (Common) (10) ] OtherNamespaces [ ltlt AsReaderSpreads false CropImagesToFrames true ErrorControl WarnAndContinue FlattenerIgnoreSpreadOverrides false IncludeGuidesGrids false IncludeNonPrinting false IncludeSlug false Namespace [ (Adobe) (InDesign) (40) ] OmitPlacedBitmaps false OmitPlacedEPS false OmitPlacedPDF false SimulateOverprint Legacy gtgt ltlt AddBleedMarks false AddColorBars false AddCropMarks false AddPageInfo false AddRegMarks false ConvertColors NoConversion DestinationProfileName () DestinationProfileSelector NA Downsample16BitImages true FlattenerPreset ltlt PresetSelector MediumResolution gtgt FormElements false GenerateStructure true IncludeBookmarks false IncludeHyperlinks false IncludeInteractive false IncludeLayers false IncludeProfiles true MultimediaHandling UseObjectSettings Namespace [ (Adobe) (CreativeSuite) (20) ] PDFXOutputIntentProfileSelector NA PreserveEditing true UntaggedCMYKHandling LeaveUntagged UntaggedRGBHandling LeaveUntagged UseDocumentBleed false gtgt ]gtgt setdistillerparamsltlt HWResolution [1200 1200] PageSize [612000 792000]gtgt setpagedevice

Page 23: Xilinx UG883 Kintex-7 FPGA KC705 Evaluation Kit Getting ...€¦ · Updated step 2 and step 3 in Requirements to Get Started, page 14. ... KC705 Getting Started Guide 9 UG883 (v3.0)

KC705 Getting Started Guide wwwxilinxcom 23UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

3 After Fedora 16 Core boots open a terminal window (click Activities click Application scroll down and click the Terminal icon) To find out if the PCIe Endpoint is detected at the terminal command line type

$ lspci

The lspci command displays the devices in the PCI and PCI Express buses of the PC On the bus of the KC705 card slot is the message

Communication controller Xilinx Corporation Device 7042

This message confirms that the design programmed into the KC705 board has been found by the BIOS and the Fedora 16 OS The bus number varies depending on which PC motherboard and slot are used Figure 16 shows a lspci output for an example system Xilinx device 7042 has been found by the BIOS on bus number 2 (02000 - busdevfunction)

X-Ref Target - Figure 15

Figure 15 Fedora 16 Live DVD BootingFirst Screen Last Boot Screen Booted

UG883 15 040913

X-Ref Target - Figure 16

Figure 16 PCI and PCI Express Bus Devices

UG883_16_040913

24 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

4 Download the reference design from the Docs amp Designs tab at wwwxilinxcomkc705 and copy the k7_pcie_dma_ddr3_base folder into any directory

5 To set up and run the TRD demonstration the software driver should be installed on the PC system Software driver installation involves

a Building the kernel objects and the GUI

b Inserting the driver modules into the kernel

After the driver modules are loaded the application GUI can be invoked The user can set parameters through the GUI and run the TRD

When the user is done running the TRD the application GUI can be closed and the drivers can be removed

A script is provided to execute all the above actions so that the user can quickly start the TRD

The k7_trd_lin_quickstart script is available in the k7_pcie_dma_ddr3_base folder Right click the script select properties go to the permissions tab check the box Allow executing file as programmdashthis makes the script executable Close the window

To run the script double-click k7_trd_lin_quickstart in the k7_pcie_dma_ddr3_base folder (Figure 17) The window prompt in Figure 18 appears

X-Ref Target - Figure 17

Figure 17 Load Driver and Launch Application GUI

UG883_17_121112

KC705 Getting Started Guide wwwxilinxcom 25UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

Click Run in Terminal to proceed The application GUI is invoked

Proceed to the next section Using the Application GUI to set design parameters and run the TRD

X-Ref Target - Figure 18

Figure 18 Run in Terminal

UG883_18_040913

26 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

Using the Application GUI

When the drivers are loaded and the Performance Monitor GUI is invoked the user can configure the sending and receiving of data The GUI allows the user to observe the collected statistics and other status information

1 Click the System Status tab to verify the status of the KC705 board and the PCIe link (see Figure 19 and Table 3)

X-Ref Target - Figure 19

Figure 19 Verify Board Status in the Performance Monitor

UG883_19_121112

KC705 Getting Started Guide wwwxilinxcom 27UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

2 To start data traffic on the two data paths

a Click Start Test on Raw Data Path0 as shown in Figure 20 This enables the driver to start generating the data for Raw Data Path0

b Click Start Test on Raw Data Path1 as shown in Figure 20 This enables the driver to start generating the data for Raw Data Path1

Table 3 KC705 Board Status Field Explanations

Field Status Explanation

Link Status Up This confirms that the PCIe link is up and a PCIe connection is established between the Kintex-7 FPGA Endpoint for PCI Express and the PC motherboard chipset

Link Speed 50 Gbs This confirms that the PCIe link is operating at line rate speed per PCI Express v20

Link Width x4 This confirms that the PCIe link is trained as a x4 link

28 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

3 Verify TRD operations through the status information provided by the GUI (see Figure 21)

a Verify the PCIe throughput

b Verify the DMA channel throughput for the Raw Data Path0

c Verify the DMA channel throughput for the Raw Data Path1

d Verify there are no buffer descriptor errors for error-free operation

X-Ref Target - Figure 20

Figure 20 Start Data Traffic from the Performance Monitor

UG883_20_121112

KC705 Getting Started Guide wwwxilinxcom 29UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

The Kintex-7 FPGA PCIe-DMA TRD is now set up and running Close the Application GUI to unload the software drivers and stop traffic flow

X-Ref Target - Figure 21

Figure 21 Verify Error-Free Operation in the Performance Monitor

UG883_21_121112

30 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

Evaluating the Kintex-7 FPGA Base TRDThe Kintex-7 FPGA Base TRD provides a Performance and Status monitor application and GUI The application enables customers to evaluate different system parameters This section demonstrates performance variances for the PCI Express and DMA interfaces based on the parameters set

To evaluate the Kintex-7 FPGA Base TRD

1 Launch the Performance Monitor for the Kintex-7 FPGA Base TRD

a Navigate to the k7_pcie_dma_ddr3_base folder

b Double-click k7_lin_trd_quickstart (Figure 22)mdashmake sure that the script has executable permissionmdashto launch the Performance Monitor and Status GUI

c A window prompt appears as shown in Figure 23 Click Run in Terminal to proceed

2 Set up the test parameters in the Performance Monitor

a Two data paths are available Raw Data Path0 and Raw Data Path1 On each path set the Packet Size to a value between 64 ndash 32768 bytes

X-Ref Target - Figure 22

Figure 22 Launch the Performance Monitor and Status GUI

UG883_22_121112

X-Ref Target - Figure 23

Figure 23 Run k7_lin_trd_quickstart

UG883_23_121112

KC705 Getting Started Guide wwwxilinxcom 31UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

3 Execute the test and view payload statistics in the Performance and Status Monitor (see Figure 24)

a Click Start Test to start the performance test

b Click the Payload Statistics tab to view data transfers on the DMA channels

c Click Stop Test to stop data traffic

d Vary the Packet Size parameters for the Raw Data Paths (see Figure 25) and click Start Test Then view the payload statistics to review data transfer rate on the DMA channels With a decrease in packet size the performance drops

Note Before changing packet size click Stop Test change the size and then click Start Test

X-Ref Target - Figure 24

Figure 24 Payload Statistics

UG883_24_121112

32 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

Note For packet sizes equal to 64 or 128 bytes the throughput is reduced and might not be visible on the Payload Statistics tab The exact values can be viewed on the System Status tab

4 Click the PCIe Statistics tab to view data transfer numbers with varying packet sizes on the PCIe interface (Figure 26)

X-Ref Target - Figure 25

Figure 25 Effect of Varying Packet Sizes on Performance

UG883_25_121112

KC705 Getting Started Guide wwwxilinxcom 33UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

The system performance of the Kintex-7 FPGA Base TRD has now been evaluated using the pre-built demonstration design bit file

Now that the Kintex-7 FPGA Base TRD demonstration has been set up and evaluated the design can be modified Before the design can be modified make sure to install the Vivado Design Suite on a PC It is not required that tools be installed on the PC system in which the KC705 evaluation board is plugged in by way of the PCIe edge connector

X-Ref Target - Figure 26

Figure 26 PCIe Statistics in the Performance Monitor

UG883_26_121112

34 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

KC705 Getting Started Guide wwwxilinxcom 35UG883 (v30) April 16 2013

Appendix A

Additional Resources

Xilinx ResourcesFor support resources such as Answers Documentation Downloads and Forums see the Xilinx Support website at

wwwxilinxcomsupport

For continual updates add the Answer Record to your myAlerts

wwwxilinxcomsupportmyalerts

For a glossary of technical terms used in Xilinx documentation see

wwwxilinxcomcompanytermshtm

Solution CentersSee the Xilinx Solution Centers for support on devices software tools and intellectual property at all stages of the design cycle Topics include design assistance advisories and troubleshooting tips

Further ResourcesThe most up to date information related to the KC705 board and its documentation is available on the following websites

The Kintex-7 FPGA KC705 Evaluation Kit Product Page

wwwxilinxcomkc705

The Kintex-7 FPGA KC705 Evaluation Kit Master Answer Record

wwwxilinxcomsupportanswers47787htm

Design advisories by software release for the Kintex-7 FPGA KC705 Evaluation Kit

wwwxilinxcomsupportnav=sd-nav-link-179661amptab=tab-bk

Kintex-7 FPGA Base Targeted Reference Design Release Notes and Known Issues Master Answer Record

wwwxilinxcomsupportanswers45679htm

These documents provide supplemental material useful with this user guide

UG810 KC705 Evaluation Board for the Kintex-7 FPGA User Guide

UG845 KC705 Reference Design User Guide

36 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Appendix A Additional Resources

UG798 Xilinx Design Tools Installation and Licensing Guide Vivado Design Suite and ISE Design Suite

UG908 Vivado Design Suite User Guide Programming and Debugging

UG882 Kintex-7 FPGA KC705 Base Targeted Reference Design User Guide (Vivado Design Suite 20124)

UG886 AMS101 Evaluation Card User Guide

UG477 7 Series FPGAs Integrated Block for PCI Express User Guide

ReferencesThe following websites provide supplemental material useful with this guide

1 LabVIEW 32-bit Run-Time Enginehttpjoulenicomniducdsviewpid2534langen

2 LabVIEW 64-bit Run-Time Engine httpjoulenicomniducdsviewpid2536langen

3 Tera Term Pro program httphpvectorcojpauthorsVA002416teratermhtml

4 Drivers on the Silicon Labs site httpwwwsilabscomSupport20DocumentsSoftwareCP210x_VCP_Win_XP_S2K3_Vista_7exe

5 Northwest Logic DMA back end core httpwwwnwlogiccompacketdma

6 Fedora project httpfedoraprojectorg

Fedora is a Linux-based operating system used in the development of this TRD

7 The GTK+ project API documentation httpwwwgtkorgdocumentationphp

GTK+ is a toolkit for creating graphical user interfaces (GUI)

KC705 Getting Started Guide wwwxilinxcom 37UG883 (v30) April 16 2013

Appendix B

Warranty

THIS LIMITED WARRANTY applies solely to standard hardware development boards and standard hardware programming cables manufactured by or on behalf of Xilinx (ldquoDevelopment Systemsrdquo) Subject to the limitations herein Xilinx warrants that Development Systems when delivered by Xilinx or its authorized distributor for ninety (90) days following the delivery date will be free from defects in material and workmanship and will substantially conform to Xilinx publicly available specifications for such products in effect at the time of delivery This limited warranty excludes (i) engineering samples or beta versions of Development Systems (which are provided ldquoAS ISrdquo without warranty) (ii) design defects or errors known as ldquoerratardquo (iii) Development Systems procured through unauthorized third parties and (iv) Development Systems that have been subject to misuse mishandling accident alteration neglect unauthorized repair or installation Furthermore this limited warranty shall not apply to the use of covered products in an application or environment that is not within Xilinx specifications or in the event of any act error neglect or default of Customer For any breach by Xilinx of this limited warranty the exclusive remedy of Customer and the sole liability of Xilinx shall be at the option of Xilinx to replace or repair the affected products or to refund to Customer the price of the affected products The availability of replacement products is subject to product discontinuation policies at Xilinx Customer may not return product without first obtaining a customer return material authorization (RMA) number from XilinxTHE WARRANTIES SET FORTH HEREIN ARE EXCLUSIVE XILINX DISCLAIMS ALL OTHER WARRANTIES WHETHER EXPRESS IMPLIED OR STATUTORY INCLUDING WITHOUT LIMITATION ANY WARRANTY OF MERCHANTABILITY FITNESS FOR A PARTICULAR PURPOSE OR NON-INFRINGEMENT AND ANY WARRANTY THAT MAY ARISE FROM COURSE OF DEALING COURSE OF PERFORMANCE OR USAGE OF TRADE (200810)

Do not throw Xilinx products marked with the ldquocrossed out wheeled binrdquo in the trash Directive 200296EC on waste electrical and electronic equipment (WEEE) requires the separate collection of WEEE Your cooperation is essential in ensuring the proper management of WEEE and the protection of the environment and human health from potential effects arising from the presence of hazardous substances in WEEE Return the marked products to Xilinx for proper disposal Further information and instructions for free-of-charge return available at httpwwwxilinxcomehsweeehtm

38 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Appendix B Warranty

  • Kintex-7 FPGA KC705 Evaluation Kit (Vivado Design Suite 20131)
    • Revision History
    • Table of Contents
    • Getting Started with the Kintex-7 FPGA KC705 Evaluation Kit (Vivado Design Suite 20131)
      • Introduction
      • Kit Contents
      • Basic Hardware Bring-up Using the BIST
        • Hardware Test Setup Requirements
          • Hardware Test Board Setup Requirements
            • Hardware Bring-Up
            • Run the BIST Application
              • AMS Bring-up with the AMS101 Evaluation Card
                • Requirements to Get Started
                • Evaluating AMS
                  • Advanced Bring-up Using the Base Targeted Reference Design
                    • Components
                    • Hardware Test Setup Requirements
                    • TRD Demonstration Setup
                    • Evaluating the Kintex-7 FPGA Base TRD
                        • Additional Resources
                          • Xilinx Resources
                          • Solution Centers
                          • Further Resources
                          • References
                            • Warranty
                                • ltlt ASCII85EncodePages false AllowTransparency false AutoPositionEPSFiles true AutoRotatePages All Binding Left CalGrayProfile (Dot Gain 20) CalRGBProfile (sRGB IEC61966-21) CalCMYKProfile (US Web Coated 050SWOP051 v2) sRGBProfile (sRGB IEC61966-21) CannotEmbedFontPolicy Warning CompatibilityLevel 16 CompressObjects Tags CompressPages true ConvertImagesToIndexed true PassThroughJPEGImages true CreateJobTicket false DefaultRenderingIntent Default DetectBlends true DetectCurves 00000 ColorConversionStrategy LeaveColorUnchanged DoThumbnails false EmbedAllFonts true EmbedOpenType false ParseICCProfilesInComments true EmbedJobOptions true DSCReportingLevel 0 EmitDSCWarnings false EndPage -1 ImageMemory 1048576 LockDistillerParams true MaxSubsetPct 100 Optimize true OPM 1 ParseDSCComments true ParseDSCCommentsForDocInfo true PreserveCopyPage true PreserveDICMYKValues true PreserveEPSInfo true PreserveFlatness true PreserveHalftoneInfo false PreserveOPIComments false PreserveOverprintSettings true StartPage 1 SubsetFonts true TransferFunctionInfo Apply UCRandBGInfo Preserve UsePrologue false ColorSettingsFile () AlwaysEmbed [ true ] NeverEmbed [ true ] AntiAliasColorImages false CropColorImages true ColorImageMinResolution 300 ColorImageMinResolutionPolicy OK DownsampleColorImages false ColorImageDownsampleType Bicubic ColorImageResolution 300 ColorImageDepth -1 ColorImageMinDownsampleDepth 1 ColorImageDownsampleThreshold 150000 EncodeColorImages true ColorImageFilter DCTEncode AutoFilterColorImages true ColorImageAutoFilterStrategy JPEG ColorACSImageDict ltlt QFactor 040 HSamples [1 1 1 1] VSamples [1 1 1 1] gtgt ColorImageDict ltlt QFactor 015 HSamples [1 1 1 1] VSamples [1 1 1 1] gtgt JPEG2000ColorACSImageDict ltlt TileWidth 256 TileHeight 256 Quality 30 gtgt JPEG2000ColorImageDict ltlt TileWidth 256 TileHeight 256 Quality 30 gtgt AntiAliasGrayImages false CropGrayImages true GrayImageMinResolution 300 GrayImageMinResolutionPolicy OK DownsampleGrayImages false GrayImageDownsampleType Bicubic GrayImageResolution 300 GrayImageDepth -1 GrayImageMinDownsampleDepth 2 GrayImageDownsampleThreshold 150000 EncodeGrayImages true GrayImageFilter DCTEncode AutoFilterGrayImages true GrayImageAutoFilterStrategy JPEG GrayACSImageDict ltlt QFactor 040 HSamples [1 1 1 1] VSamples [1 1 1 1] gtgt GrayImageDict ltlt QFactor 015 HSamples [1 1 1 1] VSamples [1 1 1 1] gtgt JPEG2000GrayACSImageDict ltlt TileWidth 256 TileHeight 256 Quality 30 gtgt JPEG2000GrayImageDict ltlt TileWidth 256 TileHeight 256 Quality 30 gtgt AntiAliasMonoImages false CropMonoImages true MonoImageMinResolution 1200 MonoImageMinResolutionPolicy OK DownsampleMonoImages false MonoImageDownsampleType Bicubic MonoImageResolution 1200 MonoImageDepth -1 MonoImageDownsampleThreshold 150000 EncodeMonoImages true MonoImageFilter CCITTFaxEncode MonoImageDict ltlt K -1 gtgt AllowPSXObjects false CheckCompliance [ None ] PDFX1aCheck false PDFX3Check false PDFXCompliantPDFOnly false PDFXNoTrimBoxError true PDFXTrimBoxToMediaBoxOffset [ 000000 000000 000000 000000 ] PDFXSetBleedBoxToMediaBox true PDFXBleedBoxToTrimBoxOffset [ 000000 000000 000000 000000 ] PDFXOutputIntentProfile (None) PDFXOutputConditionIdentifier () PDFXOutputCondition () PDFXRegistryName () PDFXTrapped False CreateJDFFile false Description ltlt ARA ltFEFF06270633062A062E062F0645002006470630064700200627064406250639062F0627062F0627062A002006440625064606340627062100200648062B062706260642002000410064006F00620065002000500044004600200645062A0648062706410642062900200644064406370628062706390629002006300627062A002006270644062C0648062F0629002006270644063906270644064A06290020064506460020062E06440627064400200627064406370627062806390627062A00200627064406450643062A0628064A062900200623064800200623062C06470632062900200625062C06310627062100200627064406280631064806410627062A061B0020064A06450643064600200641062A062D00200648062B0627062606420020005000440046002006270644064506460634062306290020062806270633062A062E062F062706450020004100630072006F0062006100740020064800410064006F006200650020005200650061006400650072002006250635062F0627063100200035002E0030002006480627064406250635062F062706310627062A0020062706440623062D062F062B002E0020064506390020005000440046002F0041060C0020062706440631062C062706210020064506310627062C063906290020062F0644064A0644002006450633062A062E062F06450020004100630072006F006200610074061B0020064A06450643064600200641062A062D00200648062B0627062606420020005000440046002006270644064506460634062306290020062806270633062A062E062F062706450020004100630072006F0062006100740020064800410064006F006200650020005200650061006400650072002006250635062F0627063100200035002E0030002006480627064406250635062F062706310627062A0020062706440623062D062F062B002Egt BGR ltFEFF04180437043f043e043b043704320430043904420435002004420435043704380020043d0430044104420440043e0439043a0438002c00200437043000200434043000200441044a0437043404300432043004420435002000410064006f00620065002000500044004600200434043e043a0443043c0435043d044204380020043704300020043a0430044704350441044204320435043d0020043f04350447043004420020043d04300020043d043004410442043e043b043d04380020043f04400438043d04420435044004380020043800200443044104420440043e043904410442043204300020043704300020043f04350447043004420020043d04300020043f0440043e0431043d04380020044004300437043f0435044704300442043a0438002e002000200421044a04370434043004340435043d043804420435002000500044004600200434043e043a0443043c0435043d044204380020043c043e0433043004420020043404300020044104350020043e0442043204300440044f0442002004410020004100630072006f00620061007400200438002000410064006f00620065002000520065006100640065007200200035002e00300020043800200441043b0435043404320430044904380020043204350440044104380438002egt CHS ltFEFF4f7f75288fd94e9b8bbe5b9a521b5efa7684002000500044004600206587686353ef901a8fc7684c976262535370673a548c002000700072006f006f00660065007200208fdb884c9ad88d2891cf62535370300260a853ef4ee54f7f75280020004100630072006f0062006100740020548c002000410064006f00620065002000520065006100640065007200200035002e003000204ee553ca66f49ad87248672c676562535f00521b5efa768400200050004400460020658768633002gt CHT ltFEFF4f7f752890194e9b8a2d7f6e5efa7acb7684002000410064006f006200650020005000440046002065874ef653ef5728684c9762537088686a5f548c002000700072006f006f00660065007200204e0a73725f979ad854c18cea7684521753706548679c300260a853ef4ee54f7f75280020004100630072006f0062006100740020548c002000410064006f00620065002000520065006100640065007200200035002e003000204ee553ca66f49ad87248672c4f86958b555f5df25efa7acb76840020005000440046002065874ef63002gt CZE ltFEFF005400610074006f0020006e006100730074006100760065006e00ed00200070006f0075017e0069006a007400650020006b0020007600790074007600e101590065006e00ed00200064006f006b0075006d0065006e0074016f002000410064006f006200650020005000440046002000700072006f0020006b00760061006c00690074006e00ed0020007400690073006b0020006e0061002000730074006f006c006e00ed006300680020007400690073006b00e10072006e00e100630068002000610020006e00e1007400690073006b006f007600fd006300680020007a0061015900ed007a0065006e00ed00630068002e002000200056007900740076006f01590065006e00e900200064006f006b0075006d0065006e007400790020005000440046002000620075006400650020006d006f017e006e00e90020006f007400650076015900ed007400200076002000700072006f006700720061006d0065006300680020004100630072006f00620061007400200061002000410064006f00620065002000520065006100640065007200200035002e0030002000610020006e006f0076011b006a016100ed00630068002egt DAN ltFEFF004200720075006700200069006e0064007300740069006c006c0069006e006700650072006e0065002000740069006c0020006100740020006f007000720065007400740065002000410064006f006200650020005000440046002d0064006f006b0075006d0065006e007400650072002000740069006c0020006b00760061006c00690074006500740073007500640073006b007200690076006e0069006e006700200065006c006c006500720020006b006f007200720065006b007400750072006c00e60073006e0069006e0067002e0020004400650020006f007000720065007400740065006400650020005000440046002d0064006f006b0075006d0065006e0074006500720020006b0061006e002000e50062006e00650073002000690020004100630072006f00620061007400200065006c006c006500720020004100630072006f006200610074002000520065006100640065007200200035002e00300020006f00670020006e0079006500720065002egt DEU ltFEFF00560065007200770065006e00640065006e0020005300690065002000640069006500730065002000450069006e007300740065006c006c0075006e00670065006e0020007a0075006d002000450072007300740065006c006c0065006e00200076006f006e002000410064006f006200650020005000440046002d0044006f006b0075006d0065006e00740065006e002c00200076006f006e002000640065006e0065006e002000530069006500200068006f00630068007700650072007400690067006500200044007200750063006b006500200061007500660020004400650073006b0074006f0070002d0044007200750063006b00650072006e00200075006e0064002000500072006f006f0066002d00470065007200e400740065006e002000650072007a0065007500670065006e0020006d00f60063006800740065006e002e002000450072007300740065006c006c007400650020005000440046002d0044006f006b0075006d0065006e007400650020006b00f6006e006e0065006e0020006d006900740020004100630072006f00620061007400200075006e0064002000410064006f00620065002000520065006100640065007200200035002e00300020006f0064006500720020006800f600680065007200200067006500f600660066006e00650074002000770065007200640065006e002egt ESP ltFEFF005500740069006c0069006300650020006500730074006100200063006f006e0066006900670075007200610063006900f3006e0020007000610072006100200063007200650061007200200064006f00630075006d0065006e0074006f0073002000640065002000410064006f0062006500200050004400460020007000610072006100200063006f006e00730065006700750069007200200069006d0070007200650073006900f3006e002000640065002000630061006c006900640061006400200065006e00200069006d0070007200650073006f0072006100730020006400650020006500730063007200690074006f00720069006f00200079002000680065007200720061006d00690065006e00740061007300200064006500200063006f00720072006500630063006900f3006e002e002000530065002000700075006500640065006e00200061006200720069007200200064006f00630075006d0065006e0074006f00730020005000440046002000630072006500610064006f007300200063006f006e0020004100630072006f006200610074002c002000410064006f00620065002000520065006100640065007200200035002e003000200079002000760065007200730069006f006e0065007300200070006f00730074006500720069006f007200650073002egt ETI ltFEFF004b00610073007500740061006700650020006e0065006900640020007300e4007400740065006900640020006c006100750061002d0020006a00610020006b006f006e00740072006f006c006c007400f5006d006d006900730065007000720069006e0074006500720069007400650020006a0061006f006b00730020006b00760061006c006900740065006500740073006500740065002000410064006f006200650020005000440046002d0064006f006b0075006d0065006e00740069006400650020006c006f006f006d006900730065006b0073002e002e00200020004c006f006f0064007500640020005000440046002d0064006f006b0075006d0065006e00740065002000730061006100740065002000610076006100640061002000700072006f006700720061006d006d006900640065006700610020004100630072006f0062006100740020006e0069006e0067002000410064006f00620065002000520065006100640065007200200035002e00300020006a00610020007500750065006d006100740065002000760065007200730069006f006f006e00690064006500670061002e000d000agt FRA ltFEFF005500740069006c006900730065007a00200063006500730020006f007000740069006f006e00730020006100660069006e00200064006500200063007200e900650072002000640065007300200064006f00630075006d0065006e00740073002000410064006f00620065002000500044004600200070006f007500720020006400650073002000e90070007200650075007600650073002000650074002000640065007300200069006d007000720065007300730069006f006e00730020006400650020006800610075007400650020007100750061006c0069007400e90020007300750072002000640065007300200069006d007000720069006d0061006e0074006500730020006400650020006200750072006500610075002e0020004c0065007300200064006f00630075006d0065006e00740073002000500044004600200063007200e900e90073002000700065007500760065006e0074002000ea0074007200650020006f007500760065007200740073002000640061006e00730020004100630072006f006200610074002c002000610069006e00730069002000710075002700410064006f00620065002000520065006100640065007200200035002e0030002000650074002000760065007200730069006f006e007300200075006c007400e90072006900650075007200650073002egt GRE ltFEFF03a703c103b703c303b903bc03bf03c003bf03b903ae03c303c403b5002003b103c503c403ad03c2002003c403b903c2002003c103c503b803bc03af03c303b503b903c2002003b303b903b1002003bd03b1002003b403b703bc03b903bf03c503c103b303ae03c303b503c403b5002003ad03b303b303c103b103c603b1002000410064006f006200650020005000440046002003b303b903b1002003b503ba03c403cd03c003c903c303b7002003c003bf03b903cc03c403b703c403b103c2002003c303b5002003b503ba03c403c503c003c903c403ad03c2002003b303c103b103c603b503af03bf03c5002003ba03b103b9002003b403bf03ba03b903bc03b103c303c403ad03c2002e0020002003a403b10020005000440046002003ad03b303b303c103b103c603b1002003c003bf03c5002003ad03c703b503c403b5002003b403b703bc03b903bf03c503c103b303ae03c303b503b9002003bc03c003bf03c103bf03cd03bd002003bd03b1002003b103bd03bf03b903c703c403bf03cd03bd002003bc03b5002003c403bf0020004100630072006f006200610074002c002003c403bf002000410064006f006200650020005200650061006400650072002000200035002e0030002003ba03b103b9002003bc03b503c403b103b303b503bd03ad03c303c403b503c103b503c2002003b503ba03b403cc03c303b503b903c2002egt HEB ltFEFF05D405E905EA05DE05E905D5002005D105D405D205D305E805D505EA002005D005DC05D4002005DB05D305D9002005DC05D905E605D505E8002005DE05E105DE05DB05D9002000410064006F006200650020005000440046002005E205D105D505E8002005D405D305E405E105D4002005D005D905DB05D505EA05D905EA002005D105DE05D305E405E105D505EA002005E905D505DC05D705E005D905D505EA002005D505DB05DC05D9002005D405D205D405D4002E002005DE05E105DE05DB05D9002005D4002D005000440046002005E905E005D505E605E805D905DD002005E005D905EA05E005D905DD002005DC05E405EA05D905D705D4002005D105D005DE05E605E205D505EA0020004100630072006F006200610074002005D5002D00410064006F00620065002000520065006100640065007200200035002E0030002005D505D205E805E105D005D505EA002005DE05EA05E705D305DE05D505EA002005D905D505EA05E8002Egt HRV ltFEFF005a00610020007300740076006100720061006e006a0065002000410064006f00620065002000500044004600200064006f006b0075006d0065006e0061007400610020007a00610020006b00760061006c00690074006500740061006e0020006900730070006900730020006e006100200070006900730061010d0069006d006100200069006c0069002000700072006f006f006600650072002000750072006501110061006a0069006d0061002e00200020005300740076006f00720065006e0069002000500044004600200064006f006b0075006d0065006e007400690020006d006f006700750020007300650020006f00740076006f00720069007400690020004100630072006f00620061007400200069002000410064006f00620065002000520065006100640065007200200035002e0030002000690020006b00610073006e0069006a0069006d0020007600650072007a0069006a0061006d0061002egt HUN ltFEFF004d0069006e0151007300e9006700690020006e0079006f006d00610074006f006b0020006b00e90073007a00ed007400e9007300e900680065007a002000610073007a00740061006c00690020006e0079006f006d00740061007400f3006b006f006e002000e9007300200070007200f300620061006e0079006f006d00f3006b006f006e00200065007a0065006b006b0065006c0020006100200062006500e1006c006c00ed007400e10073006f006b006b0061006c002c00200068006f007a007a006f006e0020006c00e9007400720065002000410064006f00620065002000500044004600200064006f006b0075006d0065006e00740075006d006f006b00610074002e0020002000410020006c00e90074007200650068006f007a006f00740074002000500044004600200064006f006b0075006d0065006e00740075006d006f006b00200061007a0020004100630072006f006200610074002c00200061007a002000410064006f00620065002000520065006100640065007200200035002e0030002000e9007300200061007a002000610074007400f3006c0020006b00e9007301510062006200690020007600650072007a006900f3006b006b0061006c00200020006e00790069007400680061007400f3006b0020006d00650067002egt ITA ltFEFF005500740069006c0069007a007a006100720065002000710075006500730074006500200069006d0070006f007300740061007a0069006f006e00690020007000650072002000630072006500610072006500200064006f00630075006d0065006e00740069002000410064006f006200650020005000440046002000700065007200200075006e00610020007300740061006d007000610020006400690020007100750061006c0069007400e00020007300750020007300740061006d00700061006e0074006900200065002000700072006f006f0066006500720020006400650073006b0074006f0070002e0020004900200064006f00630075006d0065006e007400690020005000440046002000630072006500610074006900200070006f00730073006f006e006f0020006500730073006500720065002000610070006500720074006900200063006f006e0020004100630072006f00620061007400200065002000410064006f00620065002000520065006100640065007200200035002e003000200065002000760065007200730069006f006e006900200073007500630063006500730073006900760065002egt JPN ltFEFF9ad854c18cea51fa529b7528002000410064006f0062006500200050004400460020658766f8306e4f5c6210306b4f7f75283057307e30593002537052376642306e753b8cea3092670059279650306b4fdd306430533068304c3067304d307e3059300230c730b930af30c830c330d730d730ea30f330bf3067306e53705237307e305f306f30d730eb30fc30d57528306b9069305730663044307e305930023053306e8a2d5b9a30674f5c62103055308c305f0020005000440046002030d530a130a430eb306f3001004100630072006f0062006100740020304a30883073002000410064006f00620065002000520065006100640065007200200035002e003000204ee5964d3067958b304f30533068304c3067304d307e30593002gt KOR ltFEFFc7740020c124c815c7440020c0acc6a9d558c5ec0020b370c2a4d06cd0d10020d504b9b0d1300020bc0f0020ad50c815ae30c5d0c11c0020ace0d488c9c8b85c0020c778c1c4d560002000410064006f0062006500200050004400460020bb38c11cb97c0020c791c131d569b2c8b2e4002e0020c774b807ac8c0020c791c131b41c00200050004400460020bb38c11cb2940020004100630072006f0062006100740020bc0f002000410064006f00620065002000520065006100640065007200200035002e00300020c774c0c1c5d0c11c0020c5f40020c2180020c788c2b5b2c8b2e4002egt LTH ltFEFF004e006100750064006f006b0069007400650020016100690075006f007300200070006100720061006d006500740072007500730020006e006f0072011700640061006d00690020006b0075007200740069002000410064006f00620065002000500044004600200064006f006b0075006d0065006e007400750073002c0020006b007500720069006500200073006b00690072007400690020006b006f006b0079006200690161006b0061006900200073007000610075007300640069006e007400690020007300740061006c0069006e0069006100690073002000690072002000620061006e00640079006d006f00200073007000610075007300640069006e007400750076006100690073002e0020002000530075006b0075007200740069002000500044004600200064006f006b0075006d0065006e007400610069002000670061006c006900200062016b007400690020006100740069006400610072006f006d00690020004100630072006f006200610074002000690072002000410064006f00620065002000520065006100640065007200200035002e0030002000610072002000760117006c00650073006e0117006d00690073002000760065007200730069006a006f006d00690073002egt LVI ltFEFF0049007a006d0061006e0074006f006a00690065007400200161006f00730020006900650073007400610074012b006a0075006d00750073002c0020006c0061006900200069007a0076006500690064006f00740075002000410064006f00620065002000500044004600200064006f006b0075006d0065006e0074007500730020006b00760061006c0069007400610074012b0076006100690020006400720075006b010101610061006e00610069002000610072002000670061006c006400610020007000720069006e00740065007200690065006d00200075006e0020007000610072006100750067006e006f00760069006c006b0075006d0075002000690065007300700069006500640113006a00690065006d002e00200049007a0076006500690064006f006a006900650074002000500044004600200064006f006b0075006d0065006e007400750073002c0020006b006f002000760061007200200061007400760113007200740020006100720020004100630072006f00620061007400200075006e002000410064006f00620065002000520065006100640065007200200035002e0030002c0020006b0101002000610072012b00200074006f0020006a00610075006e0101006b0101006d002000760065007200730069006a0101006d002egt NLD (Gebruik deze instellingen om Adobe PDF-documenten te maken voor kwaliteitsafdrukken op desktopprinters en proofers De gemaakte PDF-documenten kunnen worden geopend met Acrobat en Adobe Reader 50 en hoger) NOR ltFEFF004200720075006b00200064006900730073006500200069006e006e007300740069006c006c0069006e00670065006e0065002000740069006c002000e50020006f0070007000720065007400740065002000410064006f006200650020005000440046002d0064006f006b0075006d0065006e00740065007200200066006f00720020007500740073006b00720069006600740020006100760020006800f800790020006b00760061006c00690074006500740020007000e500200062006f007200640073006b0072006900760065007200200065006c006c00650072002000700072006f006f006600650072002e0020005000440046002d0064006f006b0075006d0065006e00740065006e00650020006b0061006e002000e50070006e00650073002000690020004100630072006f00620061007400200065006c006c00650072002000410064006f00620065002000520065006100640065007200200035002e003000200065006c006c00650072002000730065006e006500720065002egt POL ltFEFF0055007300740061007700690065006e0069006100200064006f002000740077006f0072007a0065006e0069006100200064006f006b0075006d0065006e007400f3007700200050004400460020007a002000770079017c0073007a010500200072006f007a0064007a00690065006c0063007a006f015b0063006901050020006f006200720061007a006b00f30077002c0020007a0061007000650077006e00690061006a0105006301050020006c006500700073007a01050020006a0061006b006f015b0107002000770079006400720075006b00f30077002e00200044006f006b0075006d0065006e0074007900200050004400460020006d006f017c006e00610020006f007400770069006500720061010700200077002000700072006f006700720061006d006900650020004100630072006f00620061007400200069002000410064006f00620065002000520065006100640065007200200035002e0030002000690020006e006f00770073007a0079006d002egt PTB ltFEFF005500740069006c0069007a006500200065007300730061007300200063006f006e00660069006700750072006100e700f50065007300200064006500200066006f0072006d00610020006100200063007200690061007200200064006f00630075006d0065006e0074006f0073002000410064006f0062006500200050004400460020007000610072006100200069006d0070007200650073007300f5006500730020006400650020007100750061006c0069006400610064006500200065006d00200069006d00700072006500730073006f0072006100730020006400650073006b0074006f00700020006500200064006900730070006f00730069007400690076006f0073002000640065002000700072006f00760061002e0020004f007300200064006f00630075006d0065006e0074006f00730020005000440046002000630072006900610064006f007300200070006f00640065006d0020007300650072002000610062006500720074006f007300200063006f006d0020006f0020004100630072006f006200610074002000650020006f002000410064006f00620065002000520065006100640065007200200035002e0030002000650020007600650072007300f50065007300200070006f00730074006500720069006f007200650073002egt RUM ltFEFF005500740069006c0069007a00610163006900200061006300650073007400650020007300650074010300720069002000700065006e007400720075002000610020006300720065006100200064006f00630075006d0065006e00740065002000410064006f006200650020005000440046002000700065006e007400720075002000740069007001030072006900720065002000640065002000630061006c006900740061007400650020006c006100200069006d007000720069006d0061006e007400650020006400650073006b0074006f00700020015f0069002000700065006e0074007200750020007600650072006900660069006300610074006f00720069002e002000200044006f00630075006d0065006e00740065006c00650020005000440046002000630072006500610074006500200070006f00740020006600690020006400650073006300680069007300650020006300750020004100630072006f006200610074002c002000410064006f00620065002000520065006100640065007200200035002e00300020015f00690020007600650072007300690075006e0069006c006500200075006c0074006500720069006f006100720065002egt RUS ltFEFF04180441043f043e043b044c04370443043904420435002004340430043d043d044b04350020043d0430044104420440043e0439043a043800200434043b044f00200441043e043704340430043d0438044f00200434043e043a0443043c0435043d0442043e0432002000410064006f006200650020005000440046002c0020043f044004350434043d04300437043d043004470435043d043d044b044500200434043b044f0020043a0430044704350441044204320435043d043d043e04390020043f043504470430044204380020043d04300020043d043004410442043e043b044c043d044b04450020043f04400438043d044204350440043004450020043800200443044104420440043e04390441044204320430044500200434043b044f0020043f043e043b044304470435043d0438044f0020043f0440043e0431043d044b04450020043e0442044204380441043a043e0432002e002000200421043e043704340430043d043d044b04350020005000440046002d0434043e043a0443043c0435043d0442044b0020043c043e0436043d043e00200020043e0442043a0440044b043204300442044c002004410020043f043e043c043e0449044c044e0020004100630072006f00620061007400200438002000410064006f00620065002000520065006100640065007200200035002e00300020043800200431043e043b043504350020043f043e04370434043d043804450020043204350440044104380439002egt SKY ltFEFF0054006900650074006f0020006e006100730074006100760065006e0069006100200070006f0075017e0069007400650020006e00610020007600790074007600e100720061006e0069006500200064006f006b0075006d0065006e0074006f0076002000410064006f00620065002000500044004600200070007200650020006b00760061006c00690074006e00fa00200074006c0061010d0020006e0061002000730074006f006c006e00fd0063006800200074006c0061010d00690061007201480061006300680020006100200074006c0061010d006f007600fd006300680020007a006100720069006100640065006e0069006100630068002e00200056007900740076006f00720065006e00e900200064006f006b0075006d0065006e007400790020005000440046002000620075006400650020006d006f017e006e00e90020006f00740076006f00720069016500200076002000700072006f006700720061006d006f006300680020004100630072006f00620061007400200061002000410064006f00620065002000520065006100640065007200200035002e0030002000610020006e006f0076016100ed00630068002e000d000agt SLV ltFEFF005400650020006e006100730074006100760069007400760065002000750070006f0072006100620069007400650020007a00610020007500730074007600610072006a0061006e006a006500200064006f006b0075006d0065006e0074006f0076002000410064006f0062006500200050004400460020007a00610020006b0061006b006f0076006f00730074006e006f0020007400690073006b0061006e006a00650020006e00610020006e0061006d0069007a006e006900680020007400690073006b0061006c006e0069006b0069006800200069006e0020007000720065007600650072006a0061006c006e0069006b00690068002e00200020005500730074007600610072006a0065006e006500200064006f006b0075006d0065006e0074006500200050004400460020006a00650020006d006f0067006f010d00650020006f0064007000720065007400690020007a0020004100630072006f00620061007400200069006e002000410064006f00620065002000520065006100640065007200200035002e003000200069006e0020006e006f00760065006a01610069006d002egt SUO ltFEFF004b00e40079007400e40020006e00e40069007400e4002000610073006500740075006b007300690061002c0020006b0075006e0020006c0075006f0074002000410064006f0062006500200050004400460020002d0064006f006b0075006d0065006e007400740065006a00610020006c0061006100640075006b006100730074006100200074007900f6007000f60079007400e400740075006c006f0073007400750073007400610020006a00610020007600650064006f007300740075007300740061002000760061007200740065006e002e00200020004c0075006f0064007500740020005000440046002d0064006f006b0075006d0065006e00740069007400200076006f0069006400610061006e0020006100760061007400610020004100630072006f0062006100740069006c006c00610020006a0061002000410064006f00620065002000520065006100640065007200200035002e0030003a006c006c00610020006a006100200075007500640065006d006d0069006c006c0061002egt SVE ltFEFF0041006e007600e4006e00640020006400650020006800e4007200200069006e0073007400e4006c006c006e0069006e006700610072006e00610020006f006d002000640075002000760069006c006c00200073006b006100700061002000410064006f006200650020005000440046002d0064006f006b0075006d0065006e00740020006600f600720020006b00760061006c00690074006500740073007500740073006b0072006900660074006500720020007000e5002000760061006e006c00690067006100200073006b0072006900760061007200650020006f006300680020006600f600720020006b006f007200720065006b007400750072002e002000200053006b006100700061006400650020005000440046002d0064006f006b0075006d0065006e00740020006b0061006e002000f600700070006e00610073002000690020004100630072006f0062006100740020006f00630068002000410064006f00620065002000520065006100640065007200200035002e00300020006f00630068002000730065006e006100720065002egt TUR ltFEFF004d00610073006100fc0073007400fc002000790061007a013100630131006c006100720020007600650020006200610073006b01310020006d0061006b0069006e0065006c006500720069006e006400650020006b0061006c006900740065006c00690020006200610073006b013100200061006d0061006301310079006c0061002000410064006f006200650020005000440046002000620065006c00670065006c0065007200690020006f006c0075015f007400750072006d0061006b0020006900e70069006e00200062007500200061007900610072006c0061007201310020006b0075006c006c0061006e0131006e002e00200020004f006c0075015f0074007500720075006c0061006e0020005000440046002000620065006c00670065006c0065007200690020004100630072006f006200610074002000760065002000410064006f00620065002000520065006100640065007200200035002e003000200076006500200073006f006e0072006100730131006e00640061006b00690020007300fc007200fc006d006c00650072006c00650020006100e70131006c006100620069006c00690072002egt UKR ltFEFF04120438043a043e0440043804410442043e043204430439044204350020044604560020043f043004400430043c043504420440043800200434043b044f0020044104420432043e04400435043d043d044f00200434043e043a0443043c0435043d044204560432002000410064006f006200650020005000440046002c0020044f043a04560020043d04300439043a04400430044904350020043f045604340445043e0434044f0442044c00200434043b044f0020043204380441043e043a043e044f043a04560441043d043e0433043e0020043404400443043a04430020043d04300020043d0430044104420456043b044c043d043804450020043f04400438043d044204350440043004450020044204300020043f04400438044104420440043e044f044500200434043b044f0020043e044204400438043c0430043d043d044f0020043f0440043e0431043d0438044500200437043e04310440043004360435043d044c002e00200020042104420432043e04400435043d045600200434043e043a0443043c0435043d0442043800200050004400460020043c043e0436043d04300020043204560434043a0440043804420438002004430020004100630072006f006200610074002004420430002000410064006f00620065002000520065006100640065007200200035002e0030002004300431043e0020043f04560437043d04560448043e04570020043204350440044104560457002egt ENU ltFEFF005500730065002000740068006500730065002000730065007400740069006e0067007300200074006f0020006300720065006100740065002000410064006f0062006500200050004400460020006200610073006500640020006f006e0020007400680065002000640065006600610075006c0074002000580069006c0069006e007800200070006100720061006d0065007400650072002000730065007400740069006e0067007300200064006500660069006e00650064002000620079002000500053003000300034002e002000460069006c0065006e0061006d0065003a002000780069006c0069006e0078002e006a006f0062006f007000740069006f006e0073002e000d0043006f006d007000610074006100620069006c006900740079003a0020004100630072006f00620061007400200037002e0030002000280050004400460031002e00360029002e0020005500730065003a00200046006f00720020006300720065006100740069006e0067002000460069006e0061006c002c0020005000750062006c0069007300680065006400200050004400460073002e000d0043007200650061007400650064002000310030002f00310032002f003100310020006200790020006a0066006f007200730074002egt gtgt Namespace [ (Adobe) (Common) (10) ] OtherNamespaces [ ltlt AsReaderSpreads false CropImagesToFrames true ErrorControl WarnAndContinue FlattenerIgnoreSpreadOverrides false IncludeGuidesGrids false IncludeNonPrinting false IncludeSlug false Namespace [ (Adobe) (InDesign) (40) ] OmitPlacedBitmaps false OmitPlacedEPS false OmitPlacedPDF false SimulateOverprint Legacy gtgt ltlt AddBleedMarks false AddColorBars false AddCropMarks false AddPageInfo false AddRegMarks false ConvertColors NoConversion DestinationProfileName () DestinationProfileSelector NA Downsample16BitImages true FlattenerPreset ltlt PresetSelector MediumResolution gtgt FormElements false GenerateStructure true IncludeBookmarks false IncludeHyperlinks false IncludeInteractive false IncludeLayers false IncludeProfiles true MultimediaHandling UseObjectSettings Namespace [ (Adobe) (CreativeSuite) (20) ] PDFXOutputIntentProfileSelector NA PreserveEditing true UntaggedCMYKHandling LeaveUntagged UntaggedRGBHandling LeaveUntagged UseDocumentBleed false gtgt ]gtgt setdistillerparamsltlt HWResolution [1200 1200] PageSize [612000 792000]gtgt setpagedevice

Page 24: Xilinx UG883 Kintex-7 FPGA KC705 Evaluation Kit Getting ...€¦ · Updated step 2 and step 3 in Requirements to Get Started, page 14. ... KC705 Getting Started Guide 9 UG883 (v3.0)

24 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

4 Download the reference design from the Docs amp Designs tab at wwwxilinxcomkc705 and copy the k7_pcie_dma_ddr3_base folder into any directory

5 To set up and run the TRD demonstration the software driver should be installed on the PC system Software driver installation involves

a Building the kernel objects and the GUI

b Inserting the driver modules into the kernel

After the driver modules are loaded the application GUI can be invoked The user can set parameters through the GUI and run the TRD

When the user is done running the TRD the application GUI can be closed and the drivers can be removed

A script is provided to execute all the above actions so that the user can quickly start the TRD

The k7_trd_lin_quickstart script is available in the k7_pcie_dma_ddr3_base folder Right click the script select properties go to the permissions tab check the box Allow executing file as programmdashthis makes the script executable Close the window

To run the script double-click k7_trd_lin_quickstart in the k7_pcie_dma_ddr3_base folder (Figure 17) The window prompt in Figure 18 appears

X-Ref Target - Figure 17

Figure 17 Load Driver and Launch Application GUI

UG883_17_121112

KC705 Getting Started Guide wwwxilinxcom 25UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

Click Run in Terminal to proceed The application GUI is invoked

Proceed to the next section Using the Application GUI to set design parameters and run the TRD

X-Ref Target - Figure 18

Figure 18 Run in Terminal

UG883_18_040913

26 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

Using the Application GUI

When the drivers are loaded and the Performance Monitor GUI is invoked the user can configure the sending and receiving of data The GUI allows the user to observe the collected statistics and other status information

1 Click the System Status tab to verify the status of the KC705 board and the PCIe link (see Figure 19 and Table 3)

X-Ref Target - Figure 19

Figure 19 Verify Board Status in the Performance Monitor

UG883_19_121112

KC705 Getting Started Guide wwwxilinxcom 27UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

2 To start data traffic on the two data paths

a Click Start Test on Raw Data Path0 as shown in Figure 20 This enables the driver to start generating the data for Raw Data Path0

b Click Start Test on Raw Data Path1 as shown in Figure 20 This enables the driver to start generating the data for Raw Data Path1

Table 3 KC705 Board Status Field Explanations

Field Status Explanation

Link Status Up This confirms that the PCIe link is up and a PCIe connection is established between the Kintex-7 FPGA Endpoint for PCI Express and the PC motherboard chipset

Link Speed 50 Gbs This confirms that the PCIe link is operating at line rate speed per PCI Express v20

Link Width x4 This confirms that the PCIe link is trained as a x4 link

28 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

3 Verify TRD operations through the status information provided by the GUI (see Figure 21)

a Verify the PCIe throughput

b Verify the DMA channel throughput for the Raw Data Path0

c Verify the DMA channel throughput for the Raw Data Path1

d Verify there are no buffer descriptor errors for error-free operation

X-Ref Target - Figure 20

Figure 20 Start Data Traffic from the Performance Monitor

UG883_20_121112

KC705 Getting Started Guide wwwxilinxcom 29UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

The Kintex-7 FPGA PCIe-DMA TRD is now set up and running Close the Application GUI to unload the software drivers and stop traffic flow

X-Ref Target - Figure 21

Figure 21 Verify Error-Free Operation in the Performance Monitor

UG883_21_121112

30 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

Evaluating the Kintex-7 FPGA Base TRDThe Kintex-7 FPGA Base TRD provides a Performance and Status monitor application and GUI The application enables customers to evaluate different system parameters This section demonstrates performance variances for the PCI Express and DMA interfaces based on the parameters set

To evaluate the Kintex-7 FPGA Base TRD

1 Launch the Performance Monitor for the Kintex-7 FPGA Base TRD

a Navigate to the k7_pcie_dma_ddr3_base folder

b Double-click k7_lin_trd_quickstart (Figure 22)mdashmake sure that the script has executable permissionmdashto launch the Performance Monitor and Status GUI

c A window prompt appears as shown in Figure 23 Click Run in Terminal to proceed

2 Set up the test parameters in the Performance Monitor

a Two data paths are available Raw Data Path0 and Raw Data Path1 On each path set the Packet Size to a value between 64 ndash 32768 bytes

X-Ref Target - Figure 22

Figure 22 Launch the Performance Monitor and Status GUI

UG883_22_121112

X-Ref Target - Figure 23

Figure 23 Run k7_lin_trd_quickstart

UG883_23_121112

KC705 Getting Started Guide wwwxilinxcom 31UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

3 Execute the test and view payload statistics in the Performance and Status Monitor (see Figure 24)

a Click Start Test to start the performance test

b Click the Payload Statistics tab to view data transfers on the DMA channels

c Click Stop Test to stop data traffic

d Vary the Packet Size parameters for the Raw Data Paths (see Figure 25) and click Start Test Then view the payload statistics to review data transfer rate on the DMA channels With a decrease in packet size the performance drops

Note Before changing packet size click Stop Test change the size and then click Start Test

X-Ref Target - Figure 24

Figure 24 Payload Statistics

UG883_24_121112

32 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

Note For packet sizes equal to 64 or 128 bytes the throughput is reduced and might not be visible on the Payload Statistics tab The exact values can be viewed on the System Status tab

4 Click the PCIe Statistics tab to view data transfer numbers with varying packet sizes on the PCIe interface (Figure 26)

X-Ref Target - Figure 25

Figure 25 Effect of Varying Packet Sizes on Performance

UG883_25_121112

KC705 Getting Started Guide wwwxilinxcom 33UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

The system performance of the Kintex-7 FPGA Base TRD has now been evaluated using the pre-built demonstration design bit file

Now that the Kintex-7 FPGA Base TRD demonstration has been set up and evaluated the design can be modified Before the design can be modified make sure to install the Vivado Design Suite on a PC It is not required that tools be installed on the PC system in which the KC705 evaluation board is plugged in by way of the PCIe edge connector

X-Ref Target - Figure 26

Figure 26 PCIe Statistics in the Performance Monitor

UG883_26_121112

34 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

KC705 Getting Started Guide wwwxilinxcom 35UG883 (v30) April 16 2013

Appendix A

Additional Resources

Xilinx ResourcesFor support resources such as Answers Documentation Downloads and Forums see the Xilinx Support website at

wwwxilinxcomsupport

For continual updates add the Answer Record to your myAlerts

wwwxilinxcomsupportmyalerts

For a glossary of technical terms used in Xilinx documentation see

wwwxilinxcomcompanytermshtm

Solution CentersSee the Xilinx Solution Centers for support on devices software tools and intellectual property at all stages of the design cycle Topics include design assistance advisories and troubleshooting tips

Further ResourcesThe most up to date information related to the KC705 board and its documentation is available on the following websites

The Kintex-7 FPGA KC705 Evaluation Kit Product Page

wwwxilinxcomkc705

The Kintex-7 FPGA KC705 Evaluation Kit Master Answer Record

wwwxilinxcomsupportanswers47787htm

Design advisories by software release for the Kintex-7 FPGA KC705 Evaluation Kit

wwwxilinxcomsupportnav=sd-nav-link-179661amptab=tab-bk

Kintex-7 FPGA Base Targeted Reference Design Release Notes and Known Issues Master Answer Record

wwwxilinxcomsupportanswers45679htm

These documents provide supplemental material useful with this user guide

UG810 KC705 Evaluation Board for the Kintex-7 FPGA User Guide

UG845 KC705 Reference Design User Guide

36 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Appendix A Additional Resources

UG798 Xilinx Design Tools Installation and Licensing Guide Vivado Design Suite and ISE Design Suite

UG908 Vivado Design Suite User Guide Programming and Debugging

UG882 Kintex-7 FPGA KC705 Base Targeted Reference Design User Guide (Vivado Design Suite 20124)

UG886 AMS101 Evaluation Card User Guide

UG477 7 Series FPGAs Integrated Block for PCI Express User Guide

ReferencesThe following websites provide supplemental material useful with this guide

1 LabVIEW 32-bit Run-Time Enginehttpjoulenicomniducdsviewpid2534langen

2 LabVIEW 64-bit Run-Time Engine httpjoulenicomniducdsviewpid2536langen

3 Tera Term Pro program httphpvectorcojpauthorsVA002416teratermhtml

4 Drivers on the Silicon Labs site httpwwwsilabscomSupport20DocumentsSoftwareCP210x_VCP_Win_XP_S2K3_Vista_7exe

5 Northwest Logic DMA back end core httpwwwnwlogiccompacketdma

6 Fedora project httpfedoraprojectorg

Fedora is a Linux-based operating system used in the development of this TRD

7 The GTK+ project API documentation httpwwwgtkorgdocumentationphp

GTK+ is a toolkit for creating graphical user interfaces (GUI)

KC705 Getting Started Guide wwwxilinxcom 37UG883 (v30) April 16 2013

Appendix B

Warranty

THIS LIMITED WARRANTY applies solely to standard hardware development boards and standard hardware programming cables manufactured by or on behalf of Xilinx (ldquoDevelopment Systemsrdquo) Subject to the limitations herein Xilinx warrants that Development Systems when delivered by Xilinx or its authorized distributor for ninety (90) days following the delivery date will be free from defects in material and workmanship and will substantially conform to Xilinx publicly available specifications for such products in effect at the time of delivery This limited warranty excludes (i) engineering samples or beta versions of Development Systems (which are provided ldquoAS ISrdquo without warranty) (ii) design defects or errors known as ldquoerratardquo (iii) Development Systems procured through unauthorized third parties and (iv) Development Systems that have been subject to misuse mishandling accident alteration neglect unauthorized repair or installation Furthermore this limited warranty shall not apply to the use of covered products in an application or environment that is not within Xilinx specifications or in the event of any act error neglect or default of Customer For any breach by Xilinx of this limited warranty the exclusive remedy of Customer and the sole liability of Xilinx shall be at the option of Xilinx to replace or repair the affected products or to refund to Customer the price of the affected products The availability of replacement products is subject to product discontinuation policies at Xilinx Customer may not return product without first obtaining a customer return material authorization (RMA) number from XilinxTHE WARRANTIES SET FORTH HEREIN ARE EXCLUSIVE XILINX DISCLAIMS ALL OTHER WARRANTIES WHETHER EXPRESS IMPLIED OR STATUTORY INCLUDING WITHOUT LIMITATION ANY WARRANTY OF MERCHANTABILITY FITNESS FOR A PARTICULAR PURPOSE OR NON-INFRINGEMENT AND ANY WARRANTY THAT MAY ARISE FROM COURSE OF DEALING COURSE OF PERFORMANCE OR USAGE OF TRADE (200810)

Do not throw Xilinx products marked with the ldquocrossed out wheeled binrdquo in the trash Directive 200296EC on waste electrical and electronic equipment (WEEE) requires the separate collection of WEEE Your cooperation is essential in ensuring the proper management of WEEE and the protection of the environment and human health from potential effects arising from the presence of hazardous substances in WEEE Return the marked products to Xilinx for proper disposal Further information and instructions for free-of-charge return available at httpwwwxilinxcomehsweeehtm

38 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Appendix B Warranty

  • Kintex-7 FPGA KC705 Evaluation Kit (Vivado Design Suite 20131)
    • Revision History
    • Table of Contents
    • Getting Started with the Kintex-7 FPGA KC705 Evaluation Kit (Vivado Design Suite 20131)
      • Introduction
      • Kit Contents
      • Basic Hardware Bring-up Using the BIST
        • Hardware Test Setup Requirements
          • Hardware Test Board Setup Requirements
            • Hardware Bring-Up
            • Run the BIST Application
              • AMS Bring-up with the AMS101 Evaluation Card
                • Requirements to Get Started
                • Evaluating AMS
                  • Advanced Bring-up Using the Base Targeted Reference Design
                    • Components
                    • Hardware Test Setup Requirements
                    • TRD Demonstration Setup
                    • Evaluating the Kintex-7 FPGA Base TRD
                        • Additional Resources
                          • Xilinx Resources
                          • Solution Centers
                          • Further Resources
                          • References
                            • Warranty
                                • ltlt ASCII85EncodePages false AllowTransparency false AutoPositionEPSFiles true AutoRotatePages All Binding Left CalGrayProfile (Dot Gain 20) CalRGBProfile (sRGB IEC61966-21) CalCMYKProfile (US Web Coated 050SWOP051 v2) sRGBProfile (sRGB IEC61966-21) CannotEmbedFontPolicy Warning CompatibilityLevel 16 CompressObjects Tags CompressPages true ConvertImagesToIndexed true PassThroughJPEGImages true CreateJobTicket false DefaultRenderingIntent Default DetectBlends true DetectCurves 00000 ColorConversionStrategy LeaveColorUnchanged DoThumbnails false EmbedAllFonts true EmbedOpenType false ParseICCProfilesInComments true EmbedJobOptions true DSCReportingLevel 0 EmitDSCWarnings false EndPage -1 ImageMemory 1048576 LockDistillerParams true MaxSubsetPct 100 Optimize true OPM 1 ParseDSCComments true ParseDSCCommentsForDocInfo true PreserveCopyPage true PreserveDICMYKValues true PreserveEPSInfo true PreserveFlatness true PreserveHalftoneInfo false PreserveOPIComments false PreserveOverprintSettings true StartPage 1 SubsetFonts true TransferFunctionInfo Apply UCRandBGInfo Preserve UsePrologue false ColorSettingsFile () AlwaysEmbed [ true ] NeverEmbed [ true ] AntiAliasColorImages false CropColorImages true ColorImageMinResolution 300 ColorImageMinResolutionPolicy OK DownsampleColorImages false ColorImageDownsampleType Bicubic ColorImageResolution 300 ColorImageDepth -1 ColorImageMinDownsampleDepth 1 ColorImageDownsampleThreshold 150000 EncodeColorImages true ColorImageFilter DCTEncode AutoFilterColorImages true ColorImageAutoFilterStrategy JPEG ColorACSImageDict ltlt QFactor 040 HSamples [1 1 1 1] VSamples [1 1 1 1] gtgt ColorImageDict ltlt QFactor 015 HSamples [1 1 1 1] VSamples [1 1 1 1] gtgt JPEG2000ColorACSImageDict ltlt TileWidth 256 TileHeight 256 Quality 30 gtgt JPEG2000ColorImageDict ltlt TileWidth 256 TileHeight 256 Quality 30 gtgt AntiAliasGrayImages false CropGrayImages true GrayImageMinResolution 300 GrayImageMinResolutionPolicy OK DownsampleGrayImages false GrayImageDownsampleType Bicubic GrayImageResolution 300 GrayImageDepth -1 GrayImageMinDownsampleDepth 2 GrayImageDownsampleThreshold 150000 EncodeGrayImages true GrayImageFilter DCTEncode AutoFilterGrayImages true GrayImageAutoFilterStrategy JPEG GrayACSImageDict ltlt QFactor 040 HSamples [1 1 1 1] VSamples [1 1 1 1] gtgt GrayImageDict ltlt QFactor 015 HSamples [1 1 1 1] VSamples [1 1 1 1] gtgt JPEG2000GrayACSImageDict ltlt TileWidth 256 TileHeight 256 Quality 30 gtgt JPEG2000GrayImageDict ltlt TileWidth 256 TileHeight 256 Quality 30 gtgt AntiAliasMonoImages false CropMonoImages true MonoImageMinResolution 1200 MonoImageMinResolutionPolicy OK DownsampleMonoImages false MonoImageDownsampleType Bicubic MonoImageResolution 1200 MonoImageDepth -1 MonoImageDownsampleThreshold 150000 EncodeMonoImages true MonoImageFilter CCITTFaxEncode MonoImageDict ltlt K -1 gtgt AllowPSXObjects false CheckCompliance [ None ] PDFX1aCheck false PDFX3Check false PDFXCompliantPDFOnly false PDFXNoTrimBoxError true PDFXTrimBoxToMediaBoxOffset [ 000000 000000 000000 000000 ] PDFXSetBleedBoxToMediaBox true PDFXBleedBoxToTrimBoxOffset [ 000000 000000 000000 000000 ] PDFXOutputIntentProfile (None) PDFXOutputConditionIdentifier () PDFXOutputCondition () PDFXRegistryName () PDFXTrapped False CreateJDFFile false Description ltlt ARA ltFEFF06270633062A062E062F0645002006470630064700200627064406250639062F0627062F0627062A002006440625064606340627062100200648062B062706260642002000410064006F00620065002000500044004600200645062A0648062706410642062900200644064406370628062706390629002006300627062A002006270644062C0648062F0629002006270644063906270644064A06290020064506460020062E06440627064400200627064406370627062806390627062A00200627064406450643062A0628064A062900200623064800200623062C06470632062900200625062C06310627062100200627064406280631064806410627062A061B0020064A06450643064600200641062A062D00200648062B0627062606420020005000440046002006270644064506460634062306290020062806270633062A062E062F062706450020004100630072006F0062006100740020064800410064006F006200650020005200650061006400650072002006250635062F0627063100200035002E0030002006480627064406250635062F062706310627062A0020062706440623062D062F062B002E0020064506390020005000440046002F0041060C0020062706440631062C062706210020064506310627062C063906290020062F0644064A0644002006450633062A062E062F06450020004100630072006F006200610074061B0020064A06450643064600200641062A062D00200648062B0627062606420020005000440046002006270644064506460634062306290020062806270633062A062E062F062706450020004100630072006F0062006100740020064800410064006F006200650020005200650061006400650072002006250635062F0627063100200035002E0030002006480627064406250635062F062706310627062A0020062706440623062D062F062B002Egt BGR ltFEFF04180437043f043e043b043704320430043904420435002004420435043704380020043d0430044104420440043e0439043a0438002c00200437043000200434043000200441044a0437043404300432043004420435002000410064006f00620065002000500044004600200434043e043a0443043c0435043d044204380020043704300020043a0430044704350441044204320435043d0020043f04350447043004420020043d04300020043d043004410442043e043b043d04380020043f04400438043d04420435044004380020043800200443044104420440043e043904410442043204300020043704300020043f04350447043004420020043d04300020043f0440043e0431043d04380020044004300437043f0435044704300442043a0438002e002000200421044a04370434043004340435043d043804420435002000500044004600200434043e043a0443043c0435043d044204380020043c043e0433043004420020043404300020044104350020043e0442043204300440044f0442002004410020004100630072006f00620061007400200438002000410064006f00620065002000520065006100640065007200200035002e00300020043800200441043b0435043404320430044904380020043204350440044104380438002egt CHS ltFEFF4f7f75288fd94e9b8bbe5b9a521b5efa7684002000500044004600206587686353ef901a8fc7684c976262535370673a548c002000700072006f006f00660065007200208fdb884c9ad88d2891cf62535370300260a853ef4ee54f7f75280020004100630072006f0062006100740020548c002000410064006f00620065002000520065006100640065007200200035002e003000204ee553ca66f49ad87248672c676562535f00521b5efa768400200050004400460020658768633002gt CHT ltFEFF4f7f752890194e9b8a2d7f6e5efa7acb7684002000410064006f006200650020005000440046002065874ef653ef5728684c9762537088686a5f548c002000700072006f006f00660065007200204e0a73725f979ad854c18cea7684521753706548679c300260a853ef4ee54f7f75280020004100630072006f0062006100740020548c002000410064006f00620065002000520065006100640065007200200035002e003000204ee553ca66f49ad87248672c4f86958b555f5df25efa7acb76840020005000440046002065874ef63002gt CZE ltFEFF005400610074006f0020006e006100730074006100760065006e00ed00200070006f0075017e0069006a007400650020006b0020007600790074007600e101590065006e00ed00200064006f006b0075006d0065006e0074016f002000410064006f006200650020005000440046002000700072006f0020006b00760061006c00690074006e00ed0020007400690073006b0020006e0061002000730074006f006c006e00ed006300680020007400690073006b00e10072006e00e100630068002000610020006e00e1007400690073006b006f007600fd006300680020007a0061015900ed007a0065006e00ed00630068002e002000200056007900740076006f01590065006e00e900200064006f006b0075006d0065006e007400790020005000440046002000620075006400650020006d006f017e006e00e90020006f007400650076015900ed007400200076002000700072006f006700720061006d0065006300680020004100630072006f00620061007400200061002000410064006f00620065002000520065006100640065007200200035002e0030002000610020006e006f0076011b006a016100ed00630068002egt DAN ltFEFF004200720075006700200069006e0064007300740069006c006c0069006e006700650072006e0065002000740069006c0020006100740020006f007000720065007400740065002000410064006f006200650020005000440046002d0064006f006b0075006d0065006e007400650072002000740069006c0020006b00760061006c00690074006500740073007500640073006b007200690076006e0069006e006700200065006c006c006500720020006b006f007200720065006b007400750072006c00e60073006e0069006e0067002e0020004400650020006f007000720065007400740065006400650020005000440046002d0064006f006b0075006d0065006e0074006500720020006b0061006e002000e50062006e00650073002000690020004100630072006f00620061007400200065006c006c006500720020004100630072006f006200610074002000520065006100640065007200200035002e00300020006f00670020006e0079006500720065002egt DEU ltFEFF00560065007200770065006e00640065006e0020005300690065002000640069006500730065002000450069006e007300740065006c006c0075006e00670065006e0020007a0075006d002000450072007300740065006c006c0065006e00200076006f006e002000410064006f006200650020005000440046002d0044006f006b0075006d0065006e00740065006e002c00200076006f006e002000640065006e0065006e002000530069006500200068006f00630068007700650072007400690067006500200044007200750063006b006500200061007500660020004400650073006b0074006f0070002d0044007200750063006b00650072006e00200075006e0064002000500072006f006f0066002d00470065007200e400740065006e002000650072007a0065007500670065006e0020006d00f60063006800740065006e002e002000450072007300740065006c006c007400650020005000440046002d0044006f006b0075006d0065006e007400650020006b00f6006e006e0065006e0020006d006900740020004100630072006f00620061007400200075006e0064002000410064006f00620065002000520065006100640065007200200035002e00300020006f0064006500720020006800f600680065007200200067006500f600660066006e00650074002000770065007200640065006e002egt ESP ltFEFF005500740069006c0069006300650020006500730074006100200063006f006e0066006900670075007200610063006900f3006e0020007000610072006100200063007200650061007200200064006f00630075006d0065006e0074006f0073002000640065002000410064006f0062006500200050004400460020007000610072006100200063006f006e00730065006700750069007200200069006d0070007200650073006900f3006e002000640065002000630061006c006900640061006400200065006e00200069006d0070007200650073006f0072006100730020006400650020006500730063007200690074006f00720069006f00200079002000680065007200720061006d00690065006e00740061007300200064006500200063006f00720072006500630063006900f3006e002e002000530065002000700075006500640065006e00200061006200720069007200200064006f00630075006d0065006e0074006f00730020005000440046002000630072006500610064006f007300200063006f006e0020004100630072006f006200610074002c002000410064006f00620065002000520065006100640065007200200035002e003000200079002000760065007200730069006f006e0065007300200070006f00730074006500720069006f007200650073002egt ETI ltFEFF004b00610073007500740061006700650020006e0065006900640020007300e4007400740065006900640020006c006100750061002d0020006a00610020006b006f006e00740072006f006c006c007400f5006d006d006900730065007000720069006e0074006500720069007400650020006a0061006f006b00730020006b00760061006c006900740065006500740073006500740065002000410064006f006200650020005000440046002d0064006f006b0075006d0065006e00740069006400650020006c006f006f006d006900730065006b0073002e002e00200020004c006f006f0064007500640020005000440046002d0064006f006b0075006d0065006e00740065002000730061006100740065002000610076006100640061002000700072006f006700720061006d006d006900640065006700610020004100630072006f0062006100740020006e0069006e0067002000410064006f00620065002000520065006100640065007200200035002e00300020006a00610020007500750065006d006100740065002000760065007200730069006f006f006e00690064006500670061002e000d000agt FRA ltFEFF005500740069006c006900730065007a00200063006500730020006f007000740069006f006e00730020006100660069006e00200064006500200063007200e900650072002000640065007300200064006f00630075006d0065006e00740073002000410064006f00620065002000500044004600200070006f007500720020006400650073002000e90070007200650075007600650073002000650074002000640065007300200069006d007000720065007300730069006f006e00730020006400650020006800610075007400650020007100750061006c0069007400e90020007300750072002000640065007300200069006d007000720069006d0061006e0074006500730020006400650020006200750072006500610075002e0020004c0065007300200064006f00630075006d0065006e00740073002000500044004600200063007200e900e90073002000700065007500760065006e0074002000ea0074007200650020006f007500760065007200740073002000640061006e00730020004100630072006f006200610074002c002000610069006e00730069002000710075002700410064006f00620065002000520065006100640065007200200035002e0030002000650074002000760065007200730069006f006e007300200075006c007400e90072006900650075007200650073002egt GRE ltFEFF03a703c103b703c303b903bc03bf03c003bf03b903ae03c303c403b5002003b103c503c403ad03c2002003c403b903c2002003c103c503b803bc03af03c303b503b903c2002003b303b903b1002003bd03b1002003b403b703bc03b903bf03c503c103b303ae03c303b503c403b5002003ad03b303b303c103b103c603b1002000410064006f006200650020005000440046002003b303b903b1002003b503ba03c403cd03c003c903c303b7002003c003bf03b903cc03c403b703c403b103c2002003c303b5002003b503ba03c403c503c003c903c403ad03c2002003b303c103b103c603b503af03bf03c5002003ba03b103b9002003b403bf03ba03b903bc03b103c303c403ad03c2002e0020002003a403b10020005000440046002003ad03b303b303c103b103c603b1002003c003bf03c5002003ad03c703b503c403b5002003b403b703bc03b903bf03c503c103b303ae03c303b503b9002003bc03c003bf03c103bf03cd03bd002003bd03b1002003b103bd03bf03b903c703c403bf03cd03bd002003bc03b5002003c403bf0020004100630072006f006200610074002c002003c403bf002000410064006f006200650020005200650061006400650072002000200035002e0030002003ba03b103b9002003bc03b503c403b103b303b503bd03ad03c303c403b503c103b503c2002003b503ba03b403cc03c303b503b903c2002egt HEB ltFEFF05D405E905EA05DE05E905D5002005D105D405D205D305E805D505EA002005D005DC05D4002005DB05D305D9002005DC05D905E605D505E8002005DE05E105DE05DB05D9002000410064006F006200650020005000440046002005E205D105D505E8002005D405D305E405E105D4002005D005D905DB05D505EA05D905EA002005D105DE05D305E405E105D505EA002005E905D505DC05D705E005D905D505EA002005D505DB05DC05D9002005D405D205D405D4002E002005DE05E105DE05DB05D9002005D4002D005000440046002005E905E005D505E605E805D905DD002005E005D905EA05E005D905DD002005DC05E405EA05D905D705D4002005D105D005DE05E605E205D505EA0020004100630072006F006200610074002005D5002D00410064006F00620065002000520065006100640065007200200035002E0030002005D505D205E805E105D005D505EA002005DE05EA05E705D305DE05D505EA002005D905D505EA05E8002Egt HRV ltFEFF005a00610020007300740076006100720061006e006a0065002000410064006f00620065002000500044004600200064006f006b0075006d0065006e0061007400610020007a00610020006b00760061006c00690074006500740061006e0020006900730070006900730020006e006100200070006900730061010d0069006d006100200069006c0069002000700072006f006f006600650072002000750072006501110061006a0069006d0061002e00200020005300740076006f00720065006e0069002000500044004600200064006f006b0075006d0065006e007400690020006d006f006700750020007300650020006f00740076006f00720069007400690020004100630072006f00620061007400200069002000410064006f00620065002000520065006100640065007200200035002e0030002000690020006b00610073006e0069006a0069006d0020007600650072007a0069006a0061006d0061002egt HUN ltFEFF004d0069006e0151007300e9006700690020006e0079006f006d00610074006f006b0020006b00e90073007a00ed007400e9007300e900680065007a002000610073007a00740061006c00690020006e0079006f006d00740061007400f3006b006f006e002000e9007300200070007200f300620061006e0079006f006d00f3006b006f006e00200065007a0065006b006b0065006c0020006100200062006500e1006c006c00ed007400e10073006f006b006b0061006c002c00200068006f007a007a006f006e0020006c00e9007400720065002000410064006f00620065002000500044004600200064006f006b0075006d0065006e00740075006d006f006b00610074002e0020002000410020006c00e90074007200650068006f007a006f00740074002000500044004600200064006f006b0075006d0065006e00740075006d006f006b00200061007a0020004100630072006f006200610074002c00200061007a002000410064006f00620065002000520065006100640065007200200035002e0030002000e9007300200061007a002000610074007400f3006c0020006b00e9007301510062006200690020007600650072007a006900f3006b006b0061006c00200020006e00790069007400680061007400f3006b0020006d00650067002egt ITA ltFEFF005500740069006c0069007a007a006100720065002000710075006500730074006500200069006d0070006f007300740061007a0069006f006e00690020007000650072002000630072006500610072006500200064006f00630075006d0065006e00740069002000410064006f006200650020005000440046002000700065007200200075006e00610020007300740061006d007000610020006400690020007100750061006c0069007400e00020007300750020007300740061006d00700061006e0074006900200065002000700072006f006f0066006500720020006400650073006b0074006f0070002e0020004900200064006f00630075006d0065006e007400690020005000440046002000630072006500610074006900200070006f00730073006f006e006f0020006500730073006500720065002000610070006500720074006900200063006f006e0020004100630072006f00620061007400200065002000410064006f00620065002000520065006100640065007200200035002e003000200065002000760065007200730069006f006e006900200073007500630063006500730073006900760065002egt JPN ltFEFF9ad854c18cea51fa529b7528002000410064006f0062006500200050004400460020658766f8306e4f5c6210306b4f7f75283057307e30593002537052376642306e753b8cea3092670059279650306b4fdd306430533068304c3067304d307e3059300230c730b930af30c830c330d730d730ea30f330bf3067306e53705237307e305f306f30d730eb30fc30d57528306b9069305730663044307e305930023053306e8a2d5b9a30674f5c62103055308c305f0020005000440046002030d530a130a430eb306f3001004100630072006f0062006100740020304a30883073002000410064006f00620065002000520065006100640065007200200035002e003000204ee5964d3067958b304f30533068304c3067304d307e30593002gt KOR ltFEFFc7740020c124c815c7440020c0acc6a9d558c5ec0020b370c2a4d06cd0d10020d504b9b0d1300020bc0f0020ad50c815ae30c5d0c11c0020ace0d488c9c8b85c0020c778c1c4d560002000410064006f0062006500200050004400460020bb38c11cb97c0020c791c131d569b2c8b2e4002e0020c774b807ac8c0020c791c131b41c00200050004400460020bb38c11cb2940020004100630072006f0062006100740020bc0f002000410064006f00620065002000520065006100640065007200200035002e00300020c774c0c1c5d0c11c0020c5f40020c2180020c788c2b5b2c8b2e4002egt LTH ltFEFF004e006100750064006f006b0069007400650020016100690075006f007300200070006100720061006d006500740072007500730020006e006f0072011700640061006d00690020006b0075007200740069002000410064006f00620065002000500044004600200064006f006b0075006d0065006e007400750073002c0020006b007500720069006500200073006b00690072007400690020006b006f006b0079006200690161006b0061006900200073007000610075007300640069006e007400690020007300740061006c0069006e0069006100690073002000690072002000620061006e00640079006d006f00200073007000610075007300640069006e007400750076006100690073002e0020002000530075006b0075007200740069002000500044004600200064006f006b0075006d0065006e007400610069002000670061006c006900200062016b007400690020006100740069006400610072006f006d00690020004100630072006f006200610074002000690072002000410064006f00620065002000520065006100640065007200200035002e0030002000610072002000760117006c00650073006e0117006d00690073002000760065007200730069006a006f006d00690073002egt LVI ltFEFF0049007a006d0061006e0074006f006a00690065007400200161006f00730020006900650073007400610074012b006a0075006d00750073002c0020006c0061006900200069007a0076006500690064006f00740075002000410064006f00620065002000500044004600200064006f006b0075006d0065006e0074007500730020006b00760061006c0069007400610074012b0076006100690020006400720075006b010101610061006e00610069002000610072002000670061006c006400610020007000720069006e00740065007200690065006d00200075006e0020007000610072006100750067006e006f00760069006c006b0075006d0075002000690065007300700069006500640113006a00690065006d002e00200049007a0076006500690064006f006a006900650074002000500044004600200064006f006b0075006d0065006e007400750073002c0020006b006f002000760061007200200061007400760113007200740020006100720020004100630072006f00620061007400200075006e002000410064006f00620065002000520065006100640065007200200035002e0030002c0020006b0101002000610072012b00200074006f0020006a00610075006e0101006b0101006d002000760065007200730069006a0101006d002egt NLD (Gebruik deze instellingen om Adobe PDF-documenten te maken voor kwaliteitsafdrukken op desktopprinters en proofers De gemaakte PDF-documenten kunnen worden geopend met Acrobat en Adobe Reader 50 en hoger) NOR ltFEFF004200720075006b00200064006900730073006500200069006e006e007300740069006c006c0069006e00670065006e0065002000740069006c002000e50020006f0070007000720065007400740065002000410064006f006200650020005000440046002d0064006f006b0075006d0065006e00740065007200200066006f00720020007500740073006b00720069006600740020006100760020006800f800790020006b00760061006c00690074006500740020007000e500200062006f007200640073006b0072006900760065007200200065006c006c00650072002000700072006f006f006600650072002e0020005000440046002d0064006f006b0075006d0065006e00740065006e00650020006b0061006e002000e50070006e00650073002000690020004100630072006f00620061007400200065006c006c00650072002000410064006f00620065002000520065006100640065007200200035002e003000200065006c006c00650072002000730065006e006500720065002egt POL ltFEFF0055007300740061007700690065006e0069006100200064006f002000740077006f0072007a0065006e0069006100200064006f006b0075006d0065006e007400f3007700200050004400460020007a002000770079017c0073007a010500200072006f007a0064007a00690065006c0063007a006f015b0063006901050020006f006200720061007a006b00f30077002c0020007a0061007000650077006e00690061006a0105006301050020006c006500700073007a01050020006a0061006b006f015b0107002000770079006400720075006b00f30077002e00200044006f006b0075006d0065006e0074007900200050004400460020006d006f017c006e00610020006f007400770069006500720061010700200077002000700072006f006700720061006d006900650020004100630072006f00620061007400200069002000410064006f00620065002000520065006100640065007200200035002e0030002000690020006e006f00770073007a0079006d002egt PTB ltFEFF005500740069006c0069007a006500200065007300730061007300200063006f006e00660069006700750072006100e700f50065007300200064006500200066006f0072006d00610020006100200063007200690061007200200064006f00630075006d0065006e0074006f0073002000410064006f0062006500200050004400460020007000610072006100200069006d0070007200650073007300f5006500730020006400650020007100750061006c0069006400610064006500200065006d00200069006d00700072006500730073006f0072006100730020006400650073006b0074006f00700020006500200064006900730070006f00730069007400690076006f0073002000640065002000700072006f00760061002e0020004f007300200064006f00630075006d0065006e0074006f00730020005000440046002000630072006900610064006f007300200070006f00640065006d0020007300650072002000610062006500720074006f007300200063006f006d0020006f0020004100630072006f006200610074002000650020006f002000410064006f00620065002000520065006100640065007200200035002e0030002000650020007600650072007300f50065007300200070006f00730074006500720069006f007200650073002egt RUM ltFEFF005500740069006c0069007a00610163006900200061006300650073007400650020007300650074010300720069002000700065006e007400720075002000610020006300720065006100200064006f00630075006d0065006e00740065002000410064006f006200650020005000440046002000700065006e007400720075002000740069007001030072006900720065002000640065002000630061006c006900740061007400650020006c006100200069006d007000720069006d0061006e007400650020006400650073006b0074006f00700020015f0069002000700065006e0074007200750020007600650072006900660069006300610074006f00720069002e002000200044006f00630075006d0065006e00740065006c00650020005000440046002000630072006500610074006500200070006f00740020006600690020006400650073006300680069007300650020006300750020004100630072006f006200610074002c002000410064006f00620065002000520065006100640065007200200035002e00300020015f00690020007600650072007300690075006e0069006c006500200075006c0074006500720069006f006100720065002egt RUS ltFEFF04180441043f043e043b044c04370443043904420435002004340430043d043d044b04350020043d0430044104420440043e0439043a043800200434043b044f00200441043e043704340430043d0438044f00200434043e043a0443043c0435043d0442043e0432002000410064006f006200650020005000440046002c0020043f044004350434043d04300437043d043004470435043d043d044b044500200434043b044f0020043a0430044704350441044204320435043d043d043e04390020043f043504470430044204380020043d04300020043d043004410442043e043b044c043d044b04450020043f04400438043d044204350440043004450020043800200443044104420440043e04390441044204320430044500200434043b044f0020043f043e043b044304470435043d0438044f0020043f0440043e0431043d044b04450020043e0442044204380441043a043e0432002e002000200421043e043704340430043d043d044b04350020005000440046002d0434043e043a0443043c0435043d0442044b0020043c043e0436043d043e00200020043e0442043a0440044b043204300442044c002004410020043f043e043c043e0449044c044e0020004100630072006f00620061007400200438002000410064006f00620065002000520065006100640065007200200035002e00300020043800200431043e043b043504350020043f043e04370434043d043804450020043204350440044104380439002egt SKY ltFEFF0054006900650074006f0020006e006100730074006100760065006e0069006100200070006f0075017e0069007400650020006e00610020007600790074007600e100720061006e0069006500200064006f006b0075006d0065006e0074006f0076002000410064006f00620065002000500044004600200070007200650020006b00760061006c00690074006e00fa00200074006c0061010d0020006e0061002000730074006f006c006e00fd0063006800200074006c0061010d00690061007201480061006300680020006100200074006c0061010d006f007600fd006300680020007a006100720069006100640065006e0069006100630068002e00200056007900740076006f00720065006e00e900200064006f006b0075006d0065006e007400790020005000440046002000620075006400650020006d006f017e006e00e90020006f00740076006f00720069016500200076002000700072006f006700720061006d006f006300680020004100630072006f00620061007400200061002000410064006f00620065002000520065006100640065007200200035002e0030002000610020006e006f0076016100ed00630068002e000d000agt SLV ltFEFF005400650020006e006100730074006100760069007400760065002000750070006f0072006100620069007400650020007a00610020007500730074007600610072006a0061006e006a006500200064006f006b0075006d0065006e0074006f0076002000410064006f0062006500200050004400460020007a00610020006b0061006b006f0076006f00730074006e006f0020007400690073006b0061006e006a00650020006e00610020006e0061006d0069007a006e006900680020007400690073006b0061006c006e0069006b0069006800200069006e0020007000720065007600650072006a0061006c006e0069006b00690068002e00200020005500730074007600610072006a0065006e006500200064006f006b0075006d0065006e0074006500200050004400460020006a00650020006d006f0067006f010d00650020006f0064007000720065007400690020007a0020004100630072006f00620061007400200069006e002000410064006f00620065002000520065006100640065007200200035002e003000200069006e0020006e006f00760065006a01610069006d002egt SUO ltFEFF004b00e40079007400e40020006e00e40069007400e4002000610073006500740075006b007300690061002c0020006b0075006e0020006c0075006f0074002000410064006f0062006500200050004400460020002d0064006f006b0075006d0065006e007400740065006a00610020006c0061006100640075006b006100730074006100200074007900f6007000f60079007400e400740075006c006f0073007400750073007400610020006a00610020007600650064006f007300740075007300740061002000760061007200740065006e002e00200020004c0075006f0064007500740020005000440046002d0064006f006b0075006d0065006e00740069007400200076006f0069006400610061006e0020006100760061007400610020004100630072006f0062006100740069006c006c00610020006a0061002000410064006f00620065002000520065006100640065007200200035002e0030003a006c006c00610020006a006100200075007500640065006d006d0069006c006c0061002egt SVE ltFEFF0041006e007600e4006e00640020006400650020006800e4007200200069006e0073007400e4006c006c006e0069006e006700610072006e00610020006f006d002000640075002000760069006c006c00200073006b006100700061002000410064006f006200650020005000440046002d0064006f006b0075006d0065006e00740020006600f600720020006b00760061006c00690074006500740073007500740073006b0072006900660074006500720020007000e5002000760061006e006c00690067006100200073006b0072006900760061007200650020006f006300680020006600f600720020006b006f007200720065006b007400750072002e002000200053006b006100700061006400650020005000440046002d0064006f006b0075006d0065006e00740020006b0061006e002000f600700070006e00610073002000690020004100630072006f0062006100740020006f00630068002000410064006f00620065002000520065006100640065007200200035002e00300020006f00630068002000730065006e006100720065002egt TUR ltFEFF004d00610073006100fc0073007400fc002000790061007a013100630131006c006100720020007600650020006200610073006b01310020006d0061006b0069006e0065006c006500720069006e006400650020006b0061006c006900740065006c00690020006200610073006b013100200061006d0061006301310079006c0061002000410064006f006200650020005000440046002000620065006c00670065006c0065007200690020006f006c0075015f007400750072006d0061006b0020006900e70069006e00200062007500200061007900610072006c0061007201310020006b0075006c006c0061006e0131006e002e00200020004f006c0075015f0074007500720075006c0061006e0020005000440046002000620065006c00670065006c0065007200690020004100630072006f006200610074002000760065002000410064006f00620065002000520065006100640065007200200035002e003000200076006500200073006f006e0072006100730131006e00640061006b00690020007300fc007200fc006d006c00650072006c00650020006100e70131006c006100620069006c00690072002egt UKR ltFEFF04120438043a043e0440043804410442043e043204430439044204350020044604560020043f043004400430043c043504420440043800200434043b044f0020044104420432043e04400435043d043d044f00200434043e043a0443043c0435043d044204560432002000410064006f006200650020005000440046002c0020044f043a04560020043d04300439043a04400430044904350020043f045604340445043e0434044f0442044c00200434043b044f0020043204380441043e043a043e044f043a04560441043d043e0433043e0020043404400443043a04430020043d04300020043d0430044104420456043b044c043d043804450020043f04400438043d044204350440043004450020044204300020043f04400438044104420440043e044f044500200434043b044f0020043e044204400438043c0430043d043d044f0020043f0440043e0431043d0438044500200437043e04310440043004360435043d044c002e00200020042104420432043e04400435043d045600200434043e043a0443043c0435043d0442043800200050004400460020043c043e0436043d04300020043204560434043a0440043804420438002004430020004100630072006f006200610074002004420430002000410064006f00620065002000520065006100640065007200200035002e0030002004300431043e0020043f04560437043d04560448043e04570020043204350440044104560457002egt ENU ltFEFF005500730065002000740068006500730065002000730065007400740069006e0067007300200074006f0020006300720065006100740065002000410064006f0062006500200050004400460020006200610073006500640020006f006e0020007400680065002000640065006600610075006c0074002000580069006c0069006e007800200070006100720061006d0065007400650072002000730065007400740069006e0067007300200064006500660069006e00650064002000620079002000500053003000300034002e002000460069006c0065006e0061006d0065003a002000780069006c0069006e0078002e006a006f0062006f007000740069006f006e0073002e000d0043006f006d007000610074006100620069006c006900740079003a0020004100630072006f00620061007400200037002e0030002000280050004400460031002e00360029002e0020005500730065003a00200046006f00720020006300720065006100740069006e0067002000460069006e0061006c002c0020005000750062006c0069007300680065006400200050004400460073002e000d0043007200650061007400650064002000310030002f00310032002f003100310020006200790020006a0066006f007200730074002egt gtgt Namespace [ (Adobe) (Common) (10) ] OtherNamespaces [ ltlt AsReaderSpreads false CropImagesToFrames true ErrorControl WarnAndContinue FlattenerIgnoreSpreadOverrides false IncludeGuidesGrids false IncludeNonPrinting false IncludeSlug false Namespace [ (Adobe) (InDesign) (40) ] OmitPlacedBitmaps false OmitPlacedEPS false OmitPlacedPDF false SimulateOverprint Legacy gtgt ltlt AddBleedMarks false AddColorBars false AddCropMarks false AddPageInfo false AddRegMarks false ConvertColors NoConversion DestinationProfileName () DestinationProfileSelector NA Downsample16BitImages true FlattenerPreset ltlt PresetSelector MediumResolution gtgt FormElements false GenerateStructure true IncludeBookmarks false IncludeHyperlinks false IncludeInteractive false IncludeLayers false IncludeProfiles true MultimediaHandling UseObjectSettings Namespace [ (Adobe) (CreativeSuite) (20) ] PDFXOutputIntentProfileSelector NA PreserveEditing true UntaggedCMYKHandling LeaveUntagged UntaggedRGBHandling LeaveUntagged UseDocumentBleed false gtgt ]gtgt setdistillerparamsltlt HWResolution [1200 1200] PageSize [612000 792000]gtgt setpagedevice

Page 25: Xilinx UG883 Kintex-7 FPGA KC705 Evaluation Kit Getting ...€¦ · Updated step 2 and step 3 in Requirements to Get Started, page 14. ... KC705 Getting Started Guide 9 UG883 (v3.0)

KC705 Getting Started Guide wwwxilinxcom 25UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

Click Run in Terminal to proceed The application GUI is invoked

Proceed to the next section Using the Application GUI to set design parameters and run the TRD

X-Ref Target - Figure 18

Figure 18 Run in Terminal

UG883_18_040913

26 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

Using the Application GUI

When the drivers are loaded and the Performance Monitor GUI is invoked the user can configure the sending and receiving of data The GUI allows the user to observe the collected statistics and other status information

1 Click the System Status tab to verify the status of the KC705 board and the PCIe link (see Figure 19 and Table 3)

X-Ref Target - Figure 19

Figure 19 Verify Board Status in the Performance Monitor

UG883_19_121112

KC705 Getting Started Guide wwwxilinxcom 27UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

2 To start data traffic on the two data paths

a Click Start Test on Raw Data Path0 as shown in Figure 20 This enables the driver to start generating the data for Raw Data Path0

b Click Start Test on Raw Data Path1 as shown in Figure 20 This enables the driver to start generating the data for Raw Data Path1

Table 3 KC705 Board Status Field Explanations

Field Status Explanation

Link Status Up This confirms that the PCIe link is up and a PCIe connection is established between the Kintex-7 FPGA Endpoint for PCI Express and the PC motherboard chipset

Link Speed 50 Gbs This confirms that the PCIe link is operating at line rate speed per PCI Express v20

Link Width x4 This confirms that the PCIe link is trained as a x4 link

28 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

3 Verify TRD operations through the status information provided by the GUI (see Figure 21)

a Verify the PCIe throughput

b Verify the DMA channel throughput for the Raw Data Path0

c Verify the DMA channel throughput for the Raw Data Path1

d Verify there are no buffer descriptor errors for error-free operation

X-Ref Target - Figure 20

Figure 20 Start Data Traffic from the Performance Monitor

UG883_20_121112

KC705 Getting Started Guide wwwxilinxcom 29UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

The Kintex-7 FPGA PCIe-DMA TRD is now set up and running Close the Application GUI to unload the software drivers and stop traffic flow

X-Ref Target - Figure 21

Figure 21 Verify Error-Free Operation in the Performance Monitor

UG883_21_121112

30 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

Evaluating the Kintex-7 FPGA Base TRDThe Kintex-7 FPGA Base TRD provides a Performance and Status monitor application and GUI The application enables customers to evaluate different system parameters This section demonstrates performance variances for the PCI Express and DMA interfaces based on the parameters set

To evaluate the Kintex-7 FPGA Base TRD

1 Launch the Performance Monitor for the Kintex-7 FPGA Base TRD

a Navigate to the k7_pcie_dma_ddr3_base folder

b Double-click k7_lin_trd_quickstart (Figure 22)mdashmake sure that the script has executable permissionmdashto launch the Performance Monitor and Status GUI

c A window prompt appears as shown in Figure 23 Click Run in Terminal to proceed

2 Set up the test parameters in the Performance Monitor

a Two data paths are available Raw Data Path0 and Raw Data Path1 On each path set the Packet Size to a value between 64 ndash 32768 bytes

X-Ref Target - Figure 22

Figure 22 Launch the Performance Monitor and Status GUI

UG883_22_121112

X-Ref Target - Figure 23

Figure 23 Run k7_lin_trd_quickstart

UG883_23_121112

KC705 Getting Started Guide wwwxilinxcom 31UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

3 Execute the test and view payload statistics in the Performance and Status Monitor (see Figure 24)

a Click Start Test to start the performance test

b Click the Payload Statistics tab to view data transfers on the DMA channels

c Click Stop Test to stop data traffic

d Vary the Packet Size parameters for the Raw Data Paths (see Figure 25) and click Start Test Then view the payload statistics to review data transfer rate on the DMA channels With a decrease in packet size the performance drops

Note Before changing packet size click Stop Test change the size and then click Start Test

X-Ref Target - Figure 24

Figure 24 Payload Statistics

UG883_24_121112

32 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

Note For packet sizes equal to 64 or 128 bytes the throughput is reduced and might not be visible on the Payload Statistics tab The exact values can be viewed on the System Status tab

4 Click the PCIe Statistics tab to view data transfer numbers with varying packet sizes on the PCIe interface (Figure 26)

X-Ref Target - Figure 25

Figure 25 Effect of Varying Packet Sizes on Performance

UG883_25_121112

KC705 Getting Started Guide wwwxilinxcom 33UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

The system performance of the Kintex-7 FPGA Base TRD has now been evaluated using the pre-built demonstration design bit file

Now that the Kintex-7 FPGA Base TRD demonstration has been set up and evaluated the design can be modified Before the design can be modified make sure to install the Vivado Design Suite on a PC It is not required that tools be installed on the PC system in which the KC705 evaluation board is plugged in by way of the PCIe edge connector

X-Ref Target - Figure 26

Figure 26 PCIe Statistics in the Performance Monitor

UG883_26_121112

34 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

KC705 Getting Started Guide wwwxilinxcom 35UG883 (v30) April 16 2013

Appendix A

Additional Resources

Xilinx ResourcesFor support resources such as Answers Documentation Downloads and Forums see the Xilinx Support website at

wwwxilinxcomsupport

For continual updates add the Answer Record to your myAlerts

wwwxilinxcomsupportmyalerts

For a glossary of technical terms used in Xilinx documentation see

wwwxilinxcomcompanytermshtm

Solution CentersSee the Xilinx Solution Centers for support on devices software tools and intellectual property at all stages of the design cycle Topics include design assistance advisories and troubleshooting tips

Further ResourcesThe most up to date information related to the KC705 board and its documentation is available on the following websites

The Kintex-7 FPGA KC705 Evaluation Kit Product Page

wwwxilinxcomkc705

The Kintex-7 FPGA KC705 Evaluation Kit Master Answer Record

wwwxilinxcomsupportanswers47787htm

Design advisories by software release for the Kintex-7 FPGA KC705 Evaluation Kit

wwwxilinxcomsupportnav=sd-nav-link-179661amptab=tab-bk

Kintex-7 FPGA Base Targeted Reference Design Release Notes and Known Issues Master Answer Record

wwwxilinxcomsupportanswers45679htm

These documents provide supplemental material useful with this user guide

UG810 KC705 Evaluation Board for the Kintex-7 FPGA User Guide

UG845 KC705 Reference Design User Guide

36 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Appendix A Additional Resources

UG798 Xilinx Design Tools Installation and Licensing Guide Vivado Design Suite and ISE Design Suite

UG908 Vivado Design Suite User Guide Programming and Debugging

UG882 Kintex-7 FPGA KC705 Base Targeted Reference Design User Guide (Vivado Design Suite 20124)

UG886 AMS101 Evaluation Card User Guide

UG477 7 Series FPGAs Integrated Block for PCI Express User Guide

ReferencesThe following websites provide supplemental material useful with this guide

1 LabVIEW 32-bit Run-Time Enginehttpjoulenicomniducdsviewpid2534langen

2 LabVIEW 64-bit Run-Time Engine httpjoulenicomniducdsviewpid2536langen

3 Tera Term Pro program httphpvectorcojpauthorsVA002416teratermhtml

4 Drivers on the Silicon Labs site httpwwwsilabscomSupport20DocumentsSoftwareCP210x_VCP_Win_XP_S2K3_Vista_7exe

5 Northwest Logic DMA back end core httpwwwnwlogiccompacketdma

6 Fedora project httpfedoraprojectorg

Fedora is a Linux-based operating system used in the development of this TRD

7 The GTK+ project API documentation httpwwwgtkorgdocumentationphp

GTK+ is a toolkit for creating graphical user interfaces (GUI)

KC705 Getting Started Guide wwwxilinxcom 37UG883 (v30) April 16 2013

Appendix B

Warranty

THIS LIMITED WARRANTY applies solely to standard hardware development boards and standard hardware programming cables manufactured by or on behalf of Xilinx (ldquoDevelopment Systemsrdquo) Subject to the limitations herein Xilinx warrants that Development Systems when delivered by Xilinx or its authorized distributor for ninety (90) days following the delivery date will be free from defects in material and workmanship and will substantially conform to Xilinx publicly available specifications for such products in effect at the time of delivery This limited warranty excludes (i) engineering samples or beta versions of Development Systems (which are provided ldquoAS ISrdquo without warranty) (ii) design defects or errors known as ldquoerratardquo (iii) Development Systems procured through unauthorized third parties and (iv) Development Systems that have been subject to misuse mishandling accident alteration neglect unauthorized repair or installation Furthermore this limited warranty shall not apply to the use of covered products in an application or environment that is not within Xilinx specifications or in the event of any act error neglect or default of Customer For any breach by Xilinx of this limited warranty the exclusive remedy of Customer and the sole liability of Xilinx shall be at the option of Xilinx to replace or repair the affected products or to refund to Customer the price of the affected products The availability of replacement products is subject to product discontinuation policies at Xilinx Customer may not return product without first obtaining a customer return material authorization (RMA) number from XilinxTHE WARRANTIES SET FORTH HEREIN ARE EXCLUSIVE XILINX DISCLAIMS ALL OTHER WARRANTIES WHETHER EXPRESS IMPLIED OR STATUTORY INCLUDING WITHOUT LIMITATION ANY WARRANTY OF MERCHANTABILITY FITNESS FOR A PARTICULAR PURPOSE OR NON-INFRINGEMENT AND ANY WARRANTY THAT MAY ARISE FROM COURSE OF DEALING COURSE OF PERFORMANCE OR USAGE OF TRADE (200810)

Do not throw Xilinx products marked with the ldquocrossed out wheeled binrdquo in the trash Directive 200296EC on waste electrical and electronic equipment (WEEE) requires the separate collection of WEEE Your cooperation is essential in ensuring the proper management of WEEE and the protection of the environment and human health from potential effects arising from the presence of hazardous substances in WEEE Return the marked products to Xilinx for proper disposal Further information and instructions for free-of-charge return available at httpwwwxilinxcomehsweeehtm

38 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Appendix B Warranty

  • Kintex-7 FPGA KC705 Evaluation Kit (Vivado Design Suite 20131)
    • Revision History
    • Table of Contents
    • Getting Started with the Kintex-7 FPGA KC705 Evaluation Kit (Vivado Design Suite 20131)
      • Introduction
      • Kit Contents
      • Basic Hardware Bring-up Using the BIST
        • Hardware Test Setup Requirements
          • Hardware Test Board Setup Requirements
            • Hardware Bring-Up
            • Run the BIST Application
              • AMS Bring-up with the AMS101 Evaluation Card
                • Requirements to Get Started
                • Evaluating AMS
                  • Advanced Bring-up Using the Base Targeted Reference Design
                    • Components
                    • Hardware Test Setup Requirements
                    • TRD Demonstration Setup
                    • Evaluating the Kintex-7 FPGA Base TRD
                        • Additional Resources
                          • Xilinx Resources
                          • Solution Centers
                          • Further Resources
                          • References
                            • Warranty
                                • ltlt ASCII85EncodePages false AllowTransparency false AutoPositionEPSFiles true AutoRotatePages All Binding Left CalGrayProfile (Dot Gain 20) CalRGBProfile (sRGB IEC61966-21) CalCMYKProfile (US Web Coated 050SWOP051 v2) sRGBProfile (sRGB IEC61966-21) CannotEmbedFontPolicy Warning CompatibilityLevel 16 CompressObjects Tags CompressPages true ConvertImagesToIndexed true PassThroughJPEGImages true CreateJobTicket false DefaultRenderingIntent Default DetectBlends true DetectCurves 00000 ColorConversionStrategy LeaveColorUnchanged DoThumbnails false EmbedAllFonts true EmbedOpenType false ParseICCProfilesInComments true EmbedJobOptions true DSCReportingLevel 0 EmitDSCWarnings false EndPage -1 ImageMemory 1048576 LockDistillerParams true MaxSubsetPct 100 Optimize true OPM 1 ParseDSCComments true ParseDSCCommentsForDocInfo true PreserveCopyPage true PreserveDICMYKValues true PreserveEPSInfo true PreserveFlatness true PreserveHalftoneInfo false PreserveOPIComments false PreserveOverprintSettings true StartPage 1 SubsetFonts true TransferFunctionInfo Apply UCRandBGInfo Preserve UsePrologue false ColorSettingsFile () AlwaysEmbed [ true ] NeverEmbed [ true ] AntiAliasColorImages false CropColorImages true ColorImageMinResolution 300 ColorImageMinResolutionPolicy OK DownsampleColorImages false ColorImageDownsampleType Bicubic ColorImageResolution 300 ColorImageDepth -1 ColorImageMinDownsampleDepth 1 ColorImageDownsampleThreshold 150000 EncodeColorImages true ColorImageFilter DCTEncode AutoFilterColorImages true ColorImageAutoFilterStrategy JPEG ColorACSImageDict ltlt QFactor 040 HSamples [1 1 1 1] VSamples [1 1 1 1] gtgt ColorImageDict ltlt QFactor 015 HSamples [1 1 1 1] VSamples [1 1 1 1] gtgt JPEG2000ColorACSImageDict ltlt TileWidth 256 TileHeight 256 Quality 30 gtgt JPEG2000ColorImageDict ltlt TileWidth 256 TileHeight 256 Quality 30 gtgt AntiAliasGrayImages false CropGrayImages true GrayImageMinResolution 300 GrayImageMinResolutionPolicy OK DownsampleGrayImages false GrayImageDownsampleType Bicubic GrayImageResolution 300 GrayImageDepth -1 GrayImageMinDownsampleDepth 2 GrayImageDownsampleThreshold 150000 EncodeGrayImages true GrayImageFilter DCTEncode AutoFilterGrayImages true GrayImageAutoFilterStrategy JPEG GrayACSImageDict ltlt QFactor 040 HSamples [1 1 1 1] VSamples [1 1 1 1] gtgt GrayImageDict ltlt QFactor 015 HSamples [1 1 1 1] VSamples [1 1 1 1] gtgt JPEG2000GrayACSImageDict ltlt TileWidth 256 TileHeight 256 Quality 30 gtgt JPEG2000GrayImageDict ltlt TileWidth 256 TileHeight 256 Quality 30 gtgt AntiAliasMonoImages false CropMonoImages true MonoImageMinResolution 1200 MonoImageMinResolutionPolicy OK DownsampleMonoImages false MonoImageDownsampleType Bicubic MonoImageResolution 1200 MonoImageDepth -1 MonoImageDownsampleThreshold 150000 EncodeMonoImages true MonoImageFilter CCITTFaxEncode MonoImageDict ltlt K -1 gtgt AllowPSXObjects false CheckCompliance [ None ] PDFX1aCheck false PDFX3Check false PDFXCompliantPDFOnly false PDFXNoTrimBoxError true PDFXTrimBoxToMediaBoxOffset [ 000000 000000 000000 000000 ] PDFXSetBleedBoxToMediaBox true PDFXBleedBoxToTrimBoxOffset [ 000000 000000 000000 000000 ] PDFXOutputIntentProfile (None) PDFXOutputConditionIdentifier () PDFXOutputCondition () PDFXRegistryName () PDFXTrapped False CreateJDFFile false Description ltlt ARA ltFEFF06270633062A062E062F0645002006470630064700200627064406250639062F0627062F0627062A002006440625064606340627062100200648062B062706260642002000410064006F00620065002000500044004600200645062A0648062706410642062900200644064406370628062706390629002006300627062A002006270644062C0648062F0629002006270644063906270644064A06290020064506460020062E06440627064400200627064406370627062806390627062A00200627064406450643062A0628064A062900200623064800200623062C06470632062900200625062C06310627062100200627064406280631064806410627062A061B0020064A06450643064600200641062A062D00200648062B0627062606420020005000440046002006270644064506460634062306290020062806270633062A062E062F062706450020004100630072006F0062006100740020064800410064006F006200650020005200650061006400650072002006250635062F0627063100200035002E0030002006480627064406250635062F062706310627062A0020062706440623062D062F062B002E0020064506390020005000440046002F0041060C0020062706440631062C062706210020064506310627062C063906290020062F0644064A0644002006450633062A062E062F06450020004100630072006F006200610074061B0020064A06450643064600200641062A062D00200648062B0627062606420020005000440046002006270644064506460634062306290020062806270633062A062E062F062706450020004100630072006F0062006100740020064800410064006F006200650020005200650061006400650072002006250635062F0627063100200035002E0030002006480627064406250635062F062706310627062A0020062706440623062D062F062B002Egt BGR ltFEFF04180437043f043e043b043704320430043904420435002004420435043704380020043d0430044104420440043e0439043a0438002c00200437043000200434043000200441044a0437043404300432043004420435002000410064006f00620065002000500044004600200434043e043a0443043c0435043d044204380020043704300020043a0430044704350441044204320435043d0020043f04350447043004420020043d04300020043d043004410442043e043b043d04380020043f04400438043d04420435044004380020043800200443044104420440043e043904410442043204300020043704300020043f04350447043004420020043d04300020043f0440043e0431043d04380020044004300437043f0435044704300442043a0438002e002000200421044a04370434043004340435043d043804420435002000500044004600200434043e043a0443043c0435043d044204380020043c043e0433043004420020043404300020044104350020043e0442043204300440044f0442002004410020004100630072006f00620061007400200438002000410064006f00620065002000520065006100640065007200200035002e00300020043800200441043b0435043404320430044904380020043204350440044104380438002egt CHS ltFEFF4f7f75288fd94e9b8bbe5b9a521b5efa7684002000500044004600206587686353ef901a8fc7684c976262535370673a548c002000700072006f006f00660065007200208fdb884c9ad88d2891cf62535370300260a853ef4ee54f7f75280020004100630072006f0062006100740020548c002000410064006f00620065002000520065006100640065007200200035002e003000204ee553ca66f49ad87248672c676562535f00521b5efa768400200050004400460020658768633002gt CHT ltFEFF4f7f752890194e9b8a2d7f6e5efa7acb7684002000410064006f006200650020005000440046002065874ef653ef5728684c9762537088686a5f548c002000700072006f006f00660065007200204e0a73725f979ad854c18cea7684521753706548679c300260a853ef4ee54f7f75280020004100630072006f0062006100740020548c002000410064006f00620065002000520065006100640065007200200035002e003000204ee553ca66f49ad87248672c4f86958b555f5df25efa7acb76840020005000440046002065874ef63002gt CZE ltFEFF005400610074006f0020006e006100730074006100760065006e00ed00200070006f0075017e0069006a007400650020006b0020007600790074007600e101590065006e00ed00200064006f006b0075006d0065006e0074016f002000410064006f006200650020005000440046002000700072006f0020006b00760061006c00690074006e00ed0020007400690073006b0020006e0061002000730074006f006c006e00ed006300680020007400690073006b00e10072006e00e100630068002000610020006e00e1007400690073006b006f007600fd006300680020007a0061015900ed007a0065006e00ed00630068002e002000200056007900740076006f01590065006e00e900200064006f006b0075006d0065006e007400790020005000440046002000620075006400650020006d006f017e006e00e90020006f007400650076015900ed007400200076002000700072006f006700720061006d0065006300680020004100630072006f00620061007400200061002000410064006f00620065002000520065006100640065007200200035002e0030002000610020006e006f0076011b006a016100ed00630068002egt DAN ltFEFF004200720075006700200069006e0064007300740069006c006c0069006e006700650072006e0065002000740069006c0020006100740020006f007000720065007400740065002000410064006f006200650020005000440046002d0064006f006b0075006d0065006e007400650072002000740069006c0020006b00760061006c00690074006500740073007500640073006b007200690076006e0069006e006700200065006c006c006500720020006b006f007200720065006b007400750072006c00e60073006e0069006e0067002e0020004400650020006f007000720065007400740065006400650020005000440046002d0064006f006b0075006d0065006e0074006500720020006b0061006e002000e50062006e00650073002000690020004100630072006f00620061007400200065006c006c006500720020004100630072006f006200610074002000520065006100640065007200200035002e00300020006f00670020006e0079006500720065002egt DEU ltFEFF00560065007200770065006e00640065006e0020005300690065002000640069006500730065002000450069006e007300740065006c006c0075006e00670065006e0020007a0075006d002000450072007300740065006c006c0065006e00200076006f006e002000410064006f006200650020005000440046002d0044006f006b0075006d0065006e00740065006e002c00200076006f006e002000640065006e0065006e002000530069006500200068006f00630068007700650072007400690067006500200044007200750063006b006500200061007500660020004400650073006b0074006f0070002d0044007200750063006b00650072006e00200075006e0064002000500072006f006f0066002d00470065007200e400740065006e002000650072007a0065007500670065006e0020006d00f60063006800740065006e002e002000450072007300740065006c006c007400650020005000440046002d0044006f006b0075006d0065006e007400650020006b00f6006e006e0065006e0020006d006900740020004100630072006f00620061007400200075006e0064002000410064006f00620065002000520065006100640065007200200035002e00300020006f0064006500720020006800f600680065007200200067006500f600660066006e00650074002000770065007200640065006e002egt ESP ltFEFF005500740069006c0069006300650020006500730074006100200063006f006e0066006900670075007200610063006900f3006e0020007000610072006100200063007200650061007200200064006f00630075006d0065006e0074006f0073002000640065002000410064006f0062006500200050004400460020007000610072006100200063006f006e00730065006700750069007200200069006d0070007200650073006900f3006e002000640065002000630061006c006900640061006400200065006e00200069006d0070007200650073006f0072006100730020006400650020006500730063007200690074006f00720069006f00200079002000680065007200720061006d00690065006e00740061007300200064006500200063006f00720072006500630063006900f3006e002e002000530065002000700075006500640065006e00200061006200720069007200200064006f00630075006d0065006e0074006f00730020005000440046002000630072006500610064006f007300200063006f006e0020004100630072006f006200610074002c002000410064006f00620065002000520065006100640065007200200035002e003000200079002000760065007200730069006f006e0065007300200070006f00730074006500720069006f007200650073002egt ETI ltFEFF004b00610073007500740061006700650020006e0065006900640020007300e4007400740065006900640020006c006100750061002d0020006a00610020006b006f006e00740072006f006c006c007400f5006d006d006900730065007000720069006e0074006500720069007400650020006a0061006f006b00730020006b00760061006c006900740065006500740073006500740065002000410064006f006200650020005000440046002d0064006f006b0075006d0065006e00740069006400650020006c006f006f006d006900730065006b0073002e002e00200020004c006f006f0064007500640020005000440046002d0064006f006b0075006d0065006e00740065002000730061006100740065002000610076006100640061002000700072006f006700720061006d006d006900640065006700610020004100630072006f0062006100740020006e0069006e0067002000410064006f00620065002000520065006100640065007200200035002e00300020006a00610020007500750065006d006100740065002000760065007200730069006f006f006e00690064006500670061002e000d000agt FRA ltFEFF005500740069006c006900730065007a00200063006500730020006f007000740069006f006e00730020006100660069006e00200064006500200063007200e900650072002000640065007300200064006f00630075006d0065006e00740073002000410064006f00620065002000500044004600200070006f007500720020006400650073002000e90070007200650075007600650073002000650074002000640065007300200069006d007000720065007300730069006f006e00730020006400650020006800610075007400650020007100750061006c0069007400e90020007300750072002000640065007300200069006d007000720069006d0061006e0074006500730020006400650020006200750072006500610075002e0020004c0065007300200064006f00630075006d0065006e00740073002000500044004600200063007200e900e90073002000700065007500760065006e0074002000ea0074007200650020006f007500760065007200740073002000640061006e00730020004100630072006f006200610074002c002000610069006e00730069002000710075002700410064006f00620065002000520065006100640065007200200035002e0030002000650074002000760065007200730069006f006e007300200075006c007400e90072006900650075007200650073002egt GRE ltFEFF03a703c103b703c303b903bc03bf03c003bf03b903ae03c303c403b5002003b103c503c403ad03c2002003c403b903c2002003c103c503b803bc03af03c303b503b903c2002003b303b903b1002003bd03b1002003b403b703bc03b903bf03c503c103b303ae03c303b503c403b5002003ad03b303b303c103b103c603b1002000410064006f006200650020005000440046002003b303b903b1002003b503ba03c403cd03c003c903c303b7002003c003bf03b903cc03c403b703c403b103c2002003c303b5002003b503ba03c403c503c003c903c403ad03c2002003b303c103b103c603b503af03bf03c5002003ba03b103b9002003b403bf03ba03b903bc03b103c303c403ad03c2002e0020002003a403b10020005000440046002003ad03b303b303c103b103c603b1002003c003bf03c5002003ad03c703b503c403b5002003b403b703bc03b903bf03c503c103b303ae03c303b503b9002003bc03c003bf03c103bf03cd03bd002003bd03b1002003b103bd03bf03b903c703c403bf03cd03bd002003bc03b5002003c403bf0020004100630072006f006200610074002c002003c403bf002000410064006f006200650020005200650061006400650072002000200035002e0030002003ba03b103b9002003bc03b503c403b103b303b503bd03ad03c303c403b503c103b503c2002003b503ba03b403cc03c303b503b903c2002egt HEB ltFEFF05D405E905EA05DE05E905D5002005D105D405D205D305E805D505EA002005D005DC05D4002005DB05D305D9002005DC05D905E605D505E8002005DE05E105DE05DB05D9002000410064006F006200650020005000440046002005E205D105D505E8002005D405D305E405E105D4002005D005D905DB05D505EA05D905EA002005D105DE05D305E405E105D505EA002005E905D505DC05D705E005D905D505EA002005D505DB05DC05D9002005D405D205D405D4002E002005DE05E105DE05DB05D9002005D4002D005000440046002005E905E005D505E605E805D905DD002005E005D905EA05E005D905DD002005DC05E405EA05D905D705D4002005D105D005DE05E605E205D505EA0020004100630072006F006200610074002005D5002D00410064006F00620065002000520065006100640065007200200035002E0030002005D505D205E805E105D005D505EA002005DE05EA05E705D305DE05D505EA002005D905D505EA05E8002Egt HRV ltFEFF005a00610020007300740076006100720061006e006a0065002000410064006f00620065002000500044004600200064006f006b0075006d0065006e0061007400610020007a00610020006b00760061006c00690074006500740061006e0020006900730070006900730020006e006100200070006900730061010d0069006d006100200069006c0069002000700072006f006f006600650072002000750072006501110061006a0069006d0061002e00200020005300740076006f00720065006e0069002000500044004600200064006f006b0075006d0065006e007400690020006d006f006700750020007300650020006f00740076006f00720069007400690020004100630072006f00620061007400200069002000410064006f00620065002000520065006100640065007200200035002e0030002000690020006b00610073006e0069006a0069006d0020007600650072007a0069006a0061006d0061002egt HUN ltFEFF004d0069006e0151007300e9006700690020006e0079006f006d00610074006f006b0020006b00e90073007a00ed007400e9007300e900680065007a002000610073007a00740061006c00690020006e0079006f006d00740061007400f3006b006f006e002000e9007300200070007200f300620061006e0079006f006d00f3006b006f006e00200065007a0065006b006b0065006c0020006100200062006500e1006c006c00ed007400e10073006f006b006b0061006c002c00200068006f007a007a006f006e0020006c00e9007400720065002000410064006f00620065002000500044004600200064006f006b0075006d0065006e00740075006d006f006b00610074002e0020002000410020006c00e90074007200650068006f007a006f00740074002000500044004600200064006f006b0075006d0065006e00740075006d006f006b00200061007a0020004100630072006f006200610074002c00200061007a002000410064006f00620065002000520065006100640065007200200035002e0030002000e9007300200061007a002000610074007400f3006c0020006b00e9007301510062006200690020007600650072007a006900f3006b006b0061006c00200020006e00790069007400680061007400f3006b0020006d00650067002egt ITA ltFEFF005500740069006c0069007a007a006100720065002000710075006500730074006500200069006d0070006f007300740061007a0069006f006e00690020007000650072002000630072006500610072006500200064006f00630075006d0065006e00740069002000410064006f006200650020005000440046002000700065007200200075006e00610020007300740061006d007000610020006400690020007100750061006c0069007400e00020007300750020007300740061006d00700061006e0074006900200065002000700072006f006f0066006500720020006400650073006b0074006f0070002e0020004900200064006f00630075006d0065006e007400690020005000440046002000630072006500610074006900200070006f00730073006f006e006f0020006500730073006500720065002000610070006500720074006900200063006f006e0020004100630072006f00620061007400200065002000410064006f00620065002000520065006100640065007200200035002e003000200065002000760065007200730069006f006e006900200073007500630063006500730073006900760065002egt JPN ltFEFF9ad854c18cea51fa529b7528002000410064006f0062006500200050004400460020658766f8306e4f5c6210306b4f7f75283057307e30593002537052376642306e753b8cea3092670059279650306b4fdd306430533068304c3067304d307e3059300230c730b930af30c830c330d730d730ea30f330bf3067306e53705237307e305f306f30d730eb30fc30d57528306b9069305730663044307e305930023053306e8a2d5b9a30674f5c62103055308c305f0020005000440046002030d530a130a430eb306f3001004100630072006f0062006100740020304a30883073002000410064006f00620065002000520065006100640065007200200035002e003000204ee5964d3067958b304f30533068304c3067304d307e30593002gt KOR ltFEFFc7740020c124c815c7440020c0acc6a9d558c5ec0020b370c2a4d06cd0d10020d504b9b0d1300020bc0f0020ad50c815ae30c5d0c11c0020ace0d488c9c8b85c0020c778c1c4d560002000410064006f0062006500200050004400460020bb38c11cb97c0020c791c131d569b2c8b2e4002e0020c774b807ac8c0020c791c131b41c00200050004400460020bb38c11cb2940020004100630072006f0062006100740020bc0f002000410064006f00620065002000520065006100640065007200200035002e00300020c774c0c1c5d0c11c0020c5f40020c2180020c788c2b5b2c8b2e4002egt LTH ltFEFF004e006100750064006f006b0069007400650020016100690075006f007300200070006100720061006d006500740072007500730020006e006f0072011700640061006d00690020006b0075007200740069002000410064006f00620065002000500044004600200064006f006b0075006d0065006e007400750073002c0020006b007500720069006500200073006b00690072007400690020006b006f006b0079006200690161006b0061006900200073007000610075007300640069006e007400690020007300740061006c0069006e0069006100690073002000690072002000620061006e00640079006d006f00200073007000610075007300640069006e007400750076006100690073002e0020002000530075006b0075007200740069002000500044004600200064006f006b0075006d0065006e007400610069002000670061006c006900200062016b007400690020006100740069006400610072006f006d00690020004100630072006f006200610074002000690072002000410064006f00620065002000520065006100640065007200200035002e0030002000610072002000760117006c00650073006e0117006d00690073002000760065007200730069006a006f006d00690073002egt LVI ltFEFF0049007a006d0061006e0074006f006a00690065007400200161006f00730020006900650073007400610074012b006a0075006d00750073002c0020006c0061006900200069007a0076006500690064006f00740075002000410064006f00620065002000500044004600200064006f006b0075006d0065006e0074007500730020006b00760061006c0069007400610074012b0076006100690020006400720075006b010101610061006e00610069002000610072002000670061006c006400610020007000720069006e00740065007200690065006d00200075006e0020007000610072006100750067006e006f00760069006c006b0075006d0075002000690065007300700069006500640113006a00690065006d002e00200049007a0076006500690064006f006a006900650074002000500044004600200064006f006b0075006d0065006e007400750073002c0020006b006f002000760061007200200061007400760113007200740020006100720020004100630072006f00620061007400200075006e002000410064006f00620065002000520065006100640065007200200035002e0030002c0020006b0101002000610072012b00200074006f0020006a00610075006e0101006b0101006d002000760065007200730069006a0101006d002egt NLD (Gebruik deze instellingen om Adobe PDF-documenten te maken voor kwaliteitsafdrukken op desktopprinters en proofers De gemaakte PDF-documenten kunnen worden geopend met Acrobat en Adobe Reader 50 en hoger) NOR ltFEFF004200720075006b00200064006900730073006500200069006e006e007300740069006c006c0069006e00670065006e0065002000740069006c002000e50020006f0070007000720065007400740065002000410064006f006200650020005000440046002d0064006f006b0075006d0065006e00740065007200200066006f00720020007500740073006b00720069006600740020006100760020006800f800790020006b00760061006c00690074006500740020007000e500200062006f007200640073006b0072006900760065007200200065006c006c00650072002000700072006f006f006600650072002e0020005000440046002d0064006f006b0075006d0065006e00740065006e00650020006b0061006e002000e50070006e00650073002000690020004100630072006f00620061007400200065006c006c00650072002000410064006f00620065002000520065006100640065007200200035002e003000200065006c006c00650072002000730065006e006500720065002egt POL ltFEFF0055007300740061007700690065006e0069006100200064006f002000740077006f0072007a0065006e0069006100200064006f006b0075006d0065006e007400f3007700200050004400460020007a002000770079017c0073007a010500200072006f007a0064007a00690065006c0063007a006f015b0063006901050020006f006200720061007a006b00f30077002c0020007a0061007000650077006e00690061006a0105006301050020006c006500700073007a01050020006a0061006b006f015b0107002000770079006400720075006b00f30077002e00200044006f006b0075006d0065006e0074007900200050004400460020006d006f017c006e00610020006f007400770069006500720061010700200077002000700072006f006700720061006d006900650020004100630072006f00620061007400200069002000410064006f00620065002000520065006100640065007200200035002e0030002000690020006e006f00770073007a0079006d002egt PTB ltFEFF005500740069006c0069007a006500200065007300730061007300200063006f006e00660069006700750072006100e700f50065007300200064006500200066006f0072006d00610020006100200063007200690061007200200064006f00630075006d0065006e0074006f0073002000410064006f0062006500200050004400460020007000610072006100200069006d0070007200650073007300f5006500730020006400650020007100750061006c0069006400610064006500200065006d00200069006d00700072006500730073006f0072006100730020006400650073006b0074006f00700020006500200064006900730070006f00730069007400690076006f0073002000640065002000700072006f00760061002e0020004f007300200064006f00630075006d0065006e0074006f00730020005000440046002000630072006900610064006f007300200070006f00640065006d0020007300650072002000610062006500720074006f007300200063006f006d0020006f0020004100630072006f006200610074002000650020006f002000410064006f00620065002000520065006100640065007200200035002e0030002000650020007600650072007300f50065007300200070006f00730074006500720069006f007200650073002egt RUM ltFEFF005500740069006c0069007a00610163006900200061006300650073007400650020007300650074010300720069002000700065006e007400720075002000610020006300720065006100200064006f00630075006d0065006e00740065002000410064006f006200650020005000440046002000700065006e007400720075002000740069007001030072006900720065002000640065002000630061006c006900740061007400650020006c006100200069006d007000720069006d0061006e007400650020006400650073006b0074006f00700020015f0069002000700065006e0074007200750020007600650072006900660069006300610074006f00720069002e002000200044006f00630075006d0065006e00740065006c00650020005000440046002000630072006500610074006500200070006f00740020006600690020006400650073006300680069007300650020006300750020004100630072006f006200610074002c002000410064006f00620065002000520065006100640065007200200035002e00300020015f00690020007600650072007300690075006e0069006c006500200075006c0074006500720069006f006100720065002egt RUS ltFEFF04180441043f043e043b044c04370443043904420435002004340430043d043d044b04350020043d0430044104420440043e0439043a043800200434043b044f00200441043e043704340430043d0438044f00200434043e043a0443043c0435043d0442043e0432002000410064006f006200650020005000440046002c0020043f044004350434043d04300437043d043004470435043d043d044b044500200434043b044f0020043a0430044704350441044204320435043d043d043e04390020043f043504470430044204380020043d04300020043d043004410442043e043b044c043d044b04450020043f04400438043d044204350440043004450020043800200443044104420440043e04390441044204320430044500200434043b044f0020043f043e043b044304470435043d0438044f0020043f0440043e0431043d044b04450020043e0442044204380441043a043e0432002e002000200421043e043704340430043d043d044b04350020005000440046002d0434043e043a0443043c0435043d0442044b0020043c043e0436043d043e00200020043e0442043a0440044b043204300442044c002004410020043f043e043c043e0449044c044e0020004100630072006f00620061007400200438002000410064006f00620065002000520065006100640065007200200035002e00300020043800200431043e043b043504350020043f043e04370434043d043804450020043204350440044104380439002egt SKY ltFEFF0054006900650074006f0020006e006100730074006100760065006e0069006100200070006f0075017e0069007400650020006e00610020007600790074007600e100720061006e0069006500200064006f006b0075006d0065006e0074006f0076002000410064006f00620065002000500044004600200070007200650020006b00760061006c00690074006e00fa00200074006c0061010d0020006e0061002000730074006f006c006e00fd0063006800200074006c0061010d00690061007201480061006300680020006100200074006c0061010d006f007600fd006300680020007a006100720069006100640065006e0069006100630068002e00200056007900740076006f00720065006e00e900200064006f006b0075006d0065006e007400790020005000440046002000620075006400650020006d006f017e006e00e90020006f00740076006f00720069016500200076002000700072006f006700720061006d006f006300680020004100630072006f00620061007400200061002000410064006f00620065002000520065006100640065007200200035002e0030002000610020006e006f0076016100ed00630068002e000d000agt SLV ltFEFF005400650020006e006100730074006100760069007400760065002000750070006f0072006100620069007400650020007a00610020007500730074007600610072006a0061006e006a006500200064006f006b0075006d0065006e0074006f0076002000410064006f0062006500200050004400460020007a00610020006b0061006b006f0076006f00730074006e006f0020007400690073006b0061006e006a00650020006e00610020006e0061006d0069007a006e006900680020007400690073006b0061006c006e0069006b0069006800200069006e0020007000720065007600650072006a0061006c006e0069006b00690068002e00200020005500730074007600610072006a0065006e006500200064006f006b0075006d0065006e0074006500200050004400460020006a00650020006d006f0067006f010d00650020006f0064007000720065007400690020007a0020004100630072006f00620061007400200069006e002000410064006f00620065002000520065006100640065007200200035002e003000200069006e0020006e006f00760065006a01610069006d002egt SUO ltFEFF004b00e40079007400e40020006e00e40069007400e4002000610073006500740075006b007300690061002c0020006b0075006e0020006c0075006f0074002000410064006f0062006500200050004400460020002d0064006f006b0075006d0065006e007400740065006a00610020006c0061006100640075006b006100730074006100200074007900f6007000f60079007400e400740075006c006f0073007400750073007400610020006a00610020007600650064006f007300740075007300740061002000760061007200740065006e002e00200020004c0075006f0064007500740020005000440046002d0064006f006b0075006d0065006e00740069007400200076006f0069006400610061006e0020006100760061007400610020004100630072006f0062006100740069006c006c00610020006a0061002000410064006f00620065002000520065006100640065007200200035002e0030003a006c006c00610020006a006100200075007500640065006d006d0069006c006c0061002egt SVE ltFEFF0041006e007600e4006e00640020006400650020006800e4007200200069006e0073007400e4006c006c006e0069006e006700610072006e00610020006f006d002000640075002000760069006c006c00200073006b006100700061002000410064006f006200650020005000440046002d0064006f006b0075006d0065006e00740020006600f600720020006b00760061006c00690074006500740073007500740073006b0072006900660074006500720020007000e5002000760061006e006c00690067006100200073006b0072006900760061007200650020006f006300680020006600f600720020006b006f007200720065006b007400750072002e002000200053006b006100700061006400650020005000440046002d0064006f006b0075006d0065006e00740020006b0061006e002000f600700070006e00610073002000690020004100630072006f0062006100740020006f00630068002000410064006f00620065002000520065006100640065007200200035002e00300020006f00630068002000730065006e006100720065002egt TUR ltFEFF004d00610073006100fc0073007400fc002000790061007a013100630131006c006100720020007600650020006200610073006b01310020006d0061006b0069006e0065006c006500720069006e006400650020006b0061006c006900740065006c00690020006200610073006b013100200061006d0061006301310079006c0061002000410064006f006200650020005000440046002000620065006c00670065006c0065007200690020006f006c0075015f007400750072006d0061006b0020006900e70069006e00200062007500200061007900610072006c0061007201310020006b0075006c006c0061006e0131006e002e00200020004f006c0075015f0074007500720075006c0061006e0020005000440046002000620065006c00670065006c0065007200690020004100630072006f006200610074002000760065002000410064006f00620065002000520065006100640065007200200035002e003000200076006500200073006f006e0072006100730131006e00640061006b00690020007300fc007200fc006d006c00650072006c00650020006100e70131006c006100620069006c00690072002egt UKR ltFEFF04120438043a043e0440043804410442043e043204430439044204350020044604560020043f043004400430043c043504420440043800200434043b044f0020044104420432043e04400435043d043d044f00200434043e043a0443043c0435043d044204560432002000410064006f006200650020005000440046002c0020044f043a04560020043d04300439043a04400430044904350020043f045604340445043e0434044f0442044c00200434043b044f0020043204380441043e043a043e044f043a04560441043d043e0433043e0020043404400443043a04430020043d04300020043d0430044104420456043b044c043d043804450020043f04400438043d044204350440043004450020044204300020043f04400438044104420440043e044f044500200434043b044f0020043e044204400438043c0430043d043d044f0020043f0440043e0431043d0438044500200437043e04310440043004360435043d044c002e00200020042104420432043e04400435043d045600200434043e043a0443043c0435043d0442043800200050004400460020043c043e0436043d04300020043204560434043a0440043804420438002004430020004100630072006f006200610074002004420430002000410064006f00620065002000520065006100640065007200200035002e0030002004300431043e0020043f04560437043d04560448043e04570020043204350440044104560457002egt ENU ltFEFF005500730065002000740068006500730065002000730065007400740069006e0067007300200074006f0020006300720065006100740065002000410064006f0062006500200050004400460020006200610073006500640020006f006e0020007400680065002000640065006600610075006c0074002000580069006c0069006e007800200070006100720061006d0065007400650072002000730065007400740069006e0067007300200064006500660069006e00650064002000620079002000500053003000300034002e002000460069006c0065006e0061006d0065003a002000780069006c0069006e0078002e006a006f0062006f007000740069006f006e0073002e000d0043006f006d007000610074006100620069006c006900740079003a0020004100630072006f00620061007400200037002e0030002000280050004400460031002e00360029002e0020005500730065003a00200046006f00720020006300720065006100740069006e0067002000460069006e0061006c002c0020005000750062006c0069007300680065006400200050004400460073002e000d0043007200650061007400650064002000310030002f00310032002f003100310020006200790020006a0066006f007200730074002egt gtgt Namespace [ (Adobe) (Common) (10) ] OtherNamespaces [ ltlt AsReaderSpreads false CropImagesToFrames true ErrorControl WarnAndContinue FlattenerIgnoreSpreadOverrides false IncludeGuidesGrids false IncludeNonPrinting false IncludeSlug false Namespace [ (Adobe) (InDesign) (40) ] OmitPlacedBitmaps false OmitPlacedEPS false OmitPlacedPDF false SimulateOverprint Legacy gtgt ltlt AddBleedMarks false AddColorBars false AddCropMarks false AddPageInfo false AddRegMarks false ConvertColors NoConversion DestinationProfileName () DestinationProfileSelector NA Downsample16BitImages true FlattenerPreset ltlt PresetSelector MediumResolution gtgt FormElements false GenerateStructure true IncludeBookmarks false IncludeHyperlinks false IncludeInteractive false IncludeLayers false IncludeProfiles true MultimediaHandling UseObjectSettings Namespace [ (Adobe) (CreativeSuite) (20) ] PDFXOutputIntentProfileSelector NA PreserveEditing true UntaggedCMYKHandling LeaveUntagged UntaggedRGBHandling LeaveUntagged UseDocumentBleed false gtgt ]gtgt setdistillerparamsltlt HWResolution [1200 1200] PageSize [612000 792000]gtgt setpagedevice

Page 26: Xilinx UG883 Kintex-7 FPGA KC705 Evaluation Kit Getting ...€¦ · Updated step 2 and step 3 in Requirements to Get Started, page 14. ... KC705 Getting Started Guide 9 UG883 (v3.0)

26 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

Using the Application GUI

When the drivers are loaded and the Performance Monitor GUI is invoked the user can configure the sending and receiving of data The GUI allows the user to observe the collected statistics and other status information

1 Click the System Status tab to verify the status of the KC705 board and the PCIe link (see Figure 19 and Table 3)

X-Ref Target - Figure 19

Figure 19 Verify Board Status in the Performance Monitor

UG883_19_121112

KC705 Getting Started Guide wwwxilinxcom 27UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

2 To start data traffic on the two data paths

a Click Start Test on Raw Data Path0 as shown in Figure 20 This enables the driver to start generating the data for Raw Data Path0

b Click Start Test on Raw Data Path1 as shown in Figure 20 This enables the driver to start generating the data for Raw Data Path1

Table 3 KC705 Board Status Field Explanations

Field Status Explanation

Link Status Up This confirms that the PCIe link is up and a PCIe connection is established between the Kintex-7 FPGA Endpoint for PCI Express and the PC motherboard chipset

Link Speed 50 Gbs This confirms that the PCIe link is operating at line rate speed per PCI Express v20

Link Width x4 This confirms that the PCIe link is trained as a x4 link

28 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

3 Verify TRD operations through the status information provided by the GUI (see Figure 21)

a Verify the PCIe throughput

b Verify the DMA channel throughput for the Raw Data Path0

c Verify the DMA channel throughput for the Raw Data Path1

d Verify there are no buffer descriptor errors for error-free operation

X-Ref Target - Figure 20

Figure 20 Start Data Traffic from the Performance Monitor

UG883_20_121112

KC705 Getting Started Guide wwwxilinxcom 29UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

The Kintex-7 FPGA PCIe-DMA TRD is now set up and running Close the Application GUI to unload the software drivers and stop traffic flow

X-Ref Target - Figure 21

Figure 21 Verify Error-Free Operation in the Performance Monitor

UG883_21_121112

30 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

Evaluating the Kintex-7 FPGA Base TRDThe Kintex-7 FPGA Base TRD provides a Performance and Status monitor application and GUI The application enables customers to evaluate different system parameters This section demonstrates performance variances for the PCI Express and DMA interfaces based on the parameters set

To evaluate the Kintex-7 FPGA Base TRD

1 Launch the Performance Monitor for the Kintex-7 FPGA Base TRD

a Navigate to the k7_pcie_dma_ddr3_base folder

b Double-click k7_lin_trd_quickstart (Figure 22)mdashmake sure that the script has executable permissionmdashto launch the Performance Monitor and Status GUI

c A window prompt appears as shown in Figure 23 Click Run in Terminal to proceed

2 Set up the test parameters in the Performance Monitor

a Two data paths are available Raw Data Path0 and Raw Data Path1 On each path set the Packet Size to a value between 64 ndash 32768 bytes

X-Ref Target - Figure 22

Figure 22 Launch the Performance Monitor and Status GUI

UG883_22_121112

X-Ref Target - Figure 23

Figure 23 Run k7_lin_trd_quickstart

UG883_23_121112

KC705 Getting Started Guide wwwxilinxcom 31UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

3 Execute the test and view payload statistics in the Performance and Status Monitor (see Figure 24)

a Click Start Test to start the performance test

b Click the Payload Statistics tab to view data transfers on the DMA channels

c Click Stop Test to stop data traffic

d Vary the Packet Size parameters for the Raw Data Paths (see Figure 25) and click Start Test Then view the payload statistics to review data transfer rate on the DMA channels With a decrease in packet size the performance drops

Note Before changing packet size click Stop Test change the size and then click Start Test

X-Ref Target - Figure 24

Figure 24 Payload Statistics

UG883_24_121112

32 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

Note For packet sizes equal to 64 or 128 bytes the throughput is reduced and might not be visible on the Payload Statistics tab The exact values can be viewed on the System Status tab

4 Click the PCIe Statistics tab to view data transfer numbers with varying packet sizes on the PCIe interface (Figure 26)

X-Ref Target - Figure 25

Figure 25 Effect of Varying Packet Sizes on Performance

UG883_25_121112

KC705 Getting Started Guide wwwxilinxcom 33UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

The system performance of the Kintex-7 FPGA Base TRD has now been evaluated using the pre-built demonstration design bit file

Now that the Kintex-7 FPGA Base TRD demonstration has been set up and evaluated the design can be modified Before the design can be modified make sure to install the Vivado Design Suite on a PC It is not required that tools be installed on the PC system in which the KC705 evaluation board is plugged in by way of the PCIe edge connector

X-Ref Target - Figure 26

Figure 26 PCIe Statistics in the Performance Monitor

UG883_26_121112

34 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

KC705 Getting Started Guide wwwxilinxcom 35UG883 (v30) April 16 2013

Appendix A

Additional Resources

Xilinx ResourcesFor support resources such as Answers Documentation Downloads and Forums see the Xilinx Support website at

wwwxilinxcomsupport

For continual updates add the Answer Record to your myAlerts

wwwxilinxcomsupportmyalerts

For a glossary of technical terms used in Xilinx documentation see

wwwxilinxcomcompanytermshtm

Solution CentersSee the Xilinx Solution Centers for support on devices software tools and intellectual property at all stages of the design cycle Topics include design assistance advisories and troubleshooting tips

Further ResourcesThe most up to date information related to the KC705 board and its documentation is available on the following websites

The Kintex-7 FPGA KC705 Evaluation Kit Product Page

wwwxilinxcomkc705

The Kintex-7 FPGA KC705 Evaluation Kit Master Answer Record

wwwxilinxcomsupportanswers47787htm

Design advisories by software release for the Kintex-7 FPGA KC705 Evaluation Kit

wwwxilinxcomsupportnav=sd-nav-link-179661amptab=tab-bk

Kintex-7 FPGA Base Targeted Reference Design Release Notes and Known Issues Master Answer Record

wwwxilinxcomsupportanswers45679htm

These documents provide supplemental material useful with this user guide

UG810 KC705 Evaluation Board for the Kintex-7 FPGA User Guide

UG845 KC705 Reference Design User Guide

36 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Appendix A Additional Resources

UG798 Xilinx Design Tools Installation and Licensing Guide Vivado Design Suite and ISE Design Suite

UG908 Vivado Design Suite User Guide Programming and Debugging

UG882 Kintex-7 FPGA KC705 Base Targeted Reference Design User Guide (Vivado Design Suite 20124)

UG886 AMS101 Evaluation Card User Guide

UG477 7 Series FPGAs Integrated Block for PCI Express User Guide

ReferencesThe following websites provide supplemental material useful with this guide

1 LabVIEW 32-bit Run-Time Enginehttpjoulenicomniducdsviewpid2534langen

2 LabVIEW 64-bit Run-Time Engine httpjoulenicomniducdsviewpid2536langen

3 Tera Term Pro program httphpvectorcojpauthorsVA002416teratermhtml

4 Drivers on the Silicon Labs site httpwwwsilabscomSupport20DocumentsSoftwareCP210x_VCP_Win_XP_S2K3_Vista_7exe

5 Northwest Logic DMA back end core httpwwwnwlogiccompacketdma

6 Fedora project httpfedoraprojectorg

Fedora is a Linux-based operating system used in the development of this TRD

7 The GTK+ project API documentation httpwwwgtkorgdocumentationphp

GTK+ is a toolkit for creating graphical user interfaces (GUI)

KC705 Getting Started Guide wwwxilinxcom 37UG883 (v30) April 16 2013

Appendix B

Warranty

THIS LIMITED WARRANTY applies solely to standard hardware development boards and standard hardware programming cables manufactured by or on behalf of Xilinx (ldquoDevelopment Systemsrdquo) Subject to the limitations herein Xilinx warrants that Development Systems when delivered by Xilinx or its authorized distributor for ninety (90) days following the delivery date will be free from defects in material and workmanship and will substantially conform to Xilinx publicly available specifications for such products in effect at the time of delivery This limited warranty excludes (i) engineering samples or beta versions of Development Systems (which are provided ldquoAS ISrdquo without warranty) (ii) design defects or errors known as ldquoerratardquo (iii) Development Systems procured through unauthorized third parties and (iv) Development Systems that have been subject to misuse mishandling accident alteration neglect unauthorized repair or installation Furthermore this limited warranty shall not apply to the use of covered products in an application or environment that is not within Xilinx specifications or in the event of any act error neglect or default of Customer For any breach by Xilinx of this limited warranty the exclusive remedy of Customer and the sole liability of Xilinx shall be at the option of Xilinx to replace or repair the affected products or to refund to Customer the price of the affected products The availability of replacement products is subject to product discontinuation policies at Xilinx Customer may not return product without first obtaining a customer return material authorization (RMA) number from XilinxTHE WARRANTIES SET FORTH HEREIN ARE EXCLUSIVE XILINX DISCLAIMS ALL OTHER WARRANTIES WHETHER EXPRESS IMPLIED OR STATUTORY INCLUDING WITHOUT LIMITATION ANY WARRANTY OF MERCHANTABILITY FITNESS FOR A PARTICULAR PURPOSE OR NON-INFRINGEMENT AND ANY WARRANTY THAT MAY ARISE FROM COURSE OF DEALING COURSE OF PERFORMANCE OR USAGE OF TRADE (200810)

Do not throw Xilinx products marked with the ldquocrossed out wheeled binrdquo in the trash Directive 200296EC on waste electrical and electronic equipment (WEEE) requires the separate collection of WEEE Your cooperation is essential in ensuring the proper management of WEEE and the protection of the environment and human health from potential effects arising from the presence of hazardous substances in WEEE Return the marked products to Xilinx for proper disposal Further information and instructions for free-of-charge return available at httpwwwxilinxcomehsweeehtm

38 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Appendix B Warranty

  • Kintex-7 FPGA KC705 Evaluation Kit (Vivado Design Suite 20131)
    • Revision History
    • Table of Contents
    • Getting Started with the Kintex-7 FPGA KC705 Evaluation Kit (Vivado Design Suite 20131)
      • Introduction
      • Kit Contents
      • Basic Hardware Bring-up Using the BIST
        • Hardware Test Setup Requirements
          • Hardware Test Board Setup Requirements
            • Hardware Bring-Up
            • Run the BIST Application
              • AMS Bring-up with the AMS101 Evaluation Card
                • Requirements to Get Started
                • Evaluating AMS
                  • Advanced Bring-up Using the Base Targeted Reference Design
                    • Components
                    • Hardware Test Setup Requirements
                    • TRD Demonstration Setup
                    • Evaluating the Kintex-7 FPGA Base TRD
                        • Additional Resources
                          • Xilinx Resources
                          • Solution Centers
                          • Further Resources
                          • References
                            • Warranty
                                • ltlt ASCII85EncodePages false AllowTransparency false AutoPositionEPSFiles true AutoRotatePages All Binding Left CalGrayProfile (Dot Gain 20) CalRGBProfile (sRGB IEC61966-21) CalCMYKProfile (US Web Coated 050SWOP051 v2) sRGBProfile (sRGB IEC61966-21) CannotEmbedFontPolicy Warning CompatibilityLevel 16 CompressObjects Tags CompressPages true ConvertImagesToIndexed true PassThroughJPEGImages true CreateJobTicket false DefaultRenderingIntent Default DetectBlends true DetectCurves 00000 ColorConversionStrategy LeaveColorUnchanged DoThumbnails false EmbedAllFonts true EmbedOpenType false ParseICCProfilesInComments true EmbedJobOptions true DSCReportingLevel 0 EmitDSCWarnings false EndPage -1 ImageMemory 1048576 LockDistillerParams true MaxSubsetPct 100 Optimize true OPM 1 ParseDSCComments true ParseDSCCommentsForDocInfo true PreserveCopyPage true PreserveDICMYKValues true PreserveEPSInfo true PreserveFlatness true PreserveHalftoneInfo false PreserveOPIComments false PreserveOverprintSettings true StartPage 1 SubsetFonts true TransferFunctionInfo Apply UCRandBGInfo Preserve UsePrologue false ColorSettingsFile () AlwaysEmbed [ true ] NeverEmbed [ true ] AntiAliasColorImages false CropColorImages true ColorImageMinResolution 300 ColorImageMinResolutionPolicy OK DownsampleColorImages false ColorImageDownsampleType Bicubic ColorImageResolution 300 ColorImageDepth -1 ColorImageMinDownsampleDepth 1 ColorImageDownsampleThreshold 150000 EncodeColorImages true ColorImageFilter DCTEncode AutoFilterColorImages true ColorImageAutoFilterStrategy JPEG ColorACSImageDict ltlt QFactor 040 HSamples [1 1 1 1] VSamples [1 1 1 1] gtgt ColorImageDict ltlt QFactor 015 HSamples [1 1 1 1] VSamples [1 1 1 1] gtgt JPEG2000ColorACSImageDict ltlt TileWidth 256 TileHeight 256 Quality 30 gtgt JPEG2000ColorImageDict ltlt TileWidth 256 TileHeight 256 Quality 30 gtgt AntiAliasGrayImages false CropGrayImages true GrayImageMinResolution 300 GrayImageMinResolutionPolicy OK DownsampleGrayImages false GrayImageDownsampleType Bicubic GrayImageResolution 300 GrayImageDepth -1 GrayImageMinDownsampleDepth 2 GrayImageDownsampleThreshold 150000 EncodeGrayImages true GrayImageFilter DCTEncode AutoFilterGrayImages true GrayImageAutoFilterStrategy JPEG GrayACSImageDict ltlt QFactor 040 HSamples [1 1 1 1] VSamples [1 1 1 1] gtgt GrayImageDict ltlt QFactor 015 HSamples [1 1 1 1] VSamples [1 1 1 1] gtgt JPEG2000GrayACSImageDict ltlt TileWidth 256 TileHeight 256 Quality 30 gtgt JPEG2000GrayImageDict ltlt TileWidth 256 TileHeight 256 Quality 30 gtgt AntiAliasMonoImages false CropMonoImages true MonoImageMinResolution 1200 MonoImageMinResolutionPolicy OK DownsampleMonoImages false MonoImageDownsampleType Bicubic MonoImageResolution 1200 MonoImageDepth -1 MonoImageDownsampleThreshold 150000 EncodeMonoImages true MonoImageFilter CCITTFaxEncode MonoImageDict ltlt K -1 gtgt AllowPSXObjects false CheckCompliance [ None ] PDFX1aCheck false PDFX3Check false PDFXCompliantPDFOnly false PDFXNoTrimBoxError true PDFXTrimBoxToMediaBoxOffset [ 000000 000000 000000 000000 ] PDFXSetBleedBoxToMediaBox true PDFXBleedBoxToTrimBoxOffset [ 000000 000000 000000 000000 ] PDFXOutputIntentProfile (None) PDFXOutputConditionIdentifier () PDFXOutputCondition () PDFXRegistryName () PDFXTrapped False CreateJDFFile false Description ltlt ARA ltFEFF06270633062A062E062F0645002006470630064700200627064406250639062F0627062F0627062A002006440625064606340627062100200648062B062706260642002000410064006F00620065002000500044004600200645062A0648062706410642062900200644064406370628062706390629002006300627062A002006270644062C0648062F0629002006270644063906270644064A06290020064506460020062E06440627064400200627064406370627062806390627062A00200627064406450643062A0628064A062900200623064800200623062C06470632062900200625062C06310627062100200627064406280631064806410627062A061B0020064A06450643064600200641062A062D00200648062B0627062606420020005000440046002006270644064506460634062306290020062806270633062A062E062F062706450020004100630072006F0062006100740020064800410064006F006200650020005200650061006400650072002006250635062F0627063100200035002E0030002006480627064406250635062F062706310627062A0020062706440623062D062F062B002E0020064506390020005000440046002F0041060C0020062706440631062C062706210020064506310627062C063906290020062F0644064A0644002006450633062A062E062F06450020004100630072006F006200610074061B0020064A06450643064600200641062A062D00200648062B0627062606420020005000440046002006270644064506460634062306290020062806270633062A062E062F062706450020004100630072006F0062006100740020064800410064006F006200650020005200650061006400650072002006250635062F0627063100200035002E0030002006480627064406250635062F062706310627062A0020062706440623062D062F062B002Egt BGR ltFEFF04180437043f043e043b043704320430043904420435002004420435043704380020043d0430044104420440043e0439043a0438002c00200437043000200434043000200441044a0437043404300432043004420435002000410064006f00620065002000500044004600200434043e043a0443043c0435043d044204380020043704300020043a0430044704350441044204320435043d0020043f04350447043004420020043d04300020043d043004410442043e043b043d04380020043f04400438043d04420435044004380020043800200443044104420440043e043904410442043204300020043704300020043f04350447043004420020043d04300020043f0440043e0431043d04380020044004300437043f0435044704300442043a0438002e002000200421044a04370434043004340435043d043804420435002000500044004600200434043e043a0443043c0435043d044204380020043c043e0433043004420020043404300020044104350020043e0442043204300440044f0442002004410020004100630072006f00620061007400200438002000410064006f00620065002000520065006100640065007200200035002e00300020043800200441043b0435043404320430044904380020043204350440044104380438002egt CHS ltFEFF4f7f75288fd94e9b8bbe5b9a521b5efa7684002000500044004600206587686353ef901a8fc7684c976262535370673a548c002000700072006f006f00660065007200208fdb884c9ad88d2891cf62535370300260a853ef4ee54f7f75280020004100630072006f0062006100740020548c002000410064006f00620065002000520065006100640065007200200035002e003000204ee553ca66f49ad87248672c676562535f00521b5efa768400200050004400460020658768633002gt CHT ltFEFF4f7f752890194e9b8a2d7f6e5efa7acb7684002000410064006f006200650020005000440046002065874ef653ef5728684c9762537088686a5f548c002000700072006f006f00660065007200204e0a73725f979ad854c18cea7684521753706548679c300260a853ef4ee54f7f75280020004100630072006f0062006100740020548c002000410064006f00620065002000520065006100640065007200200035002e003000204ee553ca66f49ad87248672c4f86958b555f5df25efa7acb76840020005000440046002065874ef63002gt CZE ltFEFF005400610074006f0020006e006100730074006100760065006e00ed00200070006f0075017e0069006a007400650020006b0020007600790074007600e101590065006e00ed00200064006f006b0075006d0065006e0074016f002000410064006f006200650020005000440046002000700072006f0020006b00760061006c00690074006e00ed0020007400690073006b0020006e0061002000730074006f006c006e00ed006300680020007400690073006b00e10072006e00e100630068002000610020006e00e1007400690073006b006f007600fd006300680020007a0061015900ed007a0065006e00ed00630068002e002000200056007900740076006f01590065006e00e900200064006f006b0075006d0065006e007400790020005000440046002000620075006400650020006d006f017e006e00e90020006f007400650076015900ed007400200076002000700072006f006700720061006d0065006300680020004100630072006f00620061007400200061002000410064006f00620065002000520065006100640065007200200035002e0030002000610020006e006f0076011b006a016100ed00630068002egt DAN ltFEFF004200720075006700200069006e0064007300740069006c006c0069006e006700650072006e0065002000740069006c0020006100740020006f007000720065007400740065002000410064006f006200650020005000440046002d0064006f006b0075006d0065006e007400650072002000740069006c0020006b00760061006c00690074006500740073007500640073006b007200690076006e0069006e006700200065006c006c006500720020006b006f007200720065006b007400750072006c00e60073006e0069006e0067002e0020004400650020006f007000720065007400740065006400650020005000440046002d0064006f006b0075006d0065006e0074006500720020006b0061006e002000e50062006e00650073002000690020004100630072006f00620061007400200065006c006c006500720020004100630072006f006200610074002000520065006100640065007200200035002e00300020006f00670020006e0079006500720065002egt DEU ltFEFF00560065007200770065006e00640065006e0020005300690065002000640069006500730065002000450069006e007300740065006c006c0075006e00670065006e0020007a0075006d002000450072007300740065006c006c0065006e00200076006f006e002000410064006f006200650020005000440046002d0044006f006b0075006d0065006e00740065006e002c00200076006f006e002000640065006e0065006e002000530069006500200068006f00630068007700650072007400690067006500200044007200750063006b006500200061007500660020004400650073006b0074006f0070002d0044007200750063006b00650072006e00200075006e0064002000500072006f006f0066002d00470065007200e400740065006e002000650072007a0065007500670065006e0020006d00f60063006800740065006e002e002000450072007300740065006c006c007400650020005000440046002d0044006f006b0075006d0065006e007400650020006b00f6006e006e0065006e0020006d006900740020004100630072006f00620061007400200075006e0064002000410064006f00620065002000520065006100640065007200200035002e00300020006f0064006500720020006800f600680065007200200067006500f600660066006e00650074002000770065007200640065006e002egt ESP ltFEFF005500740069006c0069006300650020006500730074006100200063006f006e0066006900670075007200610063006900f3006e0020007000610072006100200063007200650061007200200064006f00630075006d0065006e0074006f0073002000640065002000410064006f0062006500200050004400460020007000610072006100200063006f006e00730065006700750069007200200069006d0070007200650073006900f3006e002000640065002000630061006c006900640061006400200065006e00200069006d0070007200650073006f0072006100730020006400650020006500730063007200690074006f00720069006f00200079002000680065007200720061006d00690065006e00740061007300200064006500200063006f00720072006500630063006900f3006e002e002000530065002000700075006500640065006e00200061006200720069007200200064006f00630075006d0065006e0074006f00730020005000440046002000630072006500610064006f007300200063006f006e0020004100630072006f006200610074002c002000410064006f00620065002000520065006100640065007200200035002e003000200079002000760065007200730069006f006e0065007300200070006f00730074006500720069006f007200650073002egt ETI ltFEFF004b00610073007500740061006700650020006e0065006900640020007300e4007400740065006900640020006c006100750061002d0020006a00610020006b006f006e00740072006f006c006c007400f5006d006d006900730065007000720069006e0074006500720069007400650020006a0061006f006b00730020006b00760061006c006900740065006500740073006500740065002000410064006f006200650020005000440046002d0064006f006b0075006d0065006e00740069006400650020006c006f006f006d006900730065006b0073002e002e00200020004c006f006f0064007500640020005000440046002d0064006f006b0075006d0065006e00740065002000730061006100740065002000610076006100640061002000700072006f006700720061006d006d006900640065006700610020004100630072006f0062006100740020006e0069006e0067002000410064006f00620065002000520065006100640065007200200035002e00300020006a00610020007500750065006d006100740065002000760065007200730069006f006f006e00690064006500670061002e000d000agt FRA ltFEFF005500740069006c006900730065007a00200063006500730020006f007000740069006f006e00730020006100660069006e00200064006500200063007200e900650072002000640065007300200064006f00630075006d0065006e00740073002000410064006f00620065002000500044004600200070006f007500720020006400650073002000e90070007200650075007600650073002000650074002000640065007300200069006d007000720065007300730069006f006e00730020006400650020006800610075007400650020007100750061006c0069007400e90020007300750072002000640065007300200069006d007000720069006d0061006e0074006500730020006400650020006200750072006500610075002e0020004c0065007300200064006f00630075006d0065006e00740073002000500044004600200063007200e900e90073002000700065007500760065006e0074002000ea0074007200650020006f007500760065007200740073002000640061006e00730020004100630072006f006200610074002c002000610069006e00730069002000710075002700410064006f00620065002000520065006100640065007200200035002e0030002000650074002000760065007200730069006f006e007300200075006c007400e90072006900650075007200650073002egt GRE ltFEFF03a703c103b703c303b903bc03bf03c003bf03b903ae03c303c403b5002003b103c503c403ad03c2002003c403b903c2002003c103c503b803bc03af03c303b503b903c2002003b303b903b1002003bd03b1002003b403b703bc03b903bf03c503c103b303ae03c303b503c403b5002003ad03b303b303c103b103c603b1002000410064006f006200650020005000440046002003b303b903b1002003b503ba03c403cd03c003c903c303b7002003c003bf03b903cc03c403b703c403b103c2002003c303b5002003b503ba03c403c503c003c903c403ad03c2002003b303c103b103c603b503af03bf03c5002003ba03b103b9002003b403bf03ba03b903bc03b103c303c403ad03c2002e0020002003a403b10020005000440046002003ad03b303b303c103b103c603b1002003c003bf03c5002003ad03c703b503c403b5002003b403b703bc03b903bf03c503c103b303ae03c303b503b9002003bc03c003bf03c103bf03cd03bd002003bd03b1002003b103bd03bf03b903c703c403bf03cd03bd002003bc03b5002003c403bf0020004100630072006f006200610074002c002003c403bf002000410064006f006200650020005200650061006400650072002000200035002e0030002003ba03b103b9002003bc03b503c403b103b303b503bd03ad03c303c403b503c103b503c2002003b503ba03b403cc03c303b503b903c2002egt HEB ltFEFF05D405E905EA05DE05E905D5002005D105D405D205D305E805D505EA002005D005DC05D4002005DB05D305D9002005DC05D905E605D505E8002005DE05E105DE05DB05D9002000410064006F006200650020005000440046002005E205D105D505E8002005D405D305E405E105D4002005D005D905DB05D505EA05D905EA002005D105DE05D305E405E105D505EA002005E905D505DC05D705E005D905D505EA002005D505DB05DC05D9002005D405D205D405D4002E002005DE05E105DE05DB05D9002005D4002D005000440046002005E905E005D505E605E805D905DD002005E005D905EA05E005D905DD002005DC05E405EA05D905D705D4002005D105D005DE05E605E205D505EA0020004100630072006F006200610074002005D5002D00410064006F00620065002000520065006100640065007200200035002E0030002005D505D205E805E105D005D505EA002005DE05EA05E705D305DE05D505EA002005D905D505EA05E8002Egt HRV ltFEFF005a00610020007300740076006100720061006e006a0065002000410064006f00620065002000500044004600200064006f006b0075006d0065006e0061007400610020007a00610020006b00760061006c00690074006500740061006e0020006900730070006900730020006e006100200070006900730061010d0069006d006100200069006c0069002000700072006f006f006600650072002000750072006501110061006a0069006d0061002e00200020005300740076006f00720065006e0069002000500044004600200064006f006b0075006d0065006e007400690020006d006f006700750020007300650020006f00740076006f00720069007400690020004100630072006f00620061007400200069002000410064006f00620065002000520065006100640065007200200035002e0030002000690020006b00610073006e0069006a0069006d0020007600650072007a0069006a0061006d0061002egt HUN ltFEFF004d0069006e0151007300e9006700690020006e0079006f006d00610074006f006b0020006b00e90073007a00ed007400e9007300e900680065007a002000610073007a00740061006c00690020006e0079006f006d00740061007400f3006b006f006e002000e9007300200070007200f300620061006e0079006f006d00f3006b006f006e00200065007a0065006b006b0065006c0020006100200062006500e1006c006c00ed007400e10073006f006b006b0061006c002c00200068006f007a007a006f006e0020006c00e9007400720065002000410064006f00620065002000500044004600200064006f006b0075006d0065006e00740075006d006f006b00610074002e0020002000410020006c00e90074007200650068006f007a006f00740074002000500044004600200064006f006b0075006d0065006e00740075006d006f006b00200061007a0020004100630072006f006200610074002c00200061007a002000410064006f00620065002000520065006100640065007200200035002e0030002000e9007300200061007a002000610074007400f3006c0020006b00e9007301510062006200690020007600650072007a006900f3006b006b0061006c00200020006e00790069007400680061007400f3006b0020006d00650067002egt ITA ltFEFF005500740069006c0069007a007a006100720065002000710075006500730074006500200069006d0070006f007300740061007a0069006f006e00690020007000650072002000630072006500610072006500200064006f00630075006d0065006e00740069002000410064006f006200650020005000440046002000700065007200200075006e00610020007300740061006d007000610020006400690020007100750061006c0069007400e00020007300750020007300740061006d00700061006e0074006900200065002000700072006f006f0066006500720020006400650073006b0074006f0070002e0020004900200064006f00630075006d0065006e007400690020005000440046002000630072006500610074006900200070006f00730073006f006e006f0020006500730073006500720065002000610070006500720074006900200063006f006e0020004100630072006f00620061007400200065002000410064006f00620065002000520065006100640065007200200035002e003000200065002000760065007200730069006f006e006900200073007500630063006500730073006900760065002egt JPN ltFEFF9ad854c18cea51fa529b7528002000410064006f0062006500200050004400460020658766f8306e4f5c6210306b4f7f75283057307e30593002537052376642306e753b8cea3092670059279650306b4fdd306430533068304c3067304d307e3059300230c730b930af30c830c330d730d730ea30f330bf3067306e53705237307e305f306f30d730eb30fc30d57528306b9069305730663044307e305930023053306e8a2d5b9a30674f5c62103055308c305f0020005000440046002030d530a130a430eb306f3001004100630072006f0062006100740020304a30883073002000410064006f00620065002000520065006100640065007200200035002e003000204ee5964d3067958b304f30533068304c3067304d307e30593002gt KOR ltFEFFc7740020c124c815c7440020c0acc6a9d558c5ec0020b370c2a4d06cd0d10020d504b9b0d1300020bc0f0020ad50c815ae30c5d0c11c0020ace0d488c9c8b85c0020c778c1c4d560002000410064006f0062006500200050004400460020bb38c11cb97c0020c791c131d569b2c8b2e4002e0020c774b807ac8c0020c791c131b41c00200050004400460020bb38c11cb2940020004100630072006f0062006100740020bc0f002000410064006f00620065002000520065006100640065007200200035002e00300020c774c0c1c5d0c11c0020c5f40020c2180020c788c2b5b2c8b2e4002egt LTH ltFEFF004e006100750064006f006b0069007400650020016100690075006f007300200070006100720061006d006500740072007500730020006e006f0072011700640061006d00690020006b0075007200740069002000410064006f00620065002000500044004600200064006f006b0075006d0065006e007400750073002c0020006b007500720069006500200073006b00690072007400690020006b006f006b0079006200690161006b0061006900200073007000610075007300640069006e007400690020007300740061006c0069006e0069006100690073002000690072002000620061006e00640079006d006f00200073007000610075007300640069006e007400750076006100690073002e0020002000530075006b0075007200740069002000500044004600200064006f006b0075006d0065006e007400610069002000670061006c006900200062016b007400690020006100740069006400610072006f006d00690020004100630072006f006200610074002000690072002000410064006f00620065002000520065006100640065007200200035002e0030002000610072002000760117006c00650073006e0117006d00690073002000760065007200730069006a006f006d00690073002egt LVI ltFEFF0049007a006d0061006e0074006f006a00690065007400200161006f00730020006900650073007400610074012b006a0075006d00750073002c0020006c0061006900200069007a0076006500690064006f00740075002000410064006f00620065002000500044004600200064006f006b0075006d0065006e0074007500730020006b00760061006c0069007400610074012b0076006100690020006400720075006b010101610061006e00610069002000610072002000670061006c006400610020007000720069006e00740065007200690065006d00200075006e0020007000610072006100750067006e006f00760069006c006b0075006d0075002000690065007300700069006500640113006a00690065006d002e00200049007a0076006500690064006f006a006900650074002000500044004600200064006f006b0075006d0065006e007400750073002c0020006b006f002000760061007200200061007400760113007200740020006100720020004100630072006f00620061007400200075006e002000410064006f00620065002000520065006100640065007200200035002e0030002c0020006b0101002000610072012b00200074006f0020006a00610075006e0101006b0101006d002000760065007200730069006a0101006d002egt NLD (Gebruik deze instellingen om Adobe PDF-documenten te maken voor kwaliteitsafdrukken op desktopprinters en proofers De gemaakte PDF-documenten kunnen worden geopend met Acrobat en Adobe Reader 50 en hoger) NOR ltFEFF004200720075006b00200064006900730073006500200069006e006e007300740069006c006c0069006e00670065006e0065002000740069006c002000e50020006f0070007000720065007400740065002000410064006f006200650020005000440046002d0064006f006b0075006d0065006e00740065007200200066006f00720020007500740073006b00720069006600740020006100760020006800f800790020006b00760061006c00690074006500740020007000e500200062006f007200640073006b0072006900760065007200200065006c006c00650072002000700072006f006f006600650072002e0020005000440046002d0064006f006b0075006d0065006e00740065006e00650020006b0061006e002000e50070006e00650073002000690020004100630072006f00620061007400200065006c006c00650072002000410064006f00620065002000520065006100640065007200200035002e003000200065006c006c00650072002000730065006e006500720065002egt POL ltFEFF0055007300740061007700690065006e0069006100200064006f002000740077006f0072007a0065006e0069006100200064006f006b0075006d0065006e007400f3007700200050004400460020007a002000770079017c0073007a010500200072006f007a0064007a00690065006c0063007a006f015b0063006901050020006f006200720061007a006b00f30077002c0020007a0061007000650077006e00690061006a0105006301050020006c006500700073007a01050020006a0061006b006f015b0107002000770079006400720075006b00f30077002e00200044006f006b0075006d0065006e0074007900200050004400460020006d006f017c006e00610020006f007400770069006500720061010700200077002000700072006f006700720061006d006900650020004100630072006f00620061007400200069002000410064006f00620065002000520065006100640065007200200035002e0030002000690020006e006f00770073007a0079006d002egt PTB ltFEFF005500740069006c0069007a006500200065007300730061007300200063006f006e00660069006700750072006100e700f50065007300200064006500200066006f0072006d00610020006100200063007200690061007200200064006f00630075006d0065006e0074006f0073002000410064006f0062006500200050004400460020007000610072006100200069006d0070007200650073007300f5006500730020006400650020007100750061006c0069006400610064006500200065006d00200069006d00700072006500730073006f0072006100730020006400650073006b0074006f00700020006500200064006900730070006f00730069007400690076006f0073002000640065002000700072006f00760061002e0020004f007300200064006f00630075006d0065006e0074006f00730020005000440046002000630072006900610064006f007300200070006f00640065006d0020007300650072002000610062006500720074006f007300200063006f006d0020006f0020004100630072006f006200610074002000650020006f002000410064006f00620065002000520065006100640065007200200035002e0030002000650020007600650072007300f50065007300200070006f00730074006500720069006f007200650073002egt RUM ltFEFF005500740069006c0069007a00610163006900200061006300650073007400650020007300650074010300720069002000700065006e007400720075002000610020006300720065006100200064006f00630075006d0065006e00740065002000410064006f006200650020005000440046002000700065006e007400720075002000740069007001030072006900720065002000640065002000630061006c006900740061007400650020006c006100200069006d007000720069006d0061006e007400650020006400650073006b0074006f00700020015f0069002000700065006e0074007200750020007600650072006900660069006300610074006f00720069002e002000200044006f00630075006d0065006e00740065006c00650020005000440046002000630072006500610074006500200070006f00740020006600690020006400650073006300680069007300650020006300750020004100630072006f006200610074002c002000410064006f00620065002000520065006100640065007200200035002e00300020015f00690020007600650072007300690075006e0069006c006500200075006c0074006500720069006f006100720065002egt RUS ltFEFF04180441043f043e043b044c04370443043904420435002004340430043d043d044b04350020043d0430044104420440043e0439043a043800200434043b044f00200441043e043704340430043d0438044f00200434043e043a0443043c0435043d0442043e0432002000410064006f006200650020005000440046002c0020043f044004350434043d04300437043d043004470435043d043d044b044500200434043b044f0020043a0430044704350441044204320435043d043d043e04390020043f043504470430044204380020043d04300020043d043004410442043e043b044c043d044b04450020043f04400438043d044204350440043004450020043800200443044104420440043e04390441044204320430044500200434043b044f0020043f043e043b044304470435043d0438044f0020043f0440043e0431043d044b04450020043e0442044204380441043a043e0432002e002000200421043e043704340430043d043d044b04350020005000440046002d0434043e043a0443043c0435043d0442044b0020043c043e0436043d043e00200020043e0442043a0440044b043204300442044c002004410020043f043e043c043e0449044c044e0020004100630072006f00620061007400200438002000410064006f00620065002000520065006100640065007200200035002e00300020043800200431043e043b043504350020043f043e04370434043d043804450020043204350440044104380439002egt SKY ltFEFF0054006900650074006f0020006e006100730074006100760065006e0069006100200070006f0075017e0069007400650020006e00610020007600790074007600e100720061006e0069006500200064006f006b0075006d0065006e0074006f0076002000410064006f00620065002000500044004600200070007200650020006b00760061006c00690074006e00fa00200074006c0061010d0020006e0061002000730074006f006c006e00fd0063006800200074006c0061010d00690061007201480061006300680020006100200074006c0061010d006f007600fd006300680020007a006100720069006100640065006e0069006100630068002e00200056007900740076006f00720065006e00e900200064006f006b0075006d0065006e007400790020005000440046002000620075006400650020006d006f017e006e00e90020006f00740076006f00720069016500200076002000700072006f006700720061006d006f006300680020004100630072006f00620061007400200061002000410064006f00620065002000520065006100640065007200200035002e0030002000610020006e006f0076016100ed00630068002e000d000agt SLV ltFEFF005400650020006e006100730074006100760069007400760065002000750070006f0072006100620069007400650020007a00610020007500730074007600610072006a0061006e006a006500200064006f006b0075006d0065006e0074006f0076002000410064006f0062006500200050004400460020007a00610020006b0061006b006f0076006f00730074006e006f0020007400690073006b0061006e006a00650020006e00610020006e0061006d0069007a006e006900680020007400690073006b0061006c006e0069006b0069006800200069006e0020007000720065007600650072006a0061006c006e0069006b00690068002e00200020005500730074007600610072006a0065006e006500200064006f006b0075006d0065006e0074006500200050004400460020006a00650020006d006f0067006f010d00650020006f0064007000720065007400690020007a0020004100630072006f00620061007400200069006e002000410064006f00620065002000520065006100640065007200200035002e003000200069006e0020006e006f00760065006a01610069006d002egt SUO ltFEFF004b00e40079007400e40020006e00e40069007400e4002000610073006500740075006b007300690061002c0020006b0075006e0020006c0075006f0074002000410064006f0062006500200050004400460020002d0064006f006b0075006d0065006e007400740065006a00610020006c0061006100640075006b006100730074006100200074007900f6007000f60079007400e400740075006c006f0073007400750073007400610020006a00610020007600650064006f007300740075007300740061002000760061007200740065006e002e00200020004c0075006f0064007500740020005000440046002d0064006f006b0075006d0065006e00740069007400200076006f0069006400610061006e0020006100760061007400610020004100630072006f0062006100740069006c006c00610020006a0061002000410064006f00620065002000520065006100640065007200200035002e0030003a006c006c00610020006a006100200075007500640065006d006d0069006c006c0061002egt SVE ltFEFF0041006e007600e4006e00640020006400650020006800e4007200200069006e0073007400e4006c006c006e0069006e006700610072006e00610020006f006d002000640075002000760069006c006c00200073006b006100700061002000410064006f006200650020005000440046002d0064006f006b0075006d0065006e00740020006600f600720020006b00760061006c00690074006500740073007500740073006b0072006900660074006500720020007000e5002000760061006e006c00690067006100200073006b0072006900760061007200650020006f006300680020006600f600720020006b006f007200720065006b007400750072002e002000200053006b006100700061006400650020005000440046002d0064006f006b0075006d0065006e00740020006b0061006e002000f600700070006e00610073002000690020004100630072006f0062006100740020006f00630068002000410064006f00620065002000520065006100640065007200200035002e00300020006f00630068002000730065006e006100720065002egt TUR ltFEFF004d00610073006100fc0073007400fc002000790061007a013100630131006c006100720020007600650020006200610073006b01310020006d0061006b0069006e0065006c006500720069006e006400650020006b0061006c006900740065006c00690020006200610073006b013100200061006d0061006301310079006c0061002000410064006f006200650020005000440046002000620065006c00670065006c0065007200690020006f006c0075015f007400750072006d0061006b0020006900e70069006e00200062007500200061007900610072006c0061007201310020006b0075006c006c0061006e0131006e002e00200020004f006c0075015f0074007500720075006c0061006e0020005000440046002000620065006c00670065006c0065007200690020004100630072006f006200610074002000760065002000410064006f00620065002000520065006100640065007200200035002e003000200076006500200073006f006e0072006100730131006e00640061006b00690020007300fc007200fc006d006c00650072006c00650020006100e70131006c006100620069006c00690072002egt UKR ltFEFF04120438043a043e0440043804410442043e043204430439044204350020044604560020043f043004400430043c043504420440043800200434043b044f0020044104420432043e04400435043d043d044f00200434043e043a0443043c0435043d044204560432002000410064006f006200650020005000440046002c0020044f043a04560020043d04300439043a04400430044904350020043f045604340445043e0434044f0442044c00200434043b044f0020043204380441043e043a043e044f043a04560441043d043e0433043e0020043404400443043a04430020043d04300020043d0430044104420456043b044c043d043804450020043f04400438043d044204350440043004450020044204300020043f04400438044104420440043e044f044500200434043b044f0020043e044204400438043c0430043d043d044f0020043f0440043e0431043d0438044500200437043e04310440043004360435043d044c002e00200020042104420432043e04400435043d045600200434043e043a0443043c0435043d0442043800200050004400460020043c043e0436043d04300020043204560434043a0440043804420438002004430020004100630072006f006200610074002004420430002000410064006f00620065002000520065006100640065007200200035002e0030002004300431043e0020043f04560437043d04560448043e04570020043204350440044104560457002egt ENU ltFEFF005500730065002000740068006500730065002000730065007400740069006e0067007300200074006f0020006300720065006100740065002000410064006f0062006500200050004400460020006200610073006500640020006f006e0020007400680065002000640065006600610075006c0074002000580069006c0069006e007800200070006100720061006d0065007400650072002000730065007400740069006e0067007300200064006500660069006e00650064002000620079002000500053003000300034002e002000460069006c0065006e0061006d0065003a002000780069006c0069006e0078002e006a006f0062006f007000740069006f006e0073002e000d0043006f006d007000610074006100620069006c006900740079003a0020004100630072006f00620061007400200037002e0030002000280050004400460031002e00360029002e0020005500730065003a00200046006f00720020006300720065006100740069006e0067002000460069006e0061006c002c0020005000750062006c0069007300680065006400200050004400460073002e000d0043007200650061007400650064002000310030002f00310032002f003100310020006200790020006a0066006f007200730074002egt gtgt Namespace [ (Adobe) (Common) (10) ] OtherNamespaces [ ltlt AsReaderSpreads false CropImagesToFrames true ErrorControl WarnAndContinue FlattenerIgnoreSpreadOverrides false IncludeGuidesGrids false IncludeNonPrinting false IncludeSlug false Namespace [ (Adobe) (InDesign) (40) ] OmitPlacedBitmaps false OmitPlacedEPS false OmitPlacedPDF false SimulateOverprint Legacy gtgt ltlt AddBleedMarks false AddColorBars false AddCropMarks false AddPageInfo false AddRegMarks false ConvertColors NoConversion DestinationProfileName () DestinationProfileSelector NA Downsample16BitImages true FlattenerPreset ltlt PresetSelector MediumResolution gtgt FormElements false GenerateStructure true IncludeBookmarks false IncludeHyperlinks false IncludeInteractive false IncludeLayers false IncludeProfiles true MultimediaHandling UseObjectSettings Namespace [ (Adobe) (CreativeSuite) (20) ] PDFXOutputIntentProfileSelector NA PreserveEditing true UntaggedCMYKHandling LeaveUntagged UntaggedRGBHandling LeaveUntagged UseDocumentBleed false gtgt ]gtgt setdistillerparamsltlt HWResolution [1200 1200] PageSize [612000 792000]gtgt setpagedevice

Page 27: Xilinx UG883 Kintex-7 FPGA KC705 Evaluation Kit Getting ...€¦ · Updated step 2 and step 3 in Requirements to Get Started, page 14. ... KC705 Getting Started Guide 9 UG883 (v3.0)

KC705 Getting Started Guide wwwxilinxcom 27UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

2 To start data traffic on the two data paths

a Click Start Test on Raw Data Path0 as shown in Figure 20 This enables the driver to start generating the data for Raw Data Path0

b Click Start Test on Raw Data Path1 as shown in Figure 20 This enables the driver to start generating the data for Raw Data Path1

Table 3 KC705 Board Status Field Explanations

Field Status Explanation

Link Status Up This confirms that the PCIe link is up and a PCIe connection is established between the Kintex-7 FPGA Endpoint for PCI Express and the PC motherboard chipset

Link Speed 50 Gbs This confirms that the PCIe link is operating at line rate speed per PCI Express v20

Link Width x4 This confirms that the PCIe link is trained as a x4 link

28 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

3 Verify TRD operations through the status information provided by the GUI (see Figure 21)

a Verify the PCIe throughput

b Verify the DMA channel throughput for the Raw Data Path0

c Verify the DMA channel throughput for the Raw Data Path1

d Verify there are no buffer descriptor errors for error-free operation

X-Ref Target - Figure 20

Figure 20 Start Data Traffic from the Performance Monitor

UG883_20_121112

KC705 Getting Started Guide wwwxilinxcom 29UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

The Kintex-7 FPGA PCIe-DMA TRD is now set up and running Close the Application GUI to unload the software drivers and stop traffic flow

X-Ref Target - Figure 21

Figure 21 Verify Error-Free Operation in the Performance Monitor

UG883_21_121112

30 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

Evaluating the Kintex-7 FPGA Base TRDThe Kintex-7 FPGA Base TRD provides a Performance and Status monitor application and GUI The application enables customers to evaluate different system parameters This section demonstrates performance variances for the PCI Express and DMA interfaces based on the parameters set

To evaluate the Kintex-7 FPGA Base TRD

1 Launch the Performance Monitor for the Kintex-7 FPGA Base TRD

a Navigate to the k7_pcie_dma_ddr3_base folder

b Double-click k7_lin_trd_quickstart (Figure 22)mdashmake sure that the script has executable permissionmdashto launch the Performance Monitor and Status GUI

c A window prompt appears as shown in Figure 23 Click Run in Terminal to proceed

2 Set up the test parameters in the Performance Monitor

a Two data paths are available Raw Data Path0 and Raw Data Path1 On each path set the Packet Size to a value between 64 ndash 32768 bytes

X-Ref Target - Figure 22

Figure 22 Launch the Performance Monitor and Status GUI

UG883_22_121112

X-Ref Target - Figure 23

Figure 23 Run k7_lin_trd_quickstart

UG883_23_121112

KC705 Getting Started Guide wwwxilinxcom 31UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

3 Execute the test and view payload statistics in the Performance and Status Monitor (see Figure 24)

a Click Start Test to start the performance test

b Click the Payload Statistics tab to view data transfers on the DMA channels

c Click Stop Test to stop data traffic

d Vary the Packet Size parameters for the Raw Data Paths (see Figure 25) and click Start Test Then view the payload statistics to review data transfer rate on the DMA channels With a decrease in packet size the performance drops

Note Before changing packet size click Stop Test change the size and then click Start Test

X-Ref Target - Figure 24

Figure 24 Payload Statistics

UG883_24_121112

32 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

Note For packet sizes equal to 64 or 128 bytes the throughput is reduced and might not be visible on the Payload Statistics tab The exact values can be viewed on the System Status tab

4 Click the PCIe Statistics tab to view data transfer numbers with varying packet sizes on the PCIe interface (Figure 26)

X-Ref Target - Figure 25

Figure 25 Effect of Varying Packet Sizes on Performance

UG883_25_121112

KC705 Getting Started Guide wwwxilinxcom 33UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

The system performance of the Kintex-7 FPGA Base TRD has now been evaluated using the pre-built demonstration design bit file

Now that the Kintex-7 FPGA Base TRD demonstration has been set up and evaluated the design can be modified Before the design can be modified make sure to install the Vivado Design Suite on a PC It is not required that tools be installed on the PC system in which the KC705 evaluation board is plugged in by way of the PCIe edge connector

X-Ref Target - Figure 26

Figure 26 PCIe Statistics in the Performance Monitor

UG883_26_121112

34 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

KC705 Getting Started Guide wwwxilinxcom 35UG883 (v30) April 16 2013

Appendix A

Additional Resources

Xilinx ResourcesFor support resources such as Answers Documentation Downloads and Forums see the Xilinx Support website at

wwwxilinxcomsupport

For continual updates add the Answer Record to your myAlerts

wwwxilinxcomsupportmyalerts

For a glossary of technical terms used in Xilinx documentation see

wwwxilinxcomcompanytermshtm

Solution CentersSee the Xilinx Solution Centers for support on devices software tools and intellectual property at all stages of the design cycle Topics include design assistance advisories and troubleshooting tips

Further ResourcesThe most up to date information related to the KC705 board and its documentation is available on the following websites

The Kintex-7 FPGA KC705 Evaluation Kit Product Page

wwwxilinxcomkc705

The Kintex-7 FPGA KC705 Evaluation Kit Master Answer Record

wwwxilinxcomsupportanswers47787htm

Design advisories by software release for the Kintex-7 FPGA KC705 Evaluation Kit

wwwxilinxcomsupportnav=sd-nav-link-179661amptab=tab-bk

Kintex-7 FPGA Base Targeted Reference Design Release Notes and Known Issues Master Answer Record

wwwxilinxcomsupportanswers45679htm

These documents provide supplemental material useful with this user guide

UG810 KC705 Evaluation Board for the Kintex-7 FPGA User Guide

UG845 KC705 Reference Design User Guide

36 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Appendix A Additional Resources

UG798 Xilinx Design Tools Installation and Licensing Guide Vivado Design Suite and ISE Design Suite

UG908 Vivado Design Suite User Guide Programming and Debugging

UG882 Kintex-7 FPGA KC705 Base Targeted Reference Design User Guide (Vivado Design Suite 20124)

UG886 AMS101 Evaluation Card User Guide

UG477 7 Series FPGAs Integrated Block for PCI Express User Guide

ReferencesThe following websites provide supplemental material useful with this guide

1 LabVIEW 32-bit Run-Time Enginehttpjoulenicomniducdsviewpid2534langen

2 LabVIEW 64-bit Run-Time Engine httpjoulenicomniducdsviewpid2536langen

3 Tera Term Pro program httphpvectorcojpauthorsVA002416teratermhtml

4 Drivers on the Silicon Labs site httpwwwsilabscomSupport20DocumentsSoftwareCP210x_VCP_Win_XP_S2K3_Vista_7exe

5 Northwest Logic DMA back end core httpwwwnwlogiccompacketdma

6 Fedora project httpfedoraprojectorg

Fedora is a Linux-based operating system used in the development of this TRD

7 The GTK+ project API documentation httpwwwgtkorgdocumentationphp

GTK+ is a toolkit for creating graphical user interfaces (GUI)

KC705 Getting Started Guide wwwxilinxcom 37UG883 (v30) April 16 2013

Appendix B

Warranty

THIS LIMITED WARRANTY applies solely to standard hardware development boards and standard hardware programming cables manufactured by or on behalf of Xilinx (ldquoDevelopment Systemsrdquo) Subject to the limitations herein Xilinx warrants that Development Systems when delivered by Xilinx or its authorized distributor for ninety (90) days following the delivery date will be free from defects in material and workmanship and will substantially conform to Xilinx publicly available specifications for such products in effect at the time of delivery This limited warranty excludes (i) engineering samples or beta versions of Development Systems (which are provided ldquoAS ISrdquo without warranty) (ii) design defects or errors known as ldquoerratardquo (iii) Development Systems procured through unauthorized third parties and (iv) Development Systems that have been subject to misuse mishandling accident alteration neglect unauthorized repair or installation Furthermore this limited warranty shall not apply to the use of covered products in an application or environment that is not within Xilinx specifications or in the event of any act error neglect or default of Customer For any breach by Xilinx of this limited warranty the exclusive remedy of Customer and the sole liability of Xilinx shall be at the option of Xilinx to replace or repair the affected products or to refund to Customer the price of the affected products The availability of replacement products is subject to product discontinuation policies at Xilinx Customer may not return product without first obtaining a customer return material authorization (RMA) number from XilinxTHE WARRANTIES SET FORTH HEREIN ARE EXCLUSIVE XILINX DISCLAIMS ALL OTHER WARRANTIES WHETHER EXPRESS IMPLIED OR STATUTORY INCLUDING WITHOUT LIMITATION ANY WARRANTY OF MERCHANTABILITY FITNESS FOR A PARTICULAR PURPOSE OR NON-INFRINGEMENT AND ANY WARRANTY THAT MAY ARISE FROM COURSE OF DEALING COURSE OF PERFORMANCE OR USAGE OF TRADE (200810)

Do not throw Xilinx products marked with the ldquocrossed out wheeled binrdquo in the trash Directive 200296EC on waste electrical and electronic equipment (WEEE) requires the separate collection of WEEE Your cooperation is essential in ensuring the proper management of WEEE and the protection of the environment and human health from potential effects arising from the presence of hazardous substances in WEEE Return the marked products to Xilinx for proper disposal Further information and instructions for free-of-charge return available at httpwwwxilinxcomehsweeehtm

38 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Appendix B Warranty

  • Kintex-7 FPGA KC705 Evaluation Kit (Vivado Design Suite 20131)
    • Revision History
    • Table of Contents
    • Getting Started with the Kintex-7 FPGA KC705 Evaluation Kit (Vivado Design Suite 20131)
      • Introduction
      • Kit Contents
      • Basic Hardware Bring-up Using the BIST
        • Hardware Test Setup Requirements
          • Hardware Test Board Setup Requirements
            • Hardware Bring-Up
            • Run the BIST Application
              • AMS Bring-up with the AMS101 Evaluation Card
                • Requirements to Get Started
                • Evaluating AMS
                  • Advanced Bring-up Using the Base Targeted Reference Design
                    • Components
                    • Hardware Test Setup Requirements
                    • TRD Demonstration Setup
                    • Evaluating the Kintex-7 FPGA Base TRD
                        • Additional Resources
                          • Xilinx Resources
                          • Solution Centers
                          • Further Resources
                          • References
                            • Warranty
                                • ltlt ASCII85EncodePages false AllowTransparency false AutoPositionEPSFiles true AutoRotatePages All Binding Left CalGrayProfile (Dot Gain 20) CalRGBProfile (sRGB IEC61966-21) CalCMYKProfile (US Web Coated 050SWOP051 v2) sRGBProfile (sRGB IEC61966-21) CannotEmbedFontPolicy Warning CompatibilityLevel 16 CompressObjects Tags CompressPages true ConvertImagesToIndexed true PassThroughJPEGImages true CreateJobTicket false DefaultRenderingIntent Default DetectBlends true DetectCurves 00000 ColorConversionStrategy LeaveColorUnchanged DoThumbnails false EmbedAllFonts true EmbedOpenType false ParseICCProfilesInComments true EmbedJobOptions true DSCReportingLevel 0 EmitDSCWarnings false EndPage -1 ImageMemory 1048576 LockDistillerParams true MaxSubsetPct 100 Optimize true OPM 1 ParseDSCComments true ParseDSCCommentsForDocInfo true PreserveCopyPage true PreserveDICMYKValues true PreserveEPSInfo true PreserveFlatness true PreserveHalftoneInfo false PreserveOPIComments false PreserveOverprintSettings true StartPage 1 SubsetFonts true TransferFunctionInfo Apply UCRandBGInfo Preserve UsePrologue false ColorSettingsFile () AlwaysEmbed [ true ] NeverEmbed [ true ] AntiAliasColorImages false CropColorImages true ColorImageMinResolution 300 ColorImageMinResolutionPolicy OK DownsampleColorImages false ColorImageDownsampleType Bicubic ColorImageResolution 300 ColorImageDepth -1 ColorImageMinDownsampleDepth 1 ColorImageDownsampleThreshold 150000 EncodeColorImages true ColorImageFilter DCTEncode AutoFilterColorImages true ColorImageAutoFilterStrategy JPEG ColorACSImageDict ltlt QFactor 040 HSamples [1 1 1 1] VSamples [1 1 1 1] gtgt ColorImageDict ltlt QFactor 015 HSamples [1 1 1 1] VSamples [1 1 1 1] gtgt JPEG2000ColorACSImageDict ltlt TileWidth 256 TileHeight 256 Quality 30 gtgt JPEG2000ColorImageDict ltlt TileWidth 256 TileHeight 256 Quality 30 gtgt AntiAliasGrayImages false CropGrayImages true GrayImageMinResolution 300 GrayImageMinResolutionPolicy OK DownsampleGrayImages false GrayImageDownsampleType Bicubic GrayImageResolution 300 GrayImageDepth -1 GrayImageMinDownsampleDepth 2 GrayImageDownsampleThreshold 150000 EncodeGrayImages true GrayImageFilter DCTEncode AutoFilterGrayImages true GrayImageAutoFilterStrategy JPEG GrayACSImageDict ltlt QFactor 040 HSamples [1 1 1 1] VSamples [1 1 1 1] gtgt GrayImageDict ltlt QFactor 015 HSamples [1 1 1 1] VSamples [1 1 1 1] gtgt JPEG2000GrayACSImageDict ltlt TileWidth 256 TileHeight 256 Quality 30 gtgt JPEG2000GrayImageDict ltlt TileWidth 256 TileHeight 256 Quality 30 gtgt AntiAliasMonoImages false CropMonoImages true MonoImageMinResolution 1200 MonoImageMinResolutionPolicy OK DownsampleMonoImages false MonoImageDownsampleType Bicubic MonoImageResolution 1200 MonoImageDepth -1 MonoImageDownsampleThreshold 150000 EncodeMonoImages true MonoImageFilter CCITTFaxEncode MonoImageDict ltlt K -1 gtgt AllowPSXObjects false CheckCompliance [ None ] PDFX1aCheck false PDFX3Check false PDFXCompliantPDFOnly false PDFXNoTrimBoxError true PDFXTrimBoxToMediaBoxOffset [ 000000 000000 000000 000000 ] PDFXSetBleedBoxToMediaBox true PDFXBleedBoxToTrimBoxOffset [ 000000 000000 000000 000000 ] PDFXOutputIntentProfile (None) PDFXOutputConditionIdentifier () PDFXOutputCondition () PDFXRegistryName () PDFXTrapped False CreateJDFFile false Description ltlt ARA ltFEFF06270633062A062E062F0645002006470630064700200627064406250639062F0627062F0627062A002006440625064606340627062100200648062B062706260642002000410064006F00620065002000500044004600200645062A0648062706410642062900200644064406370628062706390629002006300627062A002006270644062C0648062F0629002006270644063906270644064A06290020064506460020062E06440627064400200627064406370627062806390627062A00200627064406450643062A0628064A062900200623064800200623062C06470632062900200625062C06310627062100200627064406280631064806410627062A061B0020064A06450643064600200641062A062D00200648062B0627062606420020005000440046002006270644064506460634062306290020062806270633062A062E062F062706450020004100630072006F0062006100740020064800410064006F006200650020005200650061006400650072002006250635062F0627063100200035002E0030002006480627064406250635062F062706310627062A0020062706440623062D062F062B002E0020064506390020005000440046002F0041060C0020062706440631062C062706210020064506310627062C063906290020062F0644064A0644002006450633062A062E062F06450020004100630072006F006200610074061B0020064A06450643064600200641062A062D00200648062B0627062606420020005000440046002006270644064506460634062306290020062806270633062A062E062F062706450020004100630072006F0062006100740020064800410064006F006200650020005200650061006400650072002006250635062F0627063100200035002E0030002006480627064406250635062F062706310627062A0020062706440623062D062F062B002Egt BGR ltFEFF04180437043f043e043b043704320430043904420435002004420435043704380020043d0430044104420440043e0439043a0438002c00200437043000200434043000200441044a0437043404300432043004420435002000410064006f00620065002000500044004600200434043e043a0443043c0435043d044204380020043704300020043a0430044704350441044204320435043d0020043f04350447043004420020043d04300020043d043004410442043e043b043d04380020043f04400438043d04420435044004380020043800200443044104420440043e043904410442043204300020043704300020043f04350447043004420020043d04300020043f0440043e0431043d04380020044004300437043f0435044704300442043a0438002e002000200421044a04370434043004340435043d043804420435002000500044004600200434043e043a0443043c0435043d044204380020043c043e0433043004420020043404300020044104350020043e0442043204300440044f0442002004410020004100630072006f00620061007400200438002000410064006f00620065002000520065006100640065007200200035002e00300020043800200441043b0435043404320430044904380020043204350440044104380438002egt CHS ltFEFF4f7f75288fd94e9b8bbe5b9a521b5efa7684002000500044004600206587686353ef901a8fc7684c976262535370673a548c002000700072006f006f00660065007200208fdb884c9ad88d2891cf62535370300260a853ef4ee54f7f75280020004100630072006f0062006100740020548c002000410064006f00620065002000520065006100640065007200200035002e003000204ee553ca66f49ad87248672c676562535f00521b5efa768400200050004400460020658768633002gt CHT ltFEFF4f7f752890194e9b8a2d7f6e5efa7acb7684002000410064006f006200650020005000440046002065874ef653ef5728684c9762537088686a5f548c002000700072006f006f00660065007200204e0a73725f979ad854c18cea7684521753706548679c300260a853ef4ee54f7f75280020004100630072006f0062006100740020548c002000410064006f00620065002000520065006100640065007200200035002e003000204ee553ca66f49ad87248672c4f86958b555f5df25efa7acb76840020005000440046002065874ef63002gt CZE ltFEFF005400610074006f0020006e006100730074006100760065006e00ed00200070006f0075017e0069006a007400650020006b0020007600790074007600e101590065006e00ed00200064006f006b0075006d0065006e0074016f002000410064006f006200650020005000440046002000700072006f0020006b00760061006c00690074006e00ed0020007400690073006b0020006e0061002000730074006f006c006e00ed006300680020007400690073006b00e10072006e00e100630068002000610020006e00e1007400690073006b006f007600fd006300680020007a0061015900ed007a0065006e00ed00630068002e002000200056007900740076006f01590065006e00e900200064006f006b0075006d0065006e007400790020005000440046002000620075006400650020006d006f017e006e00e90020006f007400650076015900ed007400200076002000700072006f006700720061006d0065006300680020004100630072006f00620061007400200061002000410064006f00620065002000520065006100640065007200200035002e0030002000610020006e006f0076011b006a016100ed00630068002egt DAN ltFEFF004200720075006700200069006e0064007300740069006c006c0069006e006700650072006e0065002000740069006c0020006100740020006f007000720065007400740065002000410064006f006200650020005000440046002d0064006f006b0075006d0065006e007400650072002000740069006c0020006b00760061006c00690074006500740073007500640073006b007200690076006e0069006e006700200065006c006c006500720020006b006f007200720065006b007400750072006c00e60073006e0069006e0067002e0020004400650020006f007000720065007400740065006400650020005000440046002d0064006f006b0075006d0065006e0074006500720020006b0061006e002000e50062006e00650073002000690020004100630072006f00620061007400200065006c006c006500720020004100630072006f006200610074002000520065006100640065007200200035002e00300020006f00670020006e0079006500720065002egt DEU ltFEFF00560065007200770065006e00640065006e0020005300690065002000640069006500730065002000450069006e007300740065006c006c0075006e00670065006e0020007a0075006d002000450072007300740065006c006c0065006e00200076006f006e002000410064006f006200650020005000440046002d0044006f006b0075006d0065006e00740065006e002c00200076006f006e002000640065006e0065006e002000530069006500200068006f00630068007700650072007400690067006500200044007200750063006b006500200061007500660020004400650073006b0074006f0070002d0044007200750063006b00650072006e00200075006e0064002000500072006f006f0066002d00470065007200e400740065006e002000650072007a0065007500670065006e0020006d00f60063006800740065006e002e002000450072007300740065006c006c007400650020005000440046002d0044006f006b0075006d0065006e007400650020006b00f6006e006e0065006e0020006d006900740020004100630072006f00620061007400200075006e0064002000410064006f00620065002000520065006100640065007200200035002e00300020006f0064006500720020006800f600680065007200200067006500f600660066006e00650074002000770065007200640065006e002egt ESP ltFEFF005500740069006c0069006300650020006500730074006100200063006f006e0066006900670075007200610063006900f3006e0020007000610072006100200063007200650061007200200064006f00630075006d0065006e0074006f0073002000640065002000410064006f0062006500200050004400460020007000610072006100200063006f006e00730065006700750069007200200069006d0070007200650073006900f3006e002000640065002000630061006c006900640061006400200065006e00200069006d0070007200650073006f0072006100730020006400650020006500730063007200690074006f00720069006f00200079002000680065007200720061006d00690065006e00740061007300200064006500200063006f00720072006500630063006900f3006e002e002000530065002000700075006500640065006e00200061006200720069007200200064006f00630075006d0065006e0074006f00730020005000440046002000630072006500610064006f007300200063006f006e0020004100630072006f006200610074002c002000410064006f00620065002000520065006100640065007200200035002e003000200079002000760065007200730069006f006e0065007300200070006f00730074006500720069006f007200650073002egt ETI ltFEFF004b00610073007500740061006700650020006e0065006900640020007300e4007400740065006900640020006c006100750061002d0020006a00610020006b006f006e00740072006f006c006c007400f5006d006d006900730065007000720069006e0074006500720069007400650020006a0061006f006b00730020006b00760061006c006900740065006500740073006500740065002000410064006f006200650020005000440046002d0064006f006b0075006d0065006e00740069006400650020006c006f006f006d006900730065006b0073002e002e00200020004c006f006f0064007500640020005000440046002d0064006f006b0075006d0065006e00740065002000730061006100740065002000610076006100640061002000700072006f006700720061006d006d006900640065006700610020004100630072006f0062006100740020006e0069006e0067002000410064006f00620065002000520065006100640065007200200035002e00300020006a00610020007500750065006d006100740065002000760065007200730069006f006f006e00690064006500670061002e000d000agt FRA ltFEFF005500740069006c006900730065007a00200063006500730020006f007000740069006f006e00730020006100660069006e00200064006500200063007200e900650072002000640065007300200064006f00630075006d0065006e00740073002000410064006f00620065002000500044004600200070006f007500720020006400650073002000e90070007200650075007600650073002000650074002000640065007300200069006d007000720065007300730069006f006e00730020006400650020006800610075007400650020007100750061006c0069007400e90020007300750072002000640065007300200069006d007000720069006d0061006e0074006500730020006400650020006200750072006500610075002e0020004c0065007300200064006f00630075006d0065006e00740073002000500044004600200063007200e900e90073002000700065007500760065006e0074002000ea0074007200650020006f007500760065007200740073002000640061006e00730020004100630072006f006200610074002c002000610069006e00730069002000710075002700410064006f00620065002000520065006100640065007200200035002e0030002000650074002000760065007200730069006f006e007300200075006c007400e90072006900650075007200650073002egt GRE ltFEFF03a703c103b703c303b903bc03bf03c003bf03b903ae03c303c403b5002003b103c503c403ad03c2002003c403b903c2002003c103c503b803bc03af03c303b503b903c2002003b303b903b1002003bd03b1002003b403b703bc03b903bf03c503c103b303ae03c303b503c403b5002003ad03b303b303c103b103c603b1002000410064006f006200650020005000440046002003b303b903b1002003b503ba03c403cd03c003c903c303b7002003c003bf03b903cc03c403b703c403b103c2002003c303b5002003b503ba03c403c503c003c903c403ad03c2002003b303c103b103c603b503af03bf03c5002003ba03b103b9002003b403bf03ba03b903bc03b103c303c403ad03c2002e0020002003a403b10020005000440046002003ad03b303b303c103b103c603b1002003c003bf03c5002003ad03c703b503c403b5002003b403b703bc03b903bf03c503c103b303ae03c303b503b9002003bc03c003bf03c103bf03cd03bd002003bd03b1002003b103bd03bf03b903c703c403bf03cd03bd002003bc03b5002003c403bf0020004100630072006f006200610074002c002003c403bf002000410064006f006200650020005200650061006400650072002000200035002e0030002003ba03b103b9002003bc03b503c403b103b303b503bd03ad03c303c403b503c103b503c2002003b503ba03b403cc03c303b503b903c2002egt HEB ltFEFF05D405E905EA05DE05E905D5002005D105D405D205D305E805D505EA002005D005DC05D4002005DB05D305D9002005DC05D905E605D505E8002005DE05E105DE05DB05D9002000410064006F006200650020005000440046002005E205D105D505E8002005D405D305E405E105D4002005D005D905DB05D505EA05D905EA002005D105DE05D305E405E105D505EA002005E905D505DC05D705E005D905D505EA002005D505DB05DC05D9002005D405D205D405D4002E002005DE05E105DE05DB05D9002005D4002D005000440046002005E905E005D505E605E805D905DD002005E005D905EA05E005D905DD002005DC05E405EA05D905D705D4002005D105D005DE05E605E205D505EA0020004100630072006F006200610074002005D5002D00410064006F00620065002000520065006100640065007200200035002E0030002005D505D205E805E105D005D505EA002005DE05EA05E705D305DE05D505EA002005D905D505EA05E8002Egt HRV ltFEFF005a00610020007300740076006100720061006e006a0065002000410064006f00620065002000500044004600200064006f006b0075006d0065006e0061007400610020007a00610020006b00760061006c00690074006500740061006e0020006900730070006900730020006e006100200070006900730061010d0069006d006100200069006c0069002000700072006f006f006600650072002000750072006501110061006a0069006d0061002e00200020005300740076006f00720065006e0069002000500044004600200064006f006b0075006d0065006e007400690020006d006f006700750020007300650020006f00740076006f00720069007400690020004100630072006f00620061007400200069002000410064006f00620065002000520065006100640065007200200035002e0030002000690020006b00610073006e0069006a0069006d0020007600650072007a0069006a0061006d0061002egt HUN ltFEFF004d0069006e0151007300e9006700690020006e0079006f006d00610074006f006b0020006b00e90073007a00ed007400e9007300e900680065007a002000610073007a00740061006c00690020006e0079006f006d00740061007400f3006b006f006e002000e9007300200070007200f300620061006e0079006f006d00f3006b006f006e00200065007a0065006b006b0065006c0020006100200062006500e1006c006c00ed007400e10073006f006b006b0061006c002c00200068006f007a007a006f006e0020006c00e9007400720065002000410064006f00620065002000500044004600200064006f006b0075006d0065006e00740075006d006f006b00610074002e0020002000410020006c00e90074007200650068006f007a006f00740074002000500044004600200064006f006b0075006d0065006e00740075006d006f006b00200061007a0020004100630072006f006200610074002c00200061007a002000410064006f00620065002000520065006100640065007200200035002e0030002000e9007300200061007a002000610074007400f3006c0020006b00e9007301510062006200690020007600650072007a006900f3006b006b0061006c00200020006e00790069007400680061007400f3006b0020006d00650067002egt ITA ltFEFF005500740069006c0069007a007a006100720065002000710075006500730074006500200069006d0070006f007300740061007a0069006f006e00690020007000650072002000630072006500610072006500200064006f00630075006d0065006e00740069002000410064006f006200650020005000440046002000700065007200200075006e00610020007300740061006d007000610020006400690020007100750061006c0069007400e00020007300750020007300740061006d00700061006e0074006900200065002000700072006f006f0066006500720020006400650073006b0074006f0070002e0020004900200064006f00630075006d0065006e007400690020005000440046002000630072006500610074006900200070006f00730073006f006e006f0020006500730073006500720065002000610070006500720074006900200063006f006e0020004100630072006f00620061007400200065002000410064006f00620065002000520065006100640065007200200035002e003000200065002000760065007200730069006f006e006900200073007500630063006500730073006900760065002egt JPN ltFEFF9ad854c18cea51fa529b7528002000410064006f0062006500200050004400460020658766f8306e4f5c6210306b4f7f75283057307e30593002537052376642306e753b8cea3092670059279650306b4fdd306430533068304c3067304d307e3059300230c730b930af30c830c330d730d730ea30f330bf3067306e53705237307e305f306f30d730eb30fc30d57528306b9069305730663044307e305930023053306e8a2d5b9a30674f5c62103055308c305f0020005000440046002030d530a130a430eb306f3001004100630072006f0062006100740020304a30883073002000410064006f00620065002000520065006100640065007200200035002e003000204ee5964d3067958b304f30533068304c3067304d307e30593002gt KOR ltFEFFc7740020c124c815c7440020c0acc6a9d558c5ec0020b370c2a4d06cd0d10020d504b9b0d1300020bc0f0020ad50c815ae30c5d0c11c0020ace0d488c9c8b85c0020c778c1c4d560002000410064006f0062006500200050004400460020bb38c11cb97c0020c791c131d569b2c8b2e4002e0020c774b807ac8c0020c791c131b41c00200050004400460020bb38c11cb2940020004100630072006f0062006100740020bc0f002000410064006f00620065002000520065006100640065007200200035002e00300020c774c0c1c5d0c11c0020c5f40020c2180020c788c2b5b2c8b2e4002egt LTH ltFEFF004e006100750064006f006b0069007400650020016100690075006f007300200070006100720061006d006500740072007500730020006e006f0072011700640061006d00690020006b0075007200740069002000410064006f00620065002000500044004600200064006f006b0075006d0065006e007400750073002c0020006b007500720069006500200073006b00690072007400690020006b006f006b0079006200690161006b0061006900200073007000610075007300640069006e007400690020007300740061006c0069006e0069006100690073002000690072002000620061006e00640079006d006f00200073007000610075007300640069006e007400750076006100690073002e0020002000530075006b0075007200740069002000500044004600200064006f006b0075006d0065006e007400610069002000670061006c006900200062016b007400690020006100740069006400610072006f006d00690020004100630072006f006200610074002000690072002000410064006f00620065002000520065006100640065007200200035002e0030002000610072002000760117006c00650073006e0117006d00690073002000760065007200730069006a006f006d00690073002egt LVI ltFEFF0049007a006d0061006e0074006f006a00690065007400200161006f00730020006900650073007400610074012b006a0075006d00750073002c0020006c0061006900200069007a0076006500690064006f00740075002000410064006f00620065002000500044004600200064006f006b0075006d0065006e0074007500730020006b00760061006c0069007400610074012b0076006100690020006400720075006b010101610061006e00610069002000610072002000670061006c006400610020007000720069006e00740065007200690065006d00200075006e0020007000610072006100750067006e006f00760069006c006b0075006d0075002000690065007300700069006500640113006a00690065006d002e00200049007a0076006500690064006f006a006900650074002000500044004600200064006f006b0075006d0065006e007400750073002c0020006b006f002000760061007200200061007400760113007200740020006100720020004100630072006f00620061007400200075006e002000410064006f00620065002000520065006100640065007200200035002e0030002c0020006b0101002000610072012b00200074006f0020006a00610075006e0101006b0101006d002000760065007200730069006a0101006d002egt NLD (Gebruik deze instellingen om Adobe PDF-documenten te maken voor kwaliteitsafdrukken op desktopprinters en proofers De gemaakte PDF-documenten kunnen worden geopend met Acrobat en Adobe Reader 50 en hoger) NOR ltFEFF004200720075006b00200064006900730073006500200069006e006e007300740069006c006c0069006e00670065006e0065002000740069006c002000e50020006f0070007000720065007400740065002000410064006f006200650020005000440046002d0064006f006b0075006d0065006e00740065007200200066006f00720020007500740073006b00720069006600740020006100760020006800f800790020006b00760061006c00690074006500740020007000e500200062006f007200640073006b0072006900760065007200200065006c006c00650072002000700072006f006f006600650072002e0020005000440046002d0064006f006b0075006d0065006e00740065006e00650020006b0061006e002000e50070006e00650073002000690020004100630072006f00620061007400200065006c006c00650072002000410064006f00620065002000520065006100640065007200200035002e003000200065006c006c00650072002000730065006e006500720065002egt POL ltFEFF0055007300740061007700690065006e0069006100200064006f002000740077006f0072007a0065006e0069006100200064006f006b0075006d0065006e007400f3007700200050004400460020007a002000770079017c0073007a010500200072006f007a0064007a00690065006c0063007a006f015b0063006901050020006f006200720061007a006b00f30077002c0020007a0061007000650077006e00690061006a0105006301050020006c006500700073007a01050020006a0061006b006f015b0107002000770079006400720075006b00f30077002e00200044006f006b0075006d0065006e0074007900200050004400460020006d006f017c006e00610020006f007400770069006500720061010700200077002000700072006f006700720061006d006900650020004100630072006f00620061007400200069002000410064006f00620065002000520065006100640065007200200035002e0030002000690020006e006f00770073007a0079006d002egt PTB ltFEFF005500740069006c0069007a006500200065007300730061007300200063006f006e00660069006700750072006100e700f50065007300200064006500200066006f0072006d00610020006100200063007200690061007200200064006f00630075006d0065006e0074006f0073002000410064006f0062006500200050004400460020007000610072006100200069006d0070007200650073007300f5006500730020006400650020007100750061006c0069006400610064006500200065006d00200069006d00700072006500730073006f0072006100730020006400650073006b0074006f00700020006500200064006900730070006f00730069007400690076006f0073002000640065002000700072006f00760061002e0020004f007300200064006f00630075006d0065006e0074006f00730020005000440046002000630072006900610064006f007300200070006f00640065006d0020007300650072002000610062006500720074006f007300200063006f006d0020006f0020004100630072006f006200610074002000650020006f002000410064006f00620065002000520065006100640065007200200035002e0030002000650020007600650072007300f50065007300200070006f00730074006500720069006f007200650073002egt RUM ltFEFF005500740069006c0069007a00610163006900200061006300650073007400650020007300650074010300720069002000700065006e007400720075002000610020006300720065006100200064006f00630075006d0065006e00740065002000410064006f006200650020005000440046002000700065006e007400720075002000740069007001030072006900720065002000640065002000630061006c006900740061007400650020006c006100200069006d007000720069006d0061006e007400650020006400650073006b0074006f00700020015f0069002000700065006e0074007200750020007600650072006900660069006300610074006f00720069002e002000200044006f00630075006d0065006e00740065006c00650020005000440046002000630072006500610074006500200070006f00740020006600690020006400650073006300680069007300650020006300750020004100630072006f006200610074002c002000410064006f00620065002000520065006100640065007200200035002e00300020015f00690020007600650072007300690075006e0069006c006500200075006c0074006500720069006f006100720065002egt RUS ltFEFF04180441043f043e043b044c04370443043904420435002004340430043d043d044b04350020043d0430044104420440043e0439043a043800200434043b044f00200441043e043704340430043d0438044f00200434043e043a0443043c0435043d0442043e0432002000410064006f006200650020005000440046002c0020043f044004350434043d04300437043d043004470435043d043d044b044500200434043b044f0020043a0430044704350441044204320435043d043d043e04390020043f043504470430044204380020043d04300020043d043004410442043e043b044c043d044b04450020043f04400438043d044204350440043004450020043800200443044104420440043e04390441044204320430044500200434043b044f0020043f043e043b044304470435043d0438044f0020043f0440043e0431043d044b04450020043e0442044204380441043a043e0432002e002000200421043e043704340430043d043d044b04350020005000440046002d0434043e043a0443043c0435043d0442044b0020043c043e0436043d043e00200020043e0442043a0440044b043204300442044c002004410020043f043e043c043e0449044c044e0020004100630072006f00620061007400200438002000410064006f00620065002000520065006100640065007200200035002e00300020043800200431043e043b043504350020043f043e04370434043d043804450020043204350440044104380439002egt SKY ltFEFF0054006900650074006f0020006e006100730074006100760065006e0069006100200070006f0075017e0069007400650020006e00610020007600790074007600e100720061006e0069006500200064006f006b0075006d0065006e0074006f0076002000410064006f00620065002000500044004600200070007200650020006b00760061006c00690074006e00fa00200074006c0061010d0020006e0061002000730074006f006c006e00fd0063006800200074006c0061010d00690061007201480061006300680020006100200074006c0061010d006f007600fd006300680020007a006100720069006100640065006e0069006100630068002e00200056007900740076006f00720065006e00e900200064006f006b0075006d0065006e007400790020005000440046002000620075006400650020006d006f017e006e00e90020006f00740076006f00720069016500200076002000700072006f006700720061006d006f006300680020004100630072006f00620061007400200061002000410064006f00620065002000520065006100640065007200200035002e0030002000610020006e006f0076016100ed00630068002e000d000agt SLV ltFEFF005400650020006e006100730074006100760069007400760065002000750070006f0072006100620069007400650020007a00610020007500730074007600610072006a0061006e006a006500200064006f006b0075006d0065006e0074006f0076002000410064006f0062006500200050004400460020007a00610020006b0061006b006f0076006f00730074006e006f0020007400690073006b0061006e006a00650020006e00610020006e0061006d0069007a006e006900680020007400690073006b0061006c006e0069006b0069006800200069006e0020007000720065007600650072006a0061006c006e0069006b00690068002e00200020005500730074007600610072006a0065006e006500200064006f006b0075006d0065006e0074006500200050004400460020006a00650020006d006f0067006f010d00650020006f0064007000720065007400690020007a0020004100630072006f00620061007400200069006e002000410064006f00620065002000520065006100640065007200200035002e003000200069006e0020006e006f00760065006a01610069006d002egt SUO ltFEFF004b00e40079007400e40020006e00e40069007400e4002000610073006500740075006b007300690061002c0020006b0075006e0020006c0075006f0074002000410064006f0062006500200050004400460020002d0064006f006b0075006d0065006e007400740065006a00610020006c0061006100640075006b006100730074006100200074007900f6007000f60079007400e400740075006c006f0073007400750073007400610020006a00610020007600650064006f007300740075007300740061002000760061007200740065006e002e00200020004c0075006f0064007500740020005000440046002d0064006f006b0075006d0065006e00740069007400200076006f0069006400610061006e0020006100760061007400610020004100630072006f0062006100740069006c006c00610020006a0061002000410064006f00620065002000520065006100640065007200200035002e0030003a006c006c00610020006a006100200075007500640065006d006d0069006c006c0061002egt SVE ltFEFF0041006e007600e4006e00640020006400650020006800e4007200200069006e0073007400e4006c006c006e0069006e006700610072006e00610020006f006d002000640075002000760069006c006c00200073006b006100700061002000410064006f006200650020005000440046002d0064006f006b0075006d0065006e00740020006600f600720020006b00760061006c00690074006500740073007500740073006b0072006900660074006500720020007000e5002000760061006e006c00690067006100200073006b0072006900760061007200650020006f006300680020006600f600720020006b006f007200720065006b007400750072002e002000200053006b006100700061006400650020005000440046002d0064006f006b0075006d0065006e00740020006b0061006e002000f600700070006e00610073002000690020004100630072006f0062006100740020006f00630068002000410064006f00620065002000520065006100640065007200200035002e00300020006f00630068002000730065006e006100720065002egt TUR ltFEFF004d00610073006100fc0073007400fc002000790061007a013100630131006c006100720020007600650020006200610073006b01310020006d0061006b0069006e0065006c006500720069006e006400650020006b0061006c006900740065006c00690020006200610073006b013100200061006d0061006301310079006c0061002000410064006f006200650020005000440046002000620065006c00670065006c0065007200690020006f006c0075015f007400750072006d0061006b0020006900e70069006e00200062007500200061007900610072006c0061007201310020006b0075006c006c0061006e0131006e002e00200020004f006c0075015f0074007500720075006c0061006e0020005000440046002000620065006c00670065006c0065007200690020004100630072006f006200610074002000760065002000410064006f00620065002000520065006100640065007200200035002e003000200076006500200073006f006e0072006100730131006e00640061006b00690020007300fc007200fc006d006c00650072006c00650020006100e70131006c006100620069006c00690072002egt UKR ltFEFF04120438043a043e0440043804410442043e043204430439044204350020044604560020043f043004400430043c043504420440043800200434043b044f0020044104420432043e04400435043d043d044f00200434043e043a0443043c0435043d044204560432002000410064006f006200650020005000440046002c0020044f043a04560020043d04300439043a04400430044904350020043f045604340445043e0434044f0442044c00200434043b044f0020043204380441043e043a043e044f043a04560441043d043e0433043e0020043404400443043a04430020043d04300020043d0430044104420456043b044c043d043804450020043f04400438043d044204350440043004450020044204300020043f04400438044104420440043e044f044500200434043b044f0020043e044204400438043c0430043d043d044f0020043f0440043e0431043d0438044500200437043e04310440043004360435043d044c002e00200020042104420432043e04400435043d045600200434043e043a0443043c0435043d0442043800200050004400460020043c043e0436043d04300020043204560434043a0440043804420438002004430020004100630072006f006200610074002004420430002000410064006f00620065002000520065006100640065007200200035002e0030002004300431043e0020043f04560437043d04560448043e04570020043204350440044104560457002egt ENU ltFEFF005500730065002000740068006500730065002000730065007400740069006e0067007300200074006f0020006300720065006100740065002000410064006f0062006500200050004400460020006200610073006500640020006f006e0020007400680065002000640065006600610075006c0074002000580069006c0069006e007800200070006100720061006d0065007400650072002000730065007400740069006e0067007300200064006500660069006e00650064002000620079002000500053003000300034002e002000460069006c0065006e0061006d0065003a002000780069006c0069006e0078002e006a006f0062006f007000740069006f006e0073002e000d0043006f006d007000610074006100620069006c006900740079003a0020004100630072006f00620061007400200037002e0030002000280050004400460031002e00360029002e0020005500730065003a00200046006f00720020006300720065006100740069006e0067002000460069006e0061006c002c0020005000750062006c0069007300680065006400200050004400460073002e000d0043007200650061007400650064002000310030002f00310032002f003100310020006200790020006a0066006f007200730074002egt gtgt Namespace [ (Adobe) (Common) (10) ] OtherNamespaces [ ltlt AsReaderSpreads false CropImagesToFrames true ErrorControl WarnAndContinue FlattenerIgnoreSpreadOverrides false IncludeGuidesGrids false IncludeNonPrinting false IncludeSlug false Namespace [ (Adobe) (InDesign) (40) ] OmitPlacedBitmaps false OmitPlacedEPS false OmitPlacedPDF false SimulateOverprint Legacy gtgt ltlt AddBleedMarks false AddColorBars false AddCropMarks false AddPageInfo false AddRegMarks false ConvertColors NoConversion DestinationProfileName () DestinationProfileSelector NA Downsample16BitImages true FlattenerPreset ltlt PresetSelector MediumResolution gtgt FormElements false GenerateStructure true IncludeBookmarks false IncludeHyperlinks false IncludeInteractive false IncludeLayers false IncludeProfiles true MultimediaHandling UseObjectSettings Namespace [ (Adobe) (CreativeSuite) (20) ] PDFXOutputIntentProfileSelector NA PreserveEditing true UntaggedCMYKHandling LeaveUntagged UntaggedRGBHandling LeaveUntagged UseDocumentBleed false gtgt ]gtgt setdistillerparamsltlt HWResolution [1200 1200] PageSize [612000 792000]gtgt setpagedevice

Page 28: Xilinx UG883 Kintex-7 FPGA KC705 Evaluation Kit Getting ...€¦ · Updated step 2 and step 3 in Requirements to Get Started, page 14. ... KC705 Getting Started Guide 9 UG883 (v3.0)

28 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

3 Verify TRD operations through the status information provided by the GUI (see Figure 21)

a Verify the PCIe throughput

b Verify the DMA channel throughput for the Raw Data Path0

c Verify the DMA channel throughput for the Raw Data Path1

d Verify there are no buffer descriptor errors for error-free operation

X-Ref Target - Figure 20

Figure 20 Start Data Traffic from the Performance Monitor

UG883_20_121112

KC705 Getting Started Guide wwwxilinxcom 29UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

The Kintex-7 FPGA PCIe-DMA TRD is now set up and running Close the Application GUI to unload the software drivers and stop traffic flow

X-Ref Target - Figure 21

Figure 21 Verify Error-Free Operation in the Performance Monitor

UG883_21_121112

30 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

Evaluating the Kintex-7 FPGA Base TRDThe Kintex-7 FPGA Base TRD provides a Performance and Status monitor application and GUI The application enables customers to evaluate different system parameters This section demonstrates performance variances for the PCI Express and DMA interfaces based on the parameters set

To evaluate the Kintex-7 FPGA Base TRD

1 Launch the Performance Monitor for the Kintex-7 FPGA Base TRD

a Navigate to the k7_pcie_dma_ddr3_base folder

b Double-click k7_lin_trd_quickstart (Figure 22)mdashmake sure that the script has executable permissionmdashto launch the Performance Monitor and Status GUI

c A window prompt appears as shown in Figure 23 Click Run in Terminal to proceed

2 Set up the test parameters in the Performance Monitor

a Two data paths are available Raw Data Path0 and Raw Data Path1 On each path set the Packet Size to a value between 64 ndash 32768 bytes

X-Ref Target - Figure 22

Figure 22 Launch the Performance Monitor and Status GUI

UG883_22_121112

X-Ref Target - Figure 23

Figure 23 Run k7_lin_trd_quickstart

UG883_23_121112

KC705 Getting Started Guide wwwxilinxcom 31UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

3 Execute the test and view payload statistics in the Performance and Status Monitor (see Figure 24)

a Click Start Test to start the performance test

b Click the Payload Statistics tab to view data transfers on the DMA channels

c Click Stop Test to stop data traffic

d Vary the Packet Size parameters for the Raw Data Paths (see Figure 25) and click Start Test Then view the payload statistics to review data transfer rate on the DMA channels With a decrease in packet size the performance drops

Note Before changing packet size click Stop Test change the size and then click Start Test

X-Ref Target - Figure 24

Figure 24 Payload Statistics

UG883_24_121112

32 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

Note For packet sizes equal to 64 or 128 bytes the throughput is reduced and might not be visible on the Payload Statistics tab The exact values can be viewed on the System Status tab

4 Click the PCIe Statistics tab to view data transfer numbers with varying packet sizes on the PCIe interface (Figure 26)

X-Ref Target - Figure 25

Figure 25 Effect of Varying Packet Sizes on Performance

UG883_25_121112

KC705 Getting Started Guide wwwxilinxcom 33UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

The system performance of the Kintex-7 FPGA Base TRD has now been evaluated using the pre-built demonstration design bit file

Now that the Kintex-7 FPGA Base TRD demonstration has been set up and evaluated the design can be modified Before the design can be modified make sure to install the Vivado Design Suite on a PC It is not required that tools be installed on the PC system in which the KC705 evaluation board is plugged in by way of the PCIe edge connector

X-Ref Target - Figure 26

Figure 26 PCIe Statistics in the Performance Monitor

UG883_26_121112

34 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

KC705 Getting Started Guide wwwxilinxcom 35UG883 (v30) April 16 2013

Appendix A

Additional Resources

Xilinx ResourcesFor support resources such as Answers Documentation Downloads and Forums see the Xilinx Support website at

wwwxilinxcomsupport

For continual updates add the Answer Record to your myAlerts

wwwxilinxcomsupportmyalerts

For a glossary of technical terms used in Xilinx documentation see

wwwxilinxcomcompanytermshtm

Solution CentersSee the Xilinx Solution Centers for support on devices software tools and intellectual property at all stages of the design cycle Topics include design assistance advisories and troubleshooting tips

Further ResourcesThe most up to date information related to the KC705 board and its documentation is available on the following websites

The Kintex-7 FPGA KC705 Evaluation Kit Product Page

wwwxilinxcomkc705

The Kintex-7 FPGA KC705 Evaluation Kit Master Answer Record

wwwxilinxcomsupportanswers47787htm

Design advisories by software release for the Kintex-7 FPGA KC705 Evaluation Kit

wwwxilinxcomsupportnav=sd-nav-link-179661amptab=tab-bk

Kintex-7 FPGA Base Targeted Reference Design Release Notes and Known Issues Master Answer Record

wwwxilinxcomsupportanswers45679htm

These documents provide supplemental material useful with this user guide

UG810 KC705 Evaluation Board for the Kintex-7 FPGA User Guide

UG845 KC705 Reference Design User Guide

36 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Appendix A Additional Resources

UG798 Xilinx Design Tools Installation and Licensing Guide Vivado Design Suite and ISE Design Suite

UG908 Vivado Design Suite User Guide Programming and Debugging

UG882 Kintex-7 FPGA KC705 Base Targeted Reference Design User Guide (Vivado Design Suite 20124)

UG886 AMS101 Evaluation Card User Guide

UG477 7 Series FPGAs Integrated Block for PCI Express User Guide

ReferencesThe following websites provide supplemental material useful with this guide

1 LabVIEW 32-bit Run-Time Enginehttpjoulenicomniducdsviewpid2534langen

2 LabVIEW 64-bit Run-Time Engine httpjoulenicomniducdsviewpid2536langen

3 Tera Term Pro program httphpvectorcojpauthorsVA002416teratermhtml

4 Drivers on the Silicon Labs site httpwwwsilabscomSupport20DocumentsSoftwareCP210x_VCP_Win_XP_S2K3_Vista_7exe

5 Northwest Logic DMA back end core httpwwwnwlogiccompacketdma

6 Fedora project httpfedoraprojectorg

Fedora is a Linux-based operating system used in the development of this TRD

7 The GTK+ project API documentation httpwwwgtkorgdocumentationphp

GTK+ is a toolkit for creating graphical user interfaces (GUI)

KC705 Getting Started Guide wwwxilinxcom 37UG883 (v30) April 16 2013

Appendix B

Warranty

THIS LIMITED WARRANTY applies solely to standard hardware development boards and standard hardware programming cables manufactured by or on behalf of Xilinx (ldquoDevelopment Systemsrdquo) Subject to the limitations herein Xilinx warrants that Development Systems when delivered by Xilinx or its authorized distributor for ninety (90) days following the delivery date will be free from defects in material and workmanship and will substantially conform to Xilinx publicly available specifications for such products in effect at the time of delivery This limited warranty excludes (i) engineering samples or beta versions of Development Systems (which are provided ldquoAS ISrdquo without warranty) (ii) design defects or errors known as ldquoerratardquo (iii) Development Systems procured through unauthorized third parties and (iv) Development Systems that have been subject to misuse mishandling accident alteration neglect unauthorized repair or installation Furthermore this limited warranty shall not apply to the use of covered products in an application or environment that is not within Xilinx specifications or in the event of any act error neglect or default of Customer For any breach by Xilinx of this limited warranty the exclusive remedy of Customer and the sole liability of Xilinx shall be at the option of Xilinx to replace or repair the affected products or to refund to Customer the price of the affected products The availability of replacement products is subject to product discontinuation policies at Xilinx Customer may not return product without first obtaining a customer return material authorization (RMA) number from XilinxTHE WARRANTIES SET FORTH HEREIN ARE EXCLUSIVE XILINX DISCLAIMS ALL OTHER WARRANTIES WHETHER EXPRESS IMPLIED OR STATUTORY INCLUDING WITHOUT LIMITATION ANY WARRANTY OF MERCHANTABILITY FITNESS FOR A PARTICULAR PURPOSE OR NON-INFRINGEMENT AND ANY WARRANTY THAT MAY ARISE FROM COURSE OF DEALING COURSE OF PERFORMANCE OR USAGE OF TRADE (200810)

Do not throw Xilinx products marked with the ldquocrossed out wheeled binrdquo in the trash Directive 200296EC on waste electrical and electronic equipment (WEEE) requires the separate collection of WEEE Your cooperation is essential in ensuring the proper management of WEEE and the protection of the environment and human health from potential effects arising from the presence of hazardous substances in WEEE Return the marked products to Xilinx for proper disposal Further information and instructions for free-of-charge return available at httpwwwxilinxcomehsweeehtm

38 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Appendix B Warranty

  • Kintex-7 FPGA KC705 Evaluation Kit (Vivado Design Suite 20131)
    • Revision History
    • Table of Contents
    • Getting Started with the Kintex-7 FPGA KC705 Evaluation Kit (Vivado Design Suite 20131)
      • Introduction
      • Kit Contents
      • Basic Hardware Bring-up Using the BIST
        • Hardware Test Setup Requirements
          • Hardware Test Board Setup Requirements
            • Hardware Bring-Up
            • Run the BIST Application
              • AMS Bring-up with the AMS101 Evaluation Card
                • Requirements to Get Started
                • Evaluating AMS
                  • Advanced Bring-up Using the Base Targeted Reference Design
                    • Components
                    • Hardware Test Setup Requirements
                    • TRD Demonstration Setup
                    • Evaluating the Kintex-7 FPGA Base TRD
                        • Additional Resources
                          • Xilinx Resources
                          • Solution Centers
                          • Further Resources
                          • References
                            • Warranty
                                • ltlt ASCII85EncodePages false AllowTransparency false AutoPositionEPSFiles true AutoRotatePages All Binding Left CalGrayProfile (Dot Gain 20) CalRGBProfile (sRGB IEC61966-21) CalCMYKProfile (US Web Coated 050SWOP051 v2) sRGBProfile (sRGB IEC61966-21) CannotEmbedFontPolicy Warning CompatibilityLevel 16 CompressObjects Tags CompressPages true ConvertImagesToIndexed true PassThroughJPEGImages true CreateJobTicket false DefaultRenderingIntent Default DetectBlends true DetectCurves 00000 ColorConversionStrategy LeaveColorUnchanged DoThumbnails false EmbedAllFonts true EmbedOpenType false ParseICCProfilesInComments true EmbedJobOptions true DSCReportingLevel 0 EmitDSCWarnings false EndPage -1 ImageMemory 1048576 LockDistillerParams true MaxSubsetPct 100 Optimize true OPM 1 ParseDSCComments true ParseDSCCommentsForDocInfo true PreserveCopyPage true PreserveDICMYKValues true PreserveEPSInfo true PreserveFlatness true PreserveHalftoneInfo false PreserveOPIComments false PreserveOverprintSettings true StartPage 1 SubsetFonts true TransferFunctionInfo Apply UCRandBGInfo Preserve UsePrologue false ColorSettingsFile () AlwaysEmbed [ true ] NeverEmbed [ true ] AntiAliasColorImages false CropColorImages true ColorImageMinResolution 300 ColorImageMinResolutionPolicy OK DownsampleColorImages false ColorImageDownsampleType Bicubic ColorImageResolution 300 ColorImageDepth -1 ColorImageMinDownsampleDepth 1 ColorImageDownsampleThreshold 150000 EncodeColorImages true ColorImageFilter DCTEncode AutoFilterColorImages true ColorImageAutoFilterStrategy JPEG ColorACSImageDict ltlt QFactor 040 HSamples [1 1 1 1] VSamples [1 1 1 1] gtgt ColorImageDict ltlt QFactor 015 HSamples [1 1 1 1] VSamples [1 1 1 1] gtgt JPEG2000ColorACSImageDict ltlt TileWidth 256 TileHeight 256 Quality 30 gtgt JPEG2000ColorImageDict ltlt TileWidth 256 TileHeight 256 Quality 30 gtgt AntiAliasGrayImages false CropGrayImages true GrayImageMinResolution 300 GrayImageMinResolutionPolicy OK DownsampleGrayImages false GrayImageDownsampleType Bicubic GrayImageResolution 300 GrayImageDepth -1 GrayImageMinDownsampleDepth 2 GrayImageDownsampleThreshold 150000 EncodeGrayImages true GrayImageFilter DCTEncode AutoFilterGrayImages true GrayImageAutoFilterStrategy JPEG GrayACSImageDict ltlt QFactor 040 HSamples [1 1 1 1] VSamples [1 1 1 1] gtgt GrayImageDict ltlt QFactor 015 HSamples [1 1 1 1] VSamples [1 1 1 1] gtgt JPEG2000GrayACSImageDict ltlt TileWidth 256 TileHeight 256 Quality 30 gtgt JPEG2000GrayImageDict ltlt TileWidth 256 TileHeight 256 Quality 30 gtgt AntiAliasMonoImages false CropMonoImages true MonoImageMinResolution 1200 MonoImageMinResolutionPolicy OK DownsampleMonoImages false MonoImageDownsampleType Bicubic MonoImageResolution 1200 MonoImageDepth -1 MonoImageDownsampleThreshold 150000 EncodeMonoImages true MonoImageFilter CCITTFaxEncode MonoImageDict ltlt K -1 gtgt AllowPSXObjects false CheckCompliance [ None ] PDFX1aCheck false PDFX3Check false PDFXCompliantPDFOnly false PDFXNoTrimBoxError true PDFXTrimBoxToMediaBoxOffset [ 000000 000000 000000 000000 ] PDFXSetBleedBoxToMediaBox true PDFXBleedBoxToTrimBoxOffset [ 000000 000000 000000 000000 ] PDFXOutputIntentProfile (None) PDFXOutputConditionIdentifier () PDFXOutputCondition () PDFXRegistryName () PDFXTrapped False CreateJDFFile false Description ltlt ARA ltFEFF06270633062A062E062F0645002006470630064700200627064406250639062F0627062F0627062A002006440625064606340627062100200648062B062706260642002000410064006F00620065002000500044004600200645062A0648062706410642062900200644064406370628062706390629002006300627062A002006270644062C0648062F0629002006270644063906270644064A06290020064506460020062E06440627064400200627064406370627062806390627062A00200627064406450643062A0628064A062900200623064800200623062C06470632062900200625062C06310627062100200627064406280631064806410627062A061B0020064A06450643064600200641062A062D00200648062B0627062606420020005000440046002006270644064506460634062306290020062806270633062A062E062F062706450020004100630072006F0062006100740020064800410064006F006200650020005200650061006400650072002006250635062F0627063100200035002E0030002006480627064406250635062F062706310627062A0020062706440623062D062F062B002E0020064506390020005000440046002F0041060C0020062706440631062C062706210020064506310627062C063906290020062F0644064A0644002006450633062A062E062F06450020004100630072006F006200610074061B0020064A06450643064600200641062A062D00200648062B0627062606420020005000440046002006270644064506460634062306290020062806270633062A062E062F062706450020004100630072006F0062006100740020064800410064006F006200650020005200650061006400650072002006250635062F0627063100200035002E0030002006480627064406250635062F062706310627062A0020062706440623062D062F062B002Egt BGR ltFEFF04180437043f043e043b043704320430043904420435002004420435043704380020043d0430044104420440043e0439043a0438002c00200437043000200434043000200441044a0437043404300432043004420435002000410064006f00620065002000500044004600200434043e043a0443043c0435043d044204380020043704300020043a0430044704350441044204320435043d0020043f04350447043004420020043d04300020043d043004410442043e043b043d04380020043f04400438043d04420435044004380020043800200443044104420440043e043904410442043204300020043704300020043f04350447043004420020043d04300020043f0440043e0431043d04380020044004300437043f0435044704300442043a0438002e002000200421044a04370434043004340435043d043804420435002000500044004600200434043e043a0443043c0435043d044204380020043c043e0433043004420020043404300020044104350020043e0442043204300440044f0442002004410020004100630072006f00620061007400200438002000410064006f00620065002000520065006100640065007200200035002e00300020043800200441043b0435043404320430044904380020043204350440044104380438002egt CHS ltFEFF4f7f75288fd94e9b8bbe5b9a521b5efa7684002000500044004600206587686353ef901a8fc7684c976262535370673a548c002000700072006f006f00660065007200208fdb884c9ad88d2891cf62535370300260a853ef4ee54f7f75280020004100630072006f0062006100740020548c002000410064006f00620065002000520065006100640065007200200035002e003000204ee553ca66f49ad87248672c676562535f00521b5efa768400200050004400460020658768633002gt CHT ltFEFF4f7f752890194e9b8a2d7f6e5efa7acb7684002000410064006f006200650020005000440046002065874ef653ef5728684c9762537088686a5f548c002000700072006f006f00660065007200204e0a73725f979ad854c18cea7684521753706548679c300260a853ef4ee54f7f75280020004100630072006f0062006100740020548c002000410064006f00620065002000520065006100640065007200200035002e003000204ee553ca66f49ad87248672c4f86958b555f5df25efa7acb76840020005000440046002065874ef63002gt CZE ltFEFF005400610074006f0020006e006100730074006100760065006e00ed00200070006f0075017e0069006a007400650020006b0020007600790074007600e101590065006e00ed00200064006f006b0075006d0065006e0074016f002000410064006f006200650020005000440046002000700072006f0020006b00760061006c00690074006e00ed0020007400690073006b0020006e0061002000730074006f006c006e00ed006300680020007400690073006b00e10072006e00e100630068002000610020006e00e1007400690073006b006f007600fd006300680020007a0061015900ed007a0065006e00ed00630068002e002000200056007900740076006f01590065006e00e900200064006f006b0075006d0065006e007400790020005000440046002000620075006400650020006d006f017e006e00e90020006f007400650076015900ed007400200076002000700072006f006700720061006d0065006300680020004100630072006f00620061007400200061002000410064006f00620065002000520065006100640065007200200035002e0030002000610020006e006f0076011b006a016100ed00630068002egt DAN ltFEFF004200720075006700200069006e0064007300740069006c006c0069006e006700650072006e0065002000740069006c0020006100740020006f007000720065007400740065002000410064006f006200650020005000440046002d0064006f006b0075006d0065006e007400650072002000740069006c0020006b00760061006c00690074006500740073007500640073006b007200690076006e0069006e006700200065006c006c006500720020006b006f007200720065006b007400750072006c00e60073006e0069006e0067002e0020004400650020006f007000720065007400740065006400650020005000440046002d0064006f006b0075006d0065006e0074006500720020006b0061006e002000e50062006e00650073002000690020004100630072006f00620061007400200065006c006c006500720020004100630072006f006200610074002000520065006100640065007200200035002e00300020006f00670020006e0079006500720065002egt DEU ltFEFF00560065007200770065006e00640065006e0020005300690065002000640069006500730065002000450069006e007300740065006c006c0075006e00670065006e0020007a0075006d002000450072007300740065006c006c0065006e00200076006f006e002000410064006f006200650020005000440046002d0044006f006b0075006d0065006e00740065006e002c00200076006f006e002000640065006e0065006e002000530069006500200068006f00630068007700650072007400690067006500200044007200750063006b006500200061007500660020004400650073006b0074006f0070002d0044007200750063006b00650072006e00200075006e0064002000500072006f006f0066002d00470065007200e400740065006e002000650072007a0065007500670065006e0020006d00f60063006800740065006e002e002000450072007300740065006c006c007400650020005000440046002d0044006f006b0075006d0065006e007400650020006b00f6006e006e0065006e0020006d006900740020004100630072006f00620061007400200075006e0064002000410064006f00620065002000520065006100640065007200200035002e00300020006f0064006500720020006800f600680065007200200067006500f600660066006e00650074002000770065007200640065006e002egt ESP ltFEFF005500740069006c0069006300650020006500730074006100200063006f006e0066006900670075007200610063006900f3006e0020007000610072006100200063007200650061007200200064006f00630075006d0065006e0074006f0073002000640065002000410064006f0062006500200050004400460020007000610072006100200063006f006e00730065006700750069007200200069006d0070007200650073006900f3006e002000640065002000630061006c006900640061006400200065006e00200069006d0070007200650073006f0072006100730020006400650020006500730063007200690074006f00720069006f00200079002000680065007200720061006d00690065006e00740061007300200064006500200063006f00720072006500630063006900f3006e002e002000530065002000700075006500640065006e00200061006200720069007200200064006f00630075006d0065006e0074006f00730020005000440046002000630072006500610064006f007300200063006f006e0020004100630072006f006200610074002c002000410064006f00620065002000520065006100640065007200200035002e003000200079002000760065007200730069006f006e0065007300200070006f00730074006500720069006f007200650073002egt ETI ltFEFF004b00610073007500740061006700650020006e0065006900640020007300e4007400740065006900640020006c006100750061002d0020006a00610020006b006f006e00740072006f006c006c007400f5006d006d006900730065007000720069006e0074006500720069007400650020006a0061006f006b00730020006b00760061006c006900740065006500740073006500740065002000410064006f006200650020005000440046002d0064006f006b0075006d0065006e00740069006400650020006c006f006f006d006900730065006b0073002e002e00200020004c006f006f0064007500640020005000440046002d0064006f006b0075006d0065006e00740065002000730061006100740065002000610076006100640061002000700072006f006700720061006d006d006900640065006700610020004100630072006f0062006100740020006e0069006e0067002000410064006f00620065002000520065006100640065007200200035002e00300020006a00610020007500750065006d006100740065002000760065007200730069006f006f006e00690064006500670061002e000d000agt FRA ltFEFF005500740069006c006900730065007a00200063006500730020006f007000740069006f006e00730020006100660069006e00200064006500200063007200e900650072002000640065007300200064006f00630075006d0065006e00740073002000410064006f00620065002000500044004600200070006f007500720020006400650073002000e90070007200650075007600650073002000650074002000640065007300200069006d007000720065007300730069006f006e00730020006400650020006800610075007400650020007100750061006c0069007400e90020007300750072002000640065007300200069006d007000720069006d0061006e0074006500730020006400650020006200750072006500610075002e0020004c0065007300200064006f00630075006d0065006e00740073002000500044004600200063007200e900e90073002000700065007500760065006e0074002000ea0074007200650020006f007500760065007200740073002000640061006e00730020004100630072006f006200610074002c002000610069006e00730069002000710075002700410064006f00620065002000520065006100640065007200200035002e0030002000650074002000760065007200730069006f006e007300200075006c007400e90072006900650075007200650073002egt GRE ltFEFF03a703c103b703c303b903bc03bf03c003bf03b903ae03c303c403b5002003b103c503c403ad03c2002003c403b903c2002003c103c503b803bc03af03c303b503b903c2002003b303b903b1002003bd03b1002003b403b703bc03b903bf03c503c103b303ae03c303b503c403b5002003ad03b303b303c103b103c603b1002000410064006f006200650020005000440046002003b303b903b1002003b503ba03c403cd03c003c903c303b7002003c003bf03b903cc03c403b703c403b103c2002003c303b5002003b503ba03c403c503c003c903c403ad03c2002003b303c103b103c603b503af03bf03c5002003ba03b103b9002003b403bf03ba03b903bc03b103c303c403ad03c2002e0020002003a403b10020005000440046002003ad03b303b303c103b103c603b1002003c003bf03c5002003ad03c703b503c403b5002003b403b703bc03b903bf03c503c103b303ae03c303b503b9002003bc03c003bf03c103bf03cd03bd002003bd03b1002003b103bd03bf03b903c703c403bf03cd03bd002003bc03b5002003c403bf0020004100630072006f006200610074002c002003c403bf002000410064006f006200650020005200650061006400650072002000200035002e0030002003ba03b103b9002003bc03b503c403b103b303b503bd03ad03c303c403b503c103b503c2002003b503ba03b403cc03c303b503b903c2002egt HEB ltFEFF05D405E905EA05DE05E905D5002005D105D405D205D305E805D505EA002005D005DC05D4002005DB05D305D9002005DC05D905E605D505E8002005DE05E105DE05DB05D9002000410064006F006200650020005000440046002005E205D105D505E8002005D405D305E405E105D4002005D005D905DB05D505EA05D905EA002005D105DE05D305E405E105D505EA002005E905D505DC05D705E005D905D505EA002005D505DB05DC05D9002005D405D205D405D4002E002005DE05E105DE05DB05D9002005D4002D005000440046002005E905E005D505E605E805D905DD002005E005D905EA05E005D905DD002005DC05E405EA05D905D705D4002005D105D005DE05E605E205D505EA0020004100630072006F006200610074002005D5002D00410064006F00620065002000520065006100640065007200200035002E0030002005D505D205E805E105D005D505EA002005DE05EA05E705D305DE05D505EA002005D905D505EA05E8002Egt HRV ltFEFF005a00610020007300740076006100720061006e006a0065002000410064006f00620065002000500044004600200064006f006b0075006d0065006e0061007400610020007a00610020006b00760061006c00690074006500740061006e0020006900730070006900730020006e006100200070006900730061010d0069006d006100200069006c0069002000700072006f006f006600650072002000750072006501110061006a0069006d0061002e00200020005300740076006f00720065006e0069002000500044004600200064006f006b0075006d0065006e007400690020006d006f006700750020007300650020006f00740076006f00720069007400690020004100630072006f00620061007400200069002000410064006f00620065002000520065006100640065007200200035002e0030002000690020006b00610073006e0069006a0069006d0020007600650072007a0069006a0061006d0061002egt HUN ltFEFF004d0069006e0151007300e9006700690020006e0079006f006d00610074006f006b0020006b00e90073007a00ed007400e9007300e900680065007a002000610073007a00740061006c00690020006e0079006f006d00740061007400f3006b006f006e002000e9007300200070007200f300620061006e0079006f006d00f3006b006f006e00200065007a0065006b006b0065006c0020006100200062006500e1006c006c00ed007400e10073006f006b006b0061006c002c00200068006f007a007a006f006e0020006c00e9007400720065002000410064006f00620065002000500044004600200064006f006b0075006d0065006e00740075006d006f006b00610074002e0020002000410020006c00e90074007200650068006f007a006f00740074002000500044004600200064006f006b0075006d0065006e00740075006d006f006b00200061007a0020004100630072006f006200610074002c00200061007a002000410064006f00620065002000520065006100640065007200200035002e0030002000e9007300200061007a002000610074007400f3006c0020006b00e9007301510062006200690020007600650072007a006900f3006b006b0061006c00200020006e00790069007400680061007400f3006b0020006d00650067002egt ITA ltFEFF005500740069006c0069007a007a006100720065002000710075006500730074006500200069006d0070006f007300740061007a0069006f006e00690020007000650072002000630072006500610072006500200064006f00630075006d0065006e00740069002000410064006f006200650020005000440046002000700065007200200075006e00610020007300740061006d007000610020006400690020007100750061006c0069007400e00020007300750020007300740061006d00700061006e0074006900200065002000700072006f006f0066006500720020006400650073006b0074006f0070002e0020004900200064006f00630075006d0065006e007400690020005000440046002000630072006500610074006900200070006f00730073006f006e006f0020006500730073006500720065002000610070006500720074006900200063006f006e0020004100630072006f00620061007400200065002000410064006f00620065002000520065006100640065007200200035002e003000200065002000760065007200730069006f006e006900200073007500630063006500730073006900760065002egt JPN ltFEFF9ad854c18cea51fa529b7528002000410064006f0062006500200050004400460020658766f8306e4f5c6210306b4f7f75283057307e30593002537052376642306e753b8cea3092670059279650306b4fdd306430533068304c3067304d307e3059300230c730b930af30c830c330d730d730ea30f330bf3067306e53705237307e305f306f30d730eb30fc30d57528306b9069305730663044307e305930023053306e8a2d5b9a30674f5c62103055308c305f0020005000440046002030d530a130a430eb306f3001004100630072006f0062006100740020304a30883073002000410064006f00620065002000520065006100640065007200200035002e003000204ee5964d3067958b304f30533068304c3067304d307e30593002gt KOR ltFEFFc7740020c124c815c7440020c0acc6a9d558c5ec0020b370c2a4d06cd0d10020d504b9b0d1300020bc0f0020ad50c815ae30c5d0c11c0020ace0d488c9c8b85c0020c778c1c4d560002000410064006f0062006500200050004400460020bb38c11cb97c0020c791c131d569b2c8b2e4002e0020c774b807ac8c0020c791c131b41c00200050004400460020bb38c11cb2940020004100630072006f0062006100740020bc0f002000410064006f00620065002000520065006100640065007200200035002e00300020c774c0c1c5d0c11c0020c5f40020c2180020c788c2b5b2c8b2e4002egt LTH ltFEFF004e006100750064006f006b0069007400650020016100690075006f007300200070006100720061006d006500740072007500730020006e006f0072011700640061006d00690020006b0075007200740069002000410064006f00620065002000500044004600200064006f006b0075006d0065006e007400750073002c0020006b007500720069006500200073006b00690072007400690020006b006f006b0079006200690161006b0061006900200073007000610075007300640069006e007400690020007300740061006c0069006e0069006100690073002000690072002000620061006e00640079006d006f00200073007000610075007300640069006e007400750076006100690073002e0020002000530075006b0075007200740069002000500044004600200064006f006b0075006d0065006e007400610069002000670061006c006900200062016b007400690020006100740069006400610072006f006d00690020004100630072006f006200610074002000690072002000410064006f00620065002000520065006100640065007200200035002e0030002000610072002000760117006c00650073006e0117006d00690073002000760065007200730069006a006f006d00690073002egt LVI ltFEFF0049007a006d0061006e0074006f006a00690065007400200161006f00730020006900650073007400610074012b006a0075006d00750073002c0020006c0061006900200069007a0076006500690064006f00740075002000410064006f00620065002000500044004600200064006f006b0075006d0065006e0074007500730020006b00760061006c0069007400610074012b0076006100690020006400720075006b010101610061006e00610069002000610072002000670061006c006400610020007000720069006e00740065007200690065006d00200075006e0020007000610072006100750067006e006f00760069006c006b0075006d0075002000690065007300700069006500640113006a00690065006d002e00200049007a0076006500690064006f006a006900650074002000500044004600200064006f006b0075006d0065006e007400750073002c0020006b006f002000760061007200200061007400760113007200740020006100720020004100630072006f00620061007400200075006e002000410064006f00620065002000520065006100640065007200200035002e0030002c0020006b0101002000610072012b00200074006f0020006a00610075006e0101006b0101006d002000760065007200730069006a0101006d002egt NLD (Gebruik deze instellingen om Adobe PDF-documenten te maken voor kwaliteitsafdrukken op desktopprinters en proofers De gemaakte PDF-documenten kunnen worden geopend met Acrobat en Adobe Reader 50 en hoger) NOR ltFEFF004200720075006b00200064006900730073006500200069006e006e007300740069006c006c0069006e00670065006e0065002000740069006c002000e50020006f0070007000720065007400740065002000410064006f006200650020005000440046002d0064006f006b0075006d0065006e00740065007200200066006f00720020007500740073006b00720069006600740020006100760020006800f800790020006b00760061006c00690074006500740020007000e500200062006f007200640073006b0072006900760065007200200065006c006c00650072002000700072006f006f006600650072002e0020005000440046002d0064006f006b0075006d0065006e00740065006e00650020006b0061006e002000e50070006e00650073002000690020004100630072006f00620061007400200065006c006c00650072002000410064006f00620065002000520065006100640065007200200035002e003000200065006c006c00650072002000730065006e006500720065002egt POL ltFEFF0055007300740061007700690065006e0069006100200064006f002000740077006f0072007a0065006e0069006100200064006f006b0075006d0065006e007400f3007700200050004400460020007a002000770079017c0073007a010500200072006f007a0064007a00690065006c0063007a006f015b0063006901050020006f006200720061007a006b00f30077002c0020007a0061007000650077006e00690061006a0105006301050020006c006500700073007a01050020006a0061006b006f015b0107002000770079006400720075006b00f30077002e00200044006f006b0075006d0065006e0074007900200050004400460020006d006f017c006e00610020006f007400770069006500720061010700200077002000700072006f006700720061006d006900650020004100630072006f00620061007400200069002000410064006f00620065002000520065006100640065007200200035002e0030002000690020006e006f00770073007a0079006d002egt PTB ltFEFF005500740069006c0069007a006500200065007300730061007300200063006f006e00660069006700750072006100e700f50065007300200064006500200066006f0072006d00610020006100200063007200690061007200200064006f00630075006d0065006e0074006f0073002000410064006f0062006500200050004400460020007000610072006100200069006d0070007200650073007300f5006500730020006400650020007100750061006c0069006400610064006500200065006d00200069006d00700072006500730073006f0072006100730020006400650073006b0074006f00700020006500200064006900730070006f00730069007400690076006f0073002000640065002000700072006f00760061002e0020004f007300200064006f00630075006d0065006e0074006f00730020005000440046002000630072006900610064006f007300200070006f00640065006d0020007300650072002000610062006500720074006f007300200063006f006d0020006f0020004100630072006f006200610074002000650020006f002000410064006f00620065002000520065006100640065007200200035002e0030002000650020007600650072007300f50065007300200070006f00730074006500720069006f007200650073002egt RUM ltFEFF005500740069006c0069007a00610163006900200061006300650073007400650020007300650074010300720069002000700065006e007400720075002000610020006300720065006100200064006f00630075006d0065006e00740065002000410064006f006200650020005000440046002000700065006e007400720075002000740069007001030072006900720065002000640065002000630061006c006900740061007400650020006c006100200069006d007000720069006d0061006e007400650020006400650073006b0074006f00700020015f0069002000700065006e0074007200750020007600650072006900660069006300610074006f00720069002e002000200044006f00630075006d0065006e00740065006c00650020005000440046002000630072006500610074006500200070006f00740020006600690020006400650073006300680069007300650020006300750020004100630072006f006200610074002c002000410064006f00620065002000520065006100640065007200200035002e00300020015f00690020007600650072007300690075006e0069006c006500200075006c0074006500720069006f006100720065002egt RUS ltFEFF04180441043f043e043b044c04370443043904420435002004340430043d043d044b04350020043d0430044104420440043e0439043a043800200434043b044f00200441043e043704340430043d0438044f00200434043e043a0443043c0435043d0442043e0432002000410064006f006200650020005000440046002c0020043f044004350434043d04300437043d043004470435043d043d044b044500200434043b044f0020043a0430044704350441044204320435043d043d043e04390020043f043504470430044204380020043d04300020043d043004410442043e043b044c043d044b04450020043f04400438043d044204350440043004450020043800200443044104420440043e04390441044204320430044500200434043b044f0020043f043e043b044304470435043d0438044f0020043f0440043e0431043d044b04450020043e0442044204380441043a043e0432002e002000200421043e043704340430043d043d044b04350020005000440046002d0434043e043a0443043c0435043d0442044b0020043c043e0436043d043e00200020043e0442043a0440044b043204300442044c002004410020043f043e043c043e0449044c044e0020004100630072006f00620061007400200438002000410064006f00620065002000520065006100640065007200200035002e00300020043800200431043e043b043504350020043f043e04370434043d043804450020043204350440044104380439002egt SKY ltFEFF0054006900650074006f0020006e006100730074006100760065006e0069006100200070006f0075017e0069007400650020006e00610020007600790074007600e100720061006e0069006500200064006f006b0075006d0065006e0074006f0076002000410064006f00620065002000500044004600200070007200650020006b00760061006c00690074006e00fa00200074006c0061010d0020006e0061002000730074006f006c006e00fd0063006800200074006c0061010d00690061007201480061006300680020006100200074006c0061010d006f007600fd006300680020007a006100720069006100640065006e0069006100630068002e00200056007900740076006f00720065006e00e900200064006f006b0075006d0065006e007400790020005000440046002000620075006400650020006d006f017e006e00e90020006f00740076006f00720069016500200076002000700072006f006700720061006d006f006300680020004100630072006f00620061007400200061002000410064006f00620065002000520065006100640065007200200035002e0030002000610020006e006f0076016100ed00630068002e000d000agt SLV ltFEFF005400650020006e006100730074006100760069007400760065002000750070006f0072006100620069007400650020007a00610020007500730074007600610072006a0061006e006a006500200064006f006b0075006d0065006e0074006f0076002000410064006f0062006500200050004400460020007a00610020006b0061006b006f0076006f00730074006e006f0020007400690073006b0061006e006a00650020006e00610020006e0061006d0069007a006e006900680020007400690073006b0061006c006e0069006b0069006800200069006e0020007000720065007600650072006a0061006c006e0069006b00690068002e00200020005500730074007600610072006a0065006e006500200064006f006b0075006d0065006e0074006500200050004400460020006a00650020006d006f0067006f010d00650020006f0064007000720065007400690020007a0020004100630072006f00620061007400200069006e002000410064006f00620065002000520065006100640065007200200035002e003000200069006e0020006e006f00760065006a01610069006d002egt SUO ltFEFF004b00e40079007400e40020006e00e40069007400e4002000610073006500740075006b007300690061002c0020006b0075006e0020006c0075006f0074002000410064006f0062006500200050004400460020002d0064006f006b0075006d0065006e007400740065006a00610020006c0061006100640075006b006100730074006100200074007900f6007000f60079007400e400740075006c006f0073007400750073007400610020006a00610020007600650064006f007300740075007300740061002000760061007200740065006e002e00200020004c0075006f0064007500740020005000440046002d0064006f006b0075006d0065006e00740069007400200076006f0069006400610061006e0020006100760061007400610020004100630072006f0062006100740069006c006c00610020006a0061002000410064006f00620065002000520065006100640065007200200035002e0030003a006c006c00610020006a006100200075007500640065006d006d0069006c006c0061002egt SVE ltFEFF0041006e007600e4006e00640020006400650020006800e4007200200069006e0073007400e4006c006c006e0069006e006700610072006e00610020006f006d002000640075002000760069006c006c00200073006b006100700061002000410064006f006200650020005000440046002d0064006f006b0075006d0065006e00740020006600f600720020006b00760061006c00690074006500740073007500740073006b0072006900660074006500720020007000e5002000760061006e006c00690067006100200073006b0072006900760061007200650020006f006300680020006600f600720020006b006f007200720065006b007400750072002e002000200053006b006100700061006400650020005000440046002d0064006f006b0075006d0065006e00740020006b0061006e002000f600700070006e00610073002000690020004100630072006f0062006100740020006f00630068002000410064006f00620065002000520065006100640065007200200035002e00300020006f00630068002000730065006e006100720065002egt TUR ltFEFF004d00610073006100fc0073007400fc002000790061007a013100630131006c006100720020007600650020006200610073006b01310020006d0061006b0069006e0065006c006500720069006e006400650020006b0061006c006900740065006c00690020006200610073006b013100200061006d0061006301310079006c0061002000410064006f006200650020005000440046002000620065006c00670065006c0065007200690020006f006c0075015f007400750072006d0061006b0020006900e70069006e00200062007500200061007900610072006c0061007201310020006b0075006c006c0061006e0131006e002e00200020004f006c0075015f0074007500720075006c0061006e0020005000440046002000620065006c00670065006c0065007200690020004100630072006f006200610074002000760065002000410064006f00620065002000520065006100640065007200200035002e003000200076006500200073006f006e0072006100730131006e00640061006b00690020007300fc007200fc006d006c00650072006c00650020006100e70131006c006100620069006c00690072002egt UKR ltFEFF04120438043a043e0440043804410442043e043204430439044204350020044604560020043f043004400430043c043504420440043800200434043b044f0020044104420432043e04400435043d043d044f00200434043e043a0443043c0435043d044204560432002000410064006f006200650020005000440046002c0020044f043a04560020043d04300439043a04400430044904350020043f045604340445043e0434044f0442044c00200434043b044f0020043204380441043e043a043e044f043a04560441043d043e0433043e0020043404400443043a04430020043d04300020043d0430044104420456043b044c043d043804450020043f04400438043d044204350440043004450020044204300020043f04400438044104420440043e044f044500200434043b044f0020043e044204400438043c0430043d043d044f0020043f0440043e0431043d0438044500200437043e04310440043004360435043d044c002e00200020042104420432043e04400435043d045600200434043e043a0443043c0435043d0442043800200050004400460020043c043e0436043d04300020043204560434043a0440043804420438002004430020004100630072006f006200610074002004420430002000410064006f00620065002000520065006100640065007200200035002e0030002004300431043e0020043f04560437043d04560448043e04570020043204350440044104560457002egt ENU ltFEFF005500730065002000740068006500730065002000730065007400740069006e0067007300200074006f0020006300720065006100740065002000410064006f0062006500200050004400460020006200610073006500640020006f006e0020007400680065002000640065006600610075006c0074002000580069006c0069006e007800200070006100720061006d0065007400650072002000730065007400740069006e0067007300200064006500660069006e00650064002000620079002000500053003000300034002e002000460069006c0065006e0061006d0065003a002000780069006c0069006e0078002e006a006f0062006f007000740069006f006e0073002e000d0043006f006d007000610074006100620069006c006900740079003a0020004100630072006f00620061007400200037002e0030002000280050004400460031002e00360029002e0020005500730065003a00200046006f00720020006300720065006100740069006e0067002000460069006e0061006c002c0020005000750062006c0069007300680065006400200050004400460073002e000d0043007200650061007400650064002000310030002f00310032002f003100310020006200790020006a0066006f007200730074002egt gtgt Namespace [ (Adobe) (Common) (10) ] OtherNamespaces [ ltlt AsReaderSpreads false CropImagesToFrames true ErrorControl WarnAndContinue FlattenerIgnoreSpreadOverrides false IncludeGuidesGrids false IncludeNonPrinting false IncludeSlug false Namespace [ (Adobe) (InDesign) (40) ] OmitPlacedBitmaps false OmitPlacedEPS false OmitPlacedPDF false SimulateOverprint Legacy gtgt ltlt AddBleedMarks false AddColorBars false AddCropMarks false AddPageInfo false AddRegMarks false ConvertColors NoConversion DestinationProfileName () DestinationProfileSelector NA Downsample16BitImages true FlattenerPreset ltlt PresetSelector MediumResolution gtgt FormElements false GenerateStructure true IncludeBookmarks false IncludeHyperlinks false IncludeInteractive false IncludeLayers false IncludeProfiles true MultimediaHandling UseObjectSettings Namespace [ (Adobe) (CreativeSuite) (20) ] PDFXOutputIntentProfileSelector NA PreserveEditing true UntaggedCMYKHandling LeaveUntagged UntaggedRGBHandling LeaveUntagged UseDocumentBleed false gtgt ]gtgt setdistillerparamsltlt HWResolution [1200 1200] PageSize [612000 792000]gtgt setpagedevice

Page 29: Xilinx UG883 Kintex-7 FPGA KC705 Evaluation Kit Getting ...€¦ · Updated step 2 and step 3 in Requirements to Get Started, page 14. ... KC705 Getting Started Guide 9 UG883 (v3.0)

KC705 Getting Started Guide wwwxilinxcom 29UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

The Kintex-7 FPGA PCIe-DMA TRD is now set up and running Close the Application GUI to unload the software drivers and stop traffic flow

X-Ref Target - Figure 21

Figure 21 Verify Error-Free Operation in the Performance Monitor

UG883_21_121112

30 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

Evaluating the Kintex-7 FPGA Base TRDThe Kintex-7 FPGA Base TRD provides a Performance and Status monitor application and GUI The application enables customers to evaluate different system parameters This section demonstrates performance variances for the PCI Express and DMA interfaces based on the parameters set

To evaluate the Kintex-7 FPGA Base TRD

1 Launch the Performance Monitor for the Kintex-7 FPGA Base TRD

a Navigate to the k7_pcie_dma_ddr3_base folder

b Double-click k7_lin_trd_quickstart (Figure 22)mdashmake sure that the script has executable permissionmdashto launch the Performance Monitor and Status GUI

c A window prompt appears as shown in Figure 23 Click Run in Terminal to proceed

2 Set up the test parameters in the Performance Monitor

a Two data paths are available Raw Data Path0 and Raw Data Path1 On each path set the Packet Size to a value between 64 ndash 32768 bytes

X-Ref Target - Figure 22

Figure 22 Launch the Performance Monitor and Status GUI

UG883_22_121112

X-Ref Target - Figure 23

Figure 23 Run k7_lin_trd_quickstart

UG883_23_121112

KC705 Getting Started Guide wwwxilinxcom 31UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

3 Execute the test and view payload statistics in the Performance and Status Monitor (see Figure 24)

a Click Start Test to start the performance test

b Click the Payload Statistics tab to view data transfers on the DMA channels

c Click Stop Test to stop data traffic

d Vary the Packet Size parameters for the Raw Data Paths (see Figure 25) and click Start Test Then view the payload statistics to review data transfer rate on the DMA channels With a decrease in packet size the performance drops

Note Before changing packet size click Stop Test change the size and then click Start Test

X-Ref Target - Figure 24

Figure 24 Payload Statistics

UG883_24_121112

32 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

Note For packet sizes equal to 64 or 128 bytes the throughput is reduced and might not be visible on the Payload Statistics tab The exact values can be viewed on the System Status tab

4 Click the PCIe Statistics tab to view data transfer numbers with varying packet sizes on the PCIe interface (Figure 26)

X-Ref Target - Figure 25

Figure 25 Effect of Varying Packet Sizes on Performance

UG883_25_121112

KC705 Getting Started Guide wwwxilinxcom 33UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

The system performance of the Kintex-7 FPGA Base TRD has now been evaluated using the pre-built demonstration design bit file

Now that the Kintex-7 FPGA Base TRD demonstration has been set up and evaluated the design can be modified Before the design can be modified make sure to install the Vivado Design Suite on a PC It is not required that tools be installed on the PC system in which the KC705 evaluation board is plugged in by way of the PCIe edge connector

X-Ref Target - Figure 26

Figure 26 PCIe Statistics in the Performance Monitor

UG883_26_121112

34 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

KC705 Getting Started Guide wwwxilinxcom 35UG883 (v30) April 16 2013

Appendix A

Additional Resources

Xilinx ResourcesFor support resources such as Answers Documentation Downloads and Forums see the Xilinx Support website at

wwwxilinxcomsupport

For continual updates add the Answer Record to your myAlerts

wwwxilinxcomsupportmyalerts

For a glossary of technical terms used in Xilinx documentation see

wwwxilinxcomcompanytermshtm

Solution CentersSee the Xilinx Solution Centers for support on devices software tools and intellectual property at all stages of the design cycle Topics include design assistance advisories and troubleshooting tips

Further ResourcesThe most up to date information related to the KC705 board and its documentation is available on the following websites

The Kintex-7 FPGA KC705 Evaluation Kit Product Page

wwwxilinxcomkc705

The Kintex-7 FPGA KC705 Evaluation Kit Master Answer Record

wwwxilinxcomsupportanswers47787htm

Design advisories by software release for the Kintex-7 FPGA KC705 Evaluation Kit

wwwxilinxcomsupportnav=sd-nav-link-179661amptab=tab-bk

Kintex-7 FPGA Base Targeted Reference Design Release Notes and Known Issues Master Answer Record

wwwxilinxcomsupportanswers45679htm

These documents provide supplemental material useful with this user guide

UG810 KC705 Evaluation Board for the Kintex-7 FPGA User Guide

UG845 KC705 Reference Design User Guide

36 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Appendix A Additional Resources

UG798 Xilinx Design Tools Installation and Licensing Guide Vivado Design Suite and ISE Design Suite

UG908 Vivado Design Suite User Guide Programming and Debugging

UG882 Kintex-7 FPGA KC705 Base Targeted Reference Design User Guide (Vivado Design Suite 20124)

UG886 AMS101 Evaluation Card User Guide

UG477 7 Series FPGAs Integrated Block for PCI Express User Guide

ReferencesThe following websites provide supplemental material useful with this guide

1 LabVIEW 32-bit Run-Time Enginehttpjoulenicomniducdsviewpid2534langen

2 LabVIEW 64-bit Run-Time Engine httpjoulenicomniducdsviewpid2536langen

3 Tera Term Pro program httphpvectorcojpauthorsVA002416teratermhtml

4 Drivers on the Silicon Labs site httpwwwsilabscomSupport20DocumentsSoftwareCP210x_VCP_Win_XP_S2K3_Vista_7exe

5 Northwest Logic DMA back end core httpwwwnwlogiccompacketdma

6 Fedora project httpfedoraprojectorg

Fedora is a Linux-based operating system used in the development of this TRD

7 The GTK+ project API documentation httpwwwgtkorgdocumentationphp

GTK+ is a toolkit for creating graphical user interfaces (GUI)

KC705 Getting Started Guide wwwxilinxcom 37UG883 (v30) April 16 2013

Appendix B

Warranty

THIS LIMITED WARRANTY applies solely to standard hardware development boards and standard hardware programming cables manufactured by or on behalf of Xilinx (ldquoDevelopment Systemsrdquo) Subject to the limitations herein Xilinx warrants that Development Systems when delivered by Xilinx or its authorized distributor for ninety (90) days following the delivery date will be free from defects in material and workmanship and will substantially conform to Xilinx publicly available specifications for such products in effect at the time of delivery This limited warranty excludes (i) engineering samples or beta versions of Development Systems (which are provided ldquoAS ISrdquo without warranty) (ii) design defects or errors known as ldquoerratardquo (iii) Development Systems procured through unauthorized third parties and (iv) Development Systems that have been subject to misuse mishandling accident alteration neglect unauthorized repair or installation Furthermore this limited warranty shall not apply to the use of covered products in an application or environment that is not within Xilinx specifications or in the event of any act error neglect or default of Customer For any breach by Xilinx of this limited warranty the exclusive remedy of Customer and the sole liability of Xilinx shall be at the option of Xilinx to replace or repair the affected products or to refund to Customer the price of the affected products The availability of replacement products is subject to product discontinuation policies at Xilinx Customer may not return product without first obtaining a customer return material authorization (RMA) number from XilinxTHE WARRANTIES SET FORTH HEREIN ARE EXCLUSIVE XILINX DISCLAIMS ALL OTHER WARRANTIES WHETHER EXPRESS IMPLIED OR STATUTORY INCLUDING WITHOUT LIMITATION ANY WARRANTY OF MERCHANTABILITY FITNESS FOR A PARTICULAR PURPOSE OR NON-INFRINGEMENT AND ANY WARRANTY THAT MAY ARISE FROM COURSE OF DEALING COURSE OF PERFORMANCE OR USAGE OF TRADE (200810)

Do not throw Xilinx products marked with the ldquocrossed out wheeled binrdquo in the trash Directive 200296EC on waste electrical and electronic equipment (WEEE) requires the separate collection of WEEE Your cooperation is essential in ensuring the proper management of WEEE and the protection of the environment and human health from potential effects arising from the presence of hazardous substances in WEEE Return the marked products to Xilinx for proper disposal Further information and instructions for free-of-charge return available at httpwwwxilinxcomehsweeehtm

38 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Appendix B Warranty

  • Kintex-7 FPGA KC705 Evaluation Kit (Vivado Design Suite 20131)
    • Revision History
    • Table of Contents
    • Getting Started with the Kintex-7 FPGA KC705 Evaluation Kit (Vivado Design Suite 20131)
      • Introduction
      • Kit Contents
      • Basic Hardware Bring-up Using the BIST
        • Hardware Test Setup Requirements
          • Hardware Test Board Setup Requirements
            • Hardware Bring-Up
            • Run the BIST Application
              • AMS Bring-up with the AMS101 Evaluation Card
                • Requirements to Get Started
                • Evaluating AMS
                  • Advanced Bring-up Using the Base Targeted Reference Design
                    • Components
                    • Hardware Test Setup Requirements
                    • TRD Demonstration Setup
                    • Evaluating the Kintex-7 FPGA Base TRD
                        • Additional Resources
                          • Xilinx Resources
                          • Solution Centers
                          • Further Resources
                          • References
                            • Warranty
                                • ltlt ASCII85EncodePages false AllowTransparency false AutoPositionEPSFiles true AutoRotatePages All Binding Left CalGrayProfile (Dot Gain 20) CalRGBProfile (sRGB IEC61966-21) CalCMYKProfile (US Web Coated 050SWOP051 v2) sRGBProfile (sRGB IEC61966-21) CannotEmbedFontPolicy Warning CompatibilityLevel 16 CompressObjects Tags CompressPages true ConvertImagesToIndexed true PassThroughJPEGImages true CreateJobTicket false DefaultRenderingIntent Default DetectBlends true DetectCurves 00000 ColorConversionStrategy LeaveColorUnchanged DoThumbnails false EmbedAllFonts true EmbedOpenType false ParseICCProfilesInComments true EmbedJobOptions true DSCReportingLevel 0 EmitDSCWarnings false EndPage -1 ImageMemory 1048576 LockDistillerParams true MaxSubsetPct 100 Optimize true OPM 1 ParseDSCComments true ParseDSCCommentsForDocInfo true PreserveCopyPage true PreserveDICMYKValues true PreserveEPSInfo true PreserveFlatness true PreserveHalftoneInfo false PreserveOPIComments false PreserveOverprintSettings true StartPage 1 SubsetFonts true TransferFunctionInfo Apply UCRandBGInfo Preserve UsePrologue false ColorSettingsFile () AlwaysEmbed [ true ] NeverEmbed [ true ] AntiAliasColorImages false CropColorImages true ColorImageMinResolution 300 ColorImageMinResolutionPolicy OK DownsampleColorImages false ColorImageDownsampleType Bicubic ColorImageResolution 300 ColorImageDepth -1 ColorImageMinDownsampleDepth 1 ColorImageDownsampleThreshold 150000 EncodeColorImages true ColorImageFilter DCTEncode AutoFilterColorImages true ColorImageAutoFilterStrategy JPEG ColorACSImageDict ltlt QFactor 040 HSamples [1 1 1 1] VSamples [1 1 1 1] gtgt ColorImageDict ltlt QFactor 015 HSamples [1 1 1 1] VSamples [1 1 1 1] gtgt JPEG2000ColorACSImageDict ltlt TileWidth 256 TileHeight 256 Quality 30 gtgt JPEG2000ColorImageDict ltlt TileWidth 256 TileHeight 256 Quality 30 gtgt AntiAliasGrayImages false CropGrayImages true GrayImageMinResolution 300 GrayImageMinResolutionPolicy OK DownsampleGrayImages false GrayImageDownsampleType Bicubic GrayImageResolution 300 GrayImageDepth -1 GrayImageMinDownsampleDepth 2 GrayImageDownsampleThreshold 150000 EncodeGrayImages true GrayImageFilter DCTEncode AutoFilterGrayImages true GrayImageAutoFilterStrategy JPEG GrayACSImageDict ltlt QFactor 040 HSamples [1 1 1 1] VSamples [1 1 1 1] gtgt GrayImageDict ltlt QFactor 015 HSamples [1 1 1 1] VSamples [1 1 1 1] gtgt JPEG2000GrayACSImageDict ltlt TileWidth 256 TileHeight 256 Quality 30 gtgt JPEG2000GrayImageDict ltlt TileWidth 256 TileHeight 256 Quality 30 gtgt AntiAliasMonoImages false CropMonoImages true MonoImageMinResolution 1200 MonoImageMinResolutionPolicy OK DownsampleMonoImages false MonoImageDownsampleType Bicubic MonoImageResolution 1200 MonoImageDepth -1 MonoImageDownsampleThreshold 150000 EncodeMonoImages true MonoImageFilter CCITTFaxEncode MonoImageDict ltlt K -1 gtgt AllowPSXObjects false CheckCompliance [ None ] PDFX1aCheck false PDFX3Check false PDFXCompliantPDFOnly false PDFXNoTrimBoxError true PDFXTrimBoxToMediaBoxOffset [ 000000 000000 000000 000000 ] PDFXSetBleedBoxToMediaBox true PDFXBleedBoxToTrimBoxOffset [ 000000 000000 000000 000000 ] PDFXOutputIntentProfile (None) PDFXOutputConditionIdentifier () PDFXOutputCondition () PDFXRegistryName () PDFXTrapped False CreateJDFFile false Description ltlt ARA ltFEFF06270633062A062E062F0645002006470630064700200627064406250639062F0627062F0627062A002006440625064606340627062100200648062B062706260642002000410064006F00620065002000500044004600200645062A0648062706410642062900200644064406370628062706390629002006300627062A002006270644062C0648062F0629002006270644063906270644064A06290020064506460020062E06440627064400200627064406370627062806390627062A00200627064406450643062A0628064A062900200623064800200623062C06470632062900200625062C06310627062100200627064406280631064806410627062A061B0020064A06450643064600200641062A062D00200648062B0627062606420020005000440046002006270644064506460634062306290020062806270633062A062E062F062706450020004100630072006F0062006100740020064800410064006F006200650020005200650061006400650072002006250635062F0627063100200035002E0030002006480627064406250635062F062706310627062A0020062706440623062D062F062B002E0020064506390020005000440046002F0041060C0020062706440631062C062706210020064506310627062C063906290020062F0644064A0644002006450633062A062E062F06450020004100630072006F006200610074061B0020064A06450643064600200641062A062D00200648062B0627062606420020005000440046002006270644064506460634062306290020062806270633062A062E062F062706450020004100630072006F0062006100740020064800410064006F006200650020005200650061006400650072002006250635062F0627063100200035002E0030002006480627064406250635062F062706310627062A0020062706440623062D062F062B002Egt BGR ltFEFF04180437043f043e043b043704320430043904420435002004420435043704380020043d0430044104420440043e0439043a0438002c00200437043000200434043000200441044a0437043404300432043004420435002000410064006f00620065002000500044004600200434043e043a0443043c0435043d044204380020043704300020043a0430044704350441044204320435043d0020043f04350447043004420020043d04300020043d043004410442043e043b043d04380020043f04400438043d04420435044004380020043800200443044104420440043e043904410442043204300020043704300020043f04350447043004420020043d04300020043f0440043e0431043d04380020044004300437043f0435044704300442043a0438002e002000200421044a04370434043004340435043d043804420435002000500044004600200434043e043a0443043c0435043d044204380020043c043e0433043004420020043404300020044104350020043e0442043204300440044f0442002004410020004100630072006f00620061007400200438002000410064006f00620065002000520065006100640065007200200035002e00300020043800200441043b0435043404320430044904380020043204350440044104380438002egt CHS ltFEFF4f7f75288fd94e9b8bbe5b9a521b5efa7684002000500044004600206587686353ef901a8fc7684c976262535370673a548c002000700072006f006f00660065007200208fdb884c9ad88d2891cf62535370300260a853ef4ee54f7f75280020004100630072006f0062006100740020548c002000410064006f00620065002000520065006100640065007200200035002e003000204ee553ca66f49ad87248672c676562535f00521b5efa768400200050004400460020658768633002gt CHT ltFEFF4f7f752890194e9b8a2d7f6e5efa7acb7684002000410064006f006200650020005000440046002065874ef653ef5728684c9762537088686a5f548c002000700072006f006f00660065007200204e0a73725f979ad854c18cea7684521753706548679c300260a853ef4ee54f7f75280020004100630072006f0062006100740020548c002000410064006f00620065002000520065006100640065007200200035002e003000204ee553ca66f49ad87248672c4f86958b555f5df25efa7acb76840020005000440046002065874ef63002gt CZE ltFEFF005400610074006f0020006e006100730074006100760065006e00ed00200070006f0075017e0069006a007400650020006b0020007600790074007600e101590065006e00ed00200064006f006b0075006d0065006e0074016f002000410064006f006200650020005000440046002000700072006f0020006b00760061006c00690074006e00ed0020007400690073006b0020006e0061002000730074006f006c006e00ed006300680020007400690073006b00e10072006e00e100630068002000610020006e00e1007400690073006b006f007600fd006300680020007a0061015900ed007a0065006e00ed00630068002e002000200056007900740076006f01590065006e00e900200064006f006b0075006d0065006e007400790020005000440046002000620075006400650020006d006f017e006e00e90020006f007400650076015900ed007400200076002000700072006f006700720061006d0065006300680020004100630072006f00620061007400200061002000410064006f00620065002000520065006100640065007200200035002e0030002000610020006e006f0076011b006a016100ed00630068002egt DAN ltFEFF004200720075006700200069006e0064007300740069006c006c0069006e006700650072006e0065002000740069006c0020006100740020006f007000720065007400740065002000410064006f006200650020005000440046002d0064006f006b0075006d0065006e007400650072002000740069006c0020006b00760061006c00690074006500740073007500640073006b007200690076006e0069006e006700200065006c006c006500720020006b006f007200720065006b007400750072006c00e60073006e0069006e0067002e0020004400650020006f007000720065007400740065006400650020005000440046002d0064006f006b0075006d0065006e0074006500720020006b0061006e002000e50062006e00650073002000690020004100630072006f00620061007400200065006c006c006500720020004100630072006f006200610074002000520065006100640065007200200035002e00300020006f00670020006e0079006500720065002egt DEU ltFEFF00560065007200770065006e00640065006e0020005300690065002000640069006500730065002000450069006e007300740065006c006c0075006e00670065006e0020007a0075006d002000450072007300740065006c006c0065006e00200076006f006e002000410064006f006200650020005000440046002d0044006f006b0075006d0065006e00740065006e002c00200076006f006e002000640065006e0065006e002000530069006500200068006f00630068007700650072007400690067006500200044007200750063006b006500200061007500660020004400650073006b0074006f0070002d0044007200750063006b00650072006e00200075006e0064002000500072006f006f0066002d00470065007200e400740065006e002000650072007a0065007500670065006e0020006d00f60063006800740065006e002e002000450072007300740065006c006c007400650020005000440046002d0044006f006b0075006d0065006e007400650020006b00f6006e006e0065006e0020006d006900740020004100630072006f00620061007400200075006e0064002000410064006f00620065002000520065006100640065007200200035002e00300020006f0064006500720020006800f600680065007200200067006500f600660066006e00650074002000770065007200640065006e002egt ESP ltFEFF005500740069006c0069006300650020006500730074006100200063006f006e0066006900670075007200610063006900f3006e0020007000610072006100200063007200650061007200200064006f00630075006d0065006e0074006f0073002000640065002000410064006f0062006500200050004400460020007000610072006100200063006f006e00730065006700750069007200200069006d0070007200650073006900f3006e002000640065002000630061006c006900640061006400200065006e00200069006d0070007200650073006f0072006100730020006400650020006500730063007200690074006f00720069006f00200079002000680065007200720061006d00690065006e00740061007300200064006500200063006f00720072006500630063006900f3006e002e002000530065002000700075006500640065006e00200061006200720069007200200064006f00630075006d0065006e0074006f00730020005000440046002000630072006500610064006f007300200063006f006e0020004100630072006f006200610074002c002000410064006f00620065002000520065006100640065007200200035002e003000200079002000760065007200730069006f006e0065007300200070006f00730074006500720069006f007200650073002egt ETI ltFEFF004b00610073007500740061006700650020006e0065006900640020007300e4007400740065006900640020006c006100750061002d0020006a00610020006b006f006e00740072006f006c006c007400f5006d006d006900730065007000720069006e0074006500720069007400650020006a0061006f006b00730020006b00760061006c006900740065006500740073006500740065002000410064006f006200650020005000440046002d0064006f006b0075006d0065006e00740069006400650020006c006f006f006d006900730065006b0073002e002e00200020004c006f006f0064007500640020005000440046002d0064006f006b0075006d0065006e00740065002000730061006100740065002000610076006100640061002000700072006f006700720061006d006d006900640065006700610020004100630072006f0062006100740020006e0069006e0067002000410064006f00620065002000520065006100640065007200200035002e00300020006a00610020007500750065006d006100740065002000760065007200730069006f006f006e00690064006500670061002e000d000agt FRA ltFEFF005500740069006c006900730065007a00200063006500730020006f007000740069006f006e00730020006100660069006e00200064006500200063007200e900650072002000640065007300200064006f00630075006d0065006e00740073002000410064006f00620065002000500044004600200070006f007500720020006400650073002000e90070007200650075007600650073002000650074002000640065007300200069006d007000720065007300730069006f006e00730020006400650020006800610075007400650020007100750061006c0069007400e90020007300750072002000640065007300200069006d007000720069006d0061006e0074006500730020006400650020006200750072006500610075002e0020004c0065007300200064006f00630075006d0065006e00740073002000500044004600200063007200e900e90073002000700065007500760065006e0074002000ea0074007200650020006f007500760065007200740073002000640061006e00730020004100630072006f006200610074002c002000610069006e00730069002000710075002700410064006f00620065002000520065006100640065007200200035002e0030002000650074002000760065007200730069006f006e007300200075006c007400e90072006900650075007200650073002egt GRE ltFEFF03a703c103b703c303b903bc03bf03c003bf03b903ae03c303c403b5002003b103c503c403ad03c2002003c403b903c2002003c103c503b803bc03af03c303b503b903c2002003b303b903b1002003bd03b1002003b403b703bc03b903bf03c503c103b303ae03c303b503c403b5002003ad03b303b303c103b103c603b1002000410064006f006200650020005000440046002003b303b903b1002003b503ba03c403cd03c003c903c303b7002003c003bf03b903cc03c403b703c403b103c2002003c303b5002003b503ba03c403c503c003c903c403ad03c2002003b303c103b103c603b503af03bf03c5002003ba03b103b9002003b403bf03ba03b903bc03b103c303c403ad03c2002e0020002003a403b10020005000440046002003ad03b303b303c103b103c603b1002003c003bf03c5002003ad03c703b503c403b5002003b403b703bc03b903bf03c503c103b303ae03c303b503b9002003bc03c003bf03c103bf03cd03bd002003bd03b1002003b103bd03bf03b903c703c403bf03cd03bd002003bc03b5002003c403bf0020004100630072006f006200610074002c002003c403bf002000410064006f006200650020005200650061006400650072002000200035002e0030002003ba03b103b9002003bc03b503c403b103b303b503bd03ad03c303c403b503c103b503c2002003b503ba03b403cc03c303b503b903c2002egt HEB ltFEFF05D405E905EA05DE05E905D5002005D105D405D205D305E805D505EA002005D005DC05D4002005DB05D305D9002005DC05D905E605D505E8002005DE05E105DE05DB05D9002000410064006F006200650020005000440046002005E205D105D505E8002005D405D305E405E105D4002005D005D905DB05D505EA05D905EA002005D105DE05D305E405E105D505EA002005E905D505DC05D705E005D905D505EA002005D505DB05DC05D9002005D405D205D405D4002E002005DE05E105DE05DB05D9002005D4002D005000440046002005E905E005D505E605E805D905DD002005E005D905EA05E005D905DD002005DC05E405EA05D905D705D4002005D105D005DE05E605E205D505EA0020004100630072006F006200610074002005D5002D00410064006F00620065002000520065006100640065007200200035002E0030002005D505D205E805E105D005D505EA002005DE05EA05E705D305DE05D505EA002005D905D505EA05E8002Egt HRV ltFEFF005a00610020007300740076006100720061006e006a0065002000410064006f00620065002000500044004600200064006f006b0075006d0065006e0061007400610020007a00610020006b00760061006c00690074006500740061006e0020006900730070006900730020006e006100200070006900730061010d0069006d006100200069006c0069002000700072006f006f006600650072002000750072006501110061006a0069006d0061002e00200020005300740076006f00720065006e0069002000500044004600200064006f006b0075006d0065006e007400690020006d006f006700750020007300650020006f00740076006f00720069007400690020004100630072006f00620061007400200069002000410064006f00620065002000520065006100640065007200200035002e0030002000690020006b00610073006e0069006a0069006d0020007600650072007a0069006a0061006d0061002egt HUN ltFEFF004d0069006e0151007300e9006700690020006e0079006f006d00610074006f006b0020006b00e90073007a00ed007400e9007300e900680065007a002000610073007a00740061006c00690020006e0079006f006d00740061007400f3006b006f006e002000e9007300200070007200f300620061006e0079006f006d00f3006b006f006e00200065007a0065006b006b0065006c0020006100200062006500e1006c006c00ed007400e10073006f006b006b0061006c002c00200068006f007a007a006f006e0020006c00e9007400720065002000410064006f00620065002000500044004600200064006f006b0075006d0065006e00740075006d006f006b00610074002e0020002000410020006c00e90074007200650068006f007a006f00740074002000500044004600200064006f006b0075006d0065006e00740075006d006f006b00200061007a0020004100630072006f006200610074002c00200061007a002000410064006f00620065002000520065006100640065007200200035002e0030002000e9007300200061007a002000610074007400f3006c0020006b00e9007301510062006200690020007600650072007a006900f3006b006b0061006c00200020006e00790069007400680061007400f3006b0020006d00650067002egt ITA ltFEFF005500740069006c0069007a007a006100720065002000710075006500730074006500200069006d0070006f007300740061007a0069006f006e00690020007000650072002000630072006500610072006500200064006f00630075006d0065006e00740069002000410064006f006200650020005000440046002000700065007200200075006e00610020007300740061006d007000610020006400690020007100750061006c0069007400e00020007300750020007300740061006d00700061006e0074006900200065002000700072006f006f0066006500720020006400650073006b0074006f0070002e0020004900200064006f00630075006d0065006e007400690020005000440046002000630072006500610074006900200070006f00730073006f006e006f0020006500730073006500720065002000610070006500720074006900200063006f006e0020004100630072006f00620061007400200065002000410064006f00620065002000520065006100640065007200200035002e003000200065002000760065007200730069006f006e006900200073007500630063006500730073006900760065002egt JPN ltFEFF9ad854c18cea51fa529b7528002000410064006f0062006500200050004400460020658766f8306e4f5c6210306b4f7f75283057307e30593002537052376642306e753b8cea3092670059279650306b4fdd306430533068304c3067304d307e3059300230c730b930af30c830c330d730d730ea30f330bf3067306e53705237307e305f306f30d730eb30fc30d57528306b9069305730663044307e305930023053306e8a2d5b9a30674f5c62103055308c305f0020005000440046002030d530a130a430eb306f3001004100630072006f0062006100740020304a30883073002000410064006f00620065002000520065006100640065007200200035002e003000204ee5964d3067958b304f30533068304c3067304d307e30593002gt KOR ltFEFFc7740020c124c815c7440020c0acc6a9d558c5ec0020b370c2a4d06cd0d10020d504b9b0d1300020bc0f0020ad50c815ae30c5d0c11c0020ace0d488c9c8b85c0020c778c1c4d560002000410064006f0062006500200050004400460020bb38c11cb97c0020c791c131d569b2c8b2e4002e0020c774b807ac8c0020c791c131b41c00200050004400460020bb38c11cb2940020004100630072006f0062006100740020bc0f002000410064006f00620065002000520065006100640065007200200035002e00300020c774c0c1c5d0c11c0020c5f40020c2180020c788c2b5b2c8b2e4002egt LTH ltFEFF004e006100750064006f006b0069007400650020016100690075006f007300200070006100720061006d006500740072007500730020006e006f0072011700640061006d00690020006b0075007200740069002000410064006f00620065002000500044004600200064006f006b0075006d0065006e007400750073002c0020006b007500720069006500200073006b00690072007400690020006b006f006b0079006200690161006b0061006900200073007000610075007300640069006e007400690020007300740061006c0069006e0069006100690073002000690072002000620061006e00640079006d006f00200073007000610075007300640069006e007400750076006100690073002e0020002000530075006b0075007200740069002000500044004600200064006f006b0075006d0065006e007400610069002000670061006c006900200062016b007400690020006100740069006400610072006f006d00690020004100630072006f006200610074002000690072002000410064006f00620065002000520065006100640065007200200035002e0030002000610072002000760117006c00650073006e0117006d00690073002000760065007200730069006a006f006d00690073002egt LVI ltFEFF0049007a006d0061006e0074006f006a00690065007400200161006f00730020006900650073007400610074012b006a0075006d00750073002c0020006c0061006900200069007a0076006500690064006f00740075002000410064006f00620065002000500044004600200064006f006b0075006d0065006e0074007500730020006b00760061006c0069007400610074012b0076006100690020006400720075006b010101610061006e00610069002000610072002000670061006c006400610020007000720069006e00740065007200690065006d00200075006e0020007000610072006100750067006e006f00760069006c006b0075006d0075002000690065007300700069006500640113006a00690065006d002e00200049007a0076006500690064006f006a006900650074002000500044004600200064006f006b0075006d0065006e007400750073002c0020006b006f002000760061007200200061007400760113007200740020006100720020004100630072006f00620061007400200075006e002000410064006f00620065002000520065006100640065007200200035002e0030002c0020006b0101002000610072012b00200074006f0020006a00610075006e0101006b0101006d002000760065007200730069006a0101006d002egt NLD (Gebruik deze instellingen om Adobe PDF-documenten te maken voor kwaliteitsafdrukken op desktopprinters en proofers De gemaakte PDF-documenten kunnen worden geopend met Acrobat en Adobe Reader 50 en hoger) NOR ltFEFF004200720075006b00200064006900730073006500200069006e006e007300740069006c006c0069006e00670065006e0065002000740069006c002000e50020006f0070007000720065007400740065002000410064006f006200650020005000440046002d0064006f006b0075006d0065006e00740065007200200066006f00720020007500740073006b00720069006600740020006100760020006800f800790020006b00760061006c00690074006500740020007000e500200062006f007200640073006b0072006900760065007200200065006c006c00650072002000700072006f006f006600650072002e0020005000440046002d0064006f006b0075006d0065006e00740065006e00650020006b0061006e002000e50070006e00650073002000690020004100630072006f00620061007400200065006c006c00650072002000410064006f00620065002000520065006100640065007200200035002e003000200065006c006c00650072002000730065006e006500720065002egt POL ltFEFF0055007300740061007700690065006e0069006100200064006f002000740077006f0072007a0065006e0069006100200064006f006b0075006d0065006e007400f3007700200050004400460020007a002000770079017c0073007a010500200072006f007a0064007a00690065006c0063007a006f015b0063006901050020006f006200720061007a006b00f30077002c0020007a0061007000650077006e00690061006a0105006301050020006c006500700073007a01050020006a0061006b006f015b0107002000770079006400720075006b00f30077002e00200044006f006b0075006d0065006e0074007900200050004400460020006d006f017c006e00610020006f007400770069006500720061010700200077002000700072006f006700720061006d006900650020004100630072006f00620061007400200069002000410064006f00620065002000520065006100640065007200200035002e0030002000690020006e006f00770073007a0079006d002egt PTB ltFEFF005500740069006c0069007a006500200065007300730061007300200063006f006e00660069006700750072006100e700f50065007300200064006500200066006f0072006d00610020006100200063007200690061007200200064006f00630075006d0065006e0074006f0073002000410064006f0062006500200050004400460020007000610072006100200069006d0070007200650073007300f5006500730020006400650020007100750061006c0069006400610064006500200065006d00200069006d00700072006500730073006f0072006100730020006400650073006b0074006f00700020006500200064006900730070006f00730069007400690076006f0073002000640065002000700072006f00760061002e0020004f007300200064006f00630075006d0065006e0074006f00730020005000440046002000630072006900610064006f007300200070006f00640065006d0020007300650072002000610062006500720074006f007300200063006f006d0020006f0020004100630072006f006200610074002000650020006f002000410064006f00620065002000520065006100640065007200200035002e0030002000650020007600650072007300f50065007300200070006f00730074006500720069006f007200650073002egt RUM ltFEFF005500740069006c0069007a00610163006900200061006300650073007400650020007300650074010300720069002000700065006e007400720075002000610020006300720065006100200064006f00630075006d0065006e00740065002000410064006f006200650020005000440046002000700065006e007400720075002000740069007001030072006900720065002000640065002000630061006c006900740061007400650020006c006100200069006d007000720069006d0061006e007400650020006400650073006b0074006f00700020015f0069002000700065006e0074007200750020007600650072006900660069006300610074006f00720069002e002000200044006f00630075006d0065006e00740065006c00650020005000440046002000630072006500610074006500200070006f00740020006600690020006400650073006300680069007300650020006300750020004100630072006f006200610074002c002000410064006f00620065002000520065006100640065007200200035002e00300020015f00690020007600650072007300690075006e0069006c006500200075006c0074006500720069006f006100720065002egt RUS ltFEFF04180441043f043e043b044c04370443043904420435002004340430043d043d044b04350020043d0430044104420440043e0439043a043800200434043b044f00200441043e043704340430043d0438044f00200434043e043a0443043c0435043d0442043e0432002000410064006f006200650020005000440046002c0020043f044004350434043d04300437043d043004470435043d043d044b044500200434043b044f0020043a0430044704350441044204320435043d043d043e04390020043f043504470430044204380020043d04300020043d043004410442043e043b044c043d044b04450020043f04400438043d044204350440043004450020043800200443044104420440043e04390441044204320430044500200434043b044f0020043f043e043b044304470435043d0438044f0020043f0440043e0431043d044b04450020043e0442044204380441043a043e0432002e002000200421043e043704340430043d043d044b04350020005000440046002d0434043e043a0443043c0435043d0442044b0020043c043e0436043d043e00200020043e0442043a0440044b043204300442044c002004410020043f043e043c043e0449044c044e0020004100630072006f00620061007400200438002000410064006f00620065002000520065006100640065007200200035002e00300020043800200431043e043b043504350020043f043e04370434043d043804450020043204350440044104380439002egt SKY ltFEFF0054006900650074006f0020006e006100730074006100760065006e0069006100200070006f0075017e0069007400650020006e00610020007600790074007600e100720061006e0069006500200064006f006b0075006d0065006e0074006f0076002000410064006f00620065002000500044004600200070007200650020006b00760061006c00690074006e00fa00200074006c0061010d0020006e0061002000730074006f006c006e00fd0063006800200074006c0061010d00690061007201480061006300680020006100200074006c0061010d006f007600fd006300680020007a006100720069006100640065006e0069006100630068002e00200056007900740076006f00720065006e00e900200064006f006b0075006d0065006e007400790020005000440046002000620075006400650020006d006f017e006e00e90020006f00740076006f00720069016500200076002000700072006f006700720061006d006f006300680020004100630072006f00620061007400200061002000410064006f00620065002000520065006100640065007200200035002e0030002000610020006e006f0076016100ed00630068002e000d000agt SLV ltFEFF005400650020006e006100730074006100760069007400760065002000750070006f0072006100620069007400650020007a00610020007500730074007600610072006a0061006e006a006500200064006f006b0075006d0065006e0074006f0076002000410064006f0062006500200050004400460020007a00610020006b0061006b006f0076006f00730074006e006f0020007400690073006b0061006e006a00650020006e00610020006e0061006d0069007a006e006900680020007400690073006b0061006c006e0069006b0069006800200069006e0020007000720065007600650072006a0061006c006e0069006b00690068002e00200020005500730074007600610072006a0065006e006500200064006f006b0075006d0065006e0074006500200050004400460020006a00650020006d006f0067006f010d00650020006f0064007000720065007400690020007a0020004100630072006f00620061007400200069006e002000410064006f00620065002000520065006100640065007200200035002e003000200069006e0020006e006f00760065006a01610069006d002egt SUO ltFEFF004b00e40079007400e40020006e00e40069007400e4002000610073006500740075006b007300690061002c0020006b0075006e0020006c0075006f0074002000410064006f0062006500200050004400460020002d0064006f006b0075006d0065006e007400740065006a00610020006c0061006100640075006b006100730074006100200074007900f6007000f60079007400e400740075006c006f0073007400750073007400610020006a00610020007600650064006f007300740075007300740061002000760061007200740065006e002e00200020004c0075006f0064007500740020005000440046002d0064006f006b0075006d0065006e00740069007400200076006f0069006400610061006e0020006100760061007400610020004100630072006f0062006100740069006c006c00610020006a0061002000410064006f00620065002000520065006100640065007200200035002e0030003a006c006c00610020006a006100200075007500640065006d006d0069006c006c0061002egt SVE ltFEFF0041006e007600e4006e00640020006400650020006800e4007200200069006e0073007400e4006c006c006e0069006e006700610072006e00610020006f006d002000640075002000760069006c006c00200073006b006100700061002000410064006f006200650020005000440046002d0064006f006b0075006d0065006e00740020006600f600720020006b00760061006c00690074006500740073007500740073006b0072006900660074006500720020007000e5002000760061006e006c00690067006100200073006b0072006900760061007200650020006f006300680020006600f600720020006b006f007200720065006b007400750072002e002000200053006b006100700061006400650020005000440046002d0064006f006b0075006d0065006e00740020006b0061006e002000f600700070006e00610073002000690020004100630072006f0062006100740020006f00630068002000410064006f00620065002000520065006100640065007200200035002e00300020006f00630068002000730065006e006100720065002egt TUR ltFEFF004d00610073006100fc0073007400fc002000790061007a013100630131006c006100720020007600650020006200610073006b01310020006d0061006b0069006e0065006c006500720069006e006400650020006b0061006c006900740065006c00690020006200610073006b013100200061006d0061006301310079006c0061002000410064006f006200650020005000440046002000620065006c00670065006c0065007200690020006f006c0075015f007400750072006d0061006b0020006900e70069006e00200062007500200061007900610072006c0061007201310020006b0075006c006c0061006e0131006e002e00200020004f006c0075015f0074007500720075006c0061006e0020005000440046002000620065006c00670065006c0065007200690020004100630072006f006200610074002000760065002000410064006f00620065002000520065006100640065007200200035002e003000200076006500200073006f006e0072006100730131006e00640061006b00690020007300fc007200fc006d006c00650072006c00650020006100e70131006c006100620069006c00690072002egt UKR ltFEFF04120438043a043e0440043804410442043e043204430439044204350020044604560020043f043004400430043c043504420440043800200434043b044f0020044104420432043e04400435043d043d044f00200434043e043a0443043c0435043d044204560432002000410064006f006200650020005000440046002c0020044f043a04560020043d04300439043a04400430044904350020043f045604340445043e0434044f0442044c00200434043b044f0020043204380441043e043a043e044f043a04560441043d043e0433043e0020043404400443043a04430020043d04300020043d0430044104420456043b044c043d043804450020043f04400438043d044204350440043004450020044204300020043f04400438044104420440043e044f044500200434043b044f0020043e044204400438043c0430043d043d044f0020043f0440043e0431043d0438044500200437043e04310440043004360435043d044c002e00200020042104420432043e04400435043d045600200434043e043a0443043c0435043d0442043800200050004400460020043c043e0436043d04300020043204560434043a0440043804420438002004430020004100630072006f006200610074002004420430002000410064006f00620065002000520065006100640065007200200035002e0030002004300431043e0020043f04560437043d04560448043e04570020043204350440044104560457002egt ENU ltFEFF005500730065002000740068006500730065002000730065007400740069006e0067007300200074006f0020006300720065006100740065002000410064006f0062006500200050004400460020006200610073006500640020006f006e0020007400680065002000640065006600610075006c0074002000580069006c0069006e007800200070006100720061006d0065007400650072002000730065007400740069006e0067007300200064006500660069006e00650064002000620079002000500053003000300034002e002000460069006c0065006e0061006d0065003a002000780069006c0069006e0078002e006a006f0062006f007000740069006f006e0073002e000d0043006f006d007000610074006100620069006c006900740079003a0020004100630072006f00620061007400200037002e0030002000280050004400460031002e00360029002e0020005500730065003a00200046006f00720020006300720065006100740069006e0067002000460069006e0061006c002c0020005000750062006c0069007300680065006400200050004400460073002e000d0043007200650061007400650064002000310030002f00310032002f003100310020006200790020006a0066006f007200730074002egt gtgt Namespace [ (Adobe) (Common) (10) ] OtherNamespaces [ ltlt AsReaderSpreads false CropImagesToFrames true ErrorControl WarnAndContinue FlattenerIgnoreSpreadOverrides false IncludeGuidesGrids false IncludeNonPrinting false IncludeSlug false Namespace [ (Adobe) (InDesign) (40) ] OmitPlacedBitmaps false OmitPlacedEPS false OmitPlacedPDF false SimulateOverprint Legacy gtgt ltlt AddBleedMarks false AddColorBars false AddCropMarks false AddPageInfo false AddRegMarks false ConvertColors NoConversion DestinationProfileName () DestinationProfileSelector NA Downsample16BitImages true FlattenerPreset ltlt PresetSelector MediumResolution gtgt FormElements false GenerateStructure true IncludeBookmarks false IncludeHyperlinks false IncludeInteractive false IncludeLayers false IncludeProfiles true MultimediaHandling UseObjectSettings Namespace [ (Adobe) (CreativeSuite) (20) ] PDFXOutputIntentProfileSelector NA PreserveEditing true UntaggedCMYKHandling LeaveUntagged UntaggedRGBHandling LeaveUntagged UseDocumentBleed false gtgt ]gtgt setdistillerparamsltlt HWResolution [1200 1200] PageSize [612000 792000]gtgt setpagedevice

Page 30: Xilinx UG883 Kintex-7 FPGA KC705 Evaluation Kit Getting ...€¦ · Updated step 2 and step 3 in Requirements to Get Started, page 14. ... KC705 Getting Started Guide 9 UG883 (v3.0)

30 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

Evaluating the Kintex-7 FPGA Base TRDThe Kintex-7 FPGA Base TRD provides a Performance and Status monitor application and GUI The application enables customers to evaluate different system parameters This section demonstrates performance variances for the PCI Express and DMA interfaces based on the parameters set

To evaluate the Kintex-7 FPGA Base TRD

1 Launch the Performance Monitor for the Kintex-7 FPGA Base TRD

a Navigate to the k7_pcie_dma_ddr3_base folder

b Double-click k7_lin_trd_quickstart (Figure 22)mdashmake sure that the script has executable permissionmdashto launch the Performance Monitor and Status GUI

c A window prompt appears as shown in Figure 23 Click Run in Terminal to proceed

2 Set up the test parameters in the Performance Monitor

a Two data paths are available Raw Data Path0 and Raw Data Path1 On each path set the Packet Size to a value between 64 ndash 32768 bytes

X-Ref Target - Figure 22

Figure 22 Launch the Performance Monitor and Status GUI

UG883_22_121112

X-Ref Target - Figure 23

Figure 23 Run k7_lin_trd_quickstart

UG883_23_121112

KC705 Getting Started Guide wwwxilinxcom 31UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

3 Execute the test and view payload statistics in the Performance and Status Monitor (see Figure 24)

a Click Start Test to start the performance test

b Click the Payload Statistics tab to view data transfers on the DMA channels

c Click Stop Test to stop data traffic

d Vary the Packet Size parameters for the Raw Data Paths (see Figure 25) and click Start Test Then view the payload statistics to review data transfer rate on the DMA channels With a decrease in packet size the performance drops

Note Before changing packet size click Stop Test change the size and then click Start Test

X-Ref Target - Figure 24

Figure 24 Payload Statistics

UG883_24_121112

32 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

Note For packet sizes equal to 64 or 128 bytes the throughput is reduced and might not be visible on the Payload Statistics tab The exact values can be viewed on the System Status tab

4 Click the PCIe Statistics tab to view data transfer numbers with varying packet sizes on the PCIe interface (Figure 26)

X-Ref Target - Figure 25

Figure 25 Effect of Varying Packet Sizes on Performance

UG883_25_121112

KC705 Getting Started Guide wwwxilinxcom 33UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

The system performance of the Kintex-7 FPGA Base TRD has now been evaluated using the pre-built demonstration design bit file

Now that the Kintex-7 FPGA Base TRD demonstration has been set up and evaluated the design can be modified Before the design can be modified make sure to install the Vivado Design Suite on a PC It is not required that tools be installed on the PC system in which the KC705 evaluation board is plugged in by way of the PCIe edge connector

X-Ref Target - Figure 26

Figure 26 PCIe Statistics in the Performance Monitor

UG883_26_121112

34 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

KC705 Getting Started Guide wwwxilinxcom 35UG883 (v30) April 16 2013

Appendix A

Additional Resources

Xilinx ResourcesFor support resources such as Answers Documentation Downloads and Forums see the Xilinx Support website at

wwwxilinxcomsupport

For continual updates add the Answer Record to your myAlerts

wwwxilinxcomsupportmyalerts

For a glossary of technical terms used in Xilinx documentation see

wwwxilinxcomcompanytermshtm

Solution CentersSee the Xilinx Solution Centers for support on devices software tools and intellectual property at all stages of the design cycle Topics include design assistance advisories and troubleshooting tips

Further ResourcesThe most up to date information related to the KC705 board and its documentation is available on the following websites

The Kintex-7 FPGA KC705 Evaluation Kit Product Page

wwwxilinxcomkc705

The Kintex-7 FPGA KC705 Evaluation Kit Master Answer Record

wwwxilinxcomsupportanswers47787htm

Design advisories by software release for the Kintex-7 FPGA KC705 Evaluation Kit

wwwxilinxcomsupportnav=sd-nav-link-179661amptab=tab-bk

Kintex-7 FPGA Base Targeted Reference Design Release Notes and Known Issues Master Answer Record

wwwxilinxcomsupportanswers45679htm

These documents provide supplemental material useful with this user guide

UG810 KC705 Evaluation Board for the Kintex-7 FPGA User Guide

UG845 KC705 Reference Design User Guide

36 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Appendix A Additional Resources

UG798 Xilinx Design Tools Installation and Licensing Guide Vivado Design Suite and ISE Design Suite

UG908 Vivado Design Suite User Guide Programming and Debugging

UG882 Kintex-7 FPGA KC705 Base Targeted Reference Design User Guide (Vivado Design Suite 20124)

UG886 AMS101 Evaluation Card User Guide

UG477 7 Series FPGAs Integrated Block for PCI Express User Guide

ReferencesThe following websites provide supplemental material useful with this guide

1 LabVIEW 32-bit Run-Time Enginehttpjoulenicomniducdsviewpid2534langen

2 LabVIEW 64-bit Run-Time Engine httpjoulenicomniducdsviewpid2536langen

3 Tera Term Pro program httphpvectorcojpauthorsVA002416teratermhtml

4 Drivers on the Silicon Labs site httpwwwsilabscomSupport20DocumentsSoftwareCP210x_VCP_Win_XP_S2K3_Vista_7exe

5 Northwest Logic DMA back end core httpwwwnwlogiccompacketdma

6 Fedora project httpfedoraprojectorg

Fedora is a Linux-based operating system used in the development of this TRD

7 The GTK+ project API documentation httpwwwgtkorgdocumentationphp

GTK+ is a toolkit for creating graphical user interfaces (GUI)

KC705 Getting Started Guide wwwxilinxcom 37UG883 (v30) April 16 2013

Appendix B

Warranty

THIS LIMITED WARRANTY applies solely to standard hardware development boards and standard hardware programming cables manufactured by or on behalf of Xilinx (ldquoDevelopment Systemsrdquo) Subject to the limitations herein Xilinx warrants that Development Systems when delivered by Xilinx or its authorized distributor for ninety (90) days following the delivery date will be free from defects in material and workmanship and will substantially conform to Xilinx publicly available specifications for such products in effect at the time of delivery This limited warranty excludes (i) engineering samples or beta versions of Development Systems (which are provided ldquoAS ISrdquo without warranty) (ii) design defects or errors known as ldquoerratardquo (iii) Development Systems procured through unauthorized third parties and (iv) Development Systems that have been subject to misuse mishandling accident alteration neglect unauthorized repair or installation Furthermore this limited warranty shall not apply to the use of covered products in an application or environment that is not within Xilinx specifications or in the event of any act error neglect or default of Customer For any breach by Xilinx of this limited warranty the exclusive remedy of Customer and the sole liability of Xilinx shall be at the option of Xilinx to replace or repair the affected products or to refund to Customer the price of the affected products The availability of replacement products is subject to product discontinuation policies at Xilinx Customer may not return product without first obtaining a customer return material authorization (RMA) number from XilinxTHE WARRANTIES SET FORTH HEREIN ARE EXCLUSIVE XILINX DISCLAIMS ALL OTHER WARRANTIES WHETHER EXPRESS IMPLIED OR STATUTORY INCLUDING WITHOUT LIMITATION ANY WARRANTY OF MERCHANTABILITY FITNESS FOR A PARTICULAR PURPOSE OR NON-INFRINGEMENT AND ANY WARRANTY THAT MAY ARISE FROM COURSE OF DEALING COURSE OF PERFORMANCE OR USAGE OF TRADE (200810)

Do not throw Xilinx products marked with the ldquocrossed out wheeled binrdquo in the trash Directive 200296EC on waste electrical and electronic equipment (WEEE) requires the separate collection of WEEE Your cooperation is essential in ensuring the proper management of WEEE and the protection of the environment and human health from potential effects arising from the presence of hazardous substances in WEEE Return the marked products to Xilinx for proper disposal Further information and instructions for free-of-charge return available at httpwwwxilinxcomehsweeehtm

38 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Appendix B Warranty

  • Kintex-7 FPGA KC705 Evaluation Kit (Vivado Design Suite 20131)
    • Revision History
    • Table of Contents
    • Getting Started with the Kintex-7 FPGA KC705 Evaluation Kit (Vivado Design Suite 20131)
      • Introduction
      • Kit Contents
      • Basic Hardware Bring-up Using the BIST
        • Hardware Test Setup Requirements
          • Hardware Test Board Setup Requirements
            • Hardware Bring-Up
            • Run the BIST Application
              • AMS Bring-up with the AMS101 Evaluation Card
                • Requirements to Get Started
                • Evaluating AMS
                  • Advanced Bring-up Using the Base Targeted Reference Design
                    • Components
                    • Hardware Test Setup Requirements
                    • TRD Demonstration Setup
                    • Evaluating the Kintex-7 FPGA Base TRD
                        • Additional Resources
                          • Xilinx Resources
                          • Solution Centers
                          • Further Resources
                          • References
                            • Warranty
                                • ltlt ASCII85EncodePages false AllowTransparency false AutoPositionEPSFiles true AutoRotatePages All Binding Left CalGrayProfile (Dot Gain 20) CalRGBProfile (sRGB IEC61966-21) CalCMYKProfile (US Web Coated 050SWOP051 v2) sRGBProfile (sRGB IEC61966-21) CannotEmbedFontPolicy Warning CompatibilityLevel 16 CompressObjects Tags CompressPages true ConvertImagesToIndexed true PassThroughJPEGImages true CreateJobTicket false DefaultRenderingIntent Default DetectBlends true DetectCurves 00000 ColorConversionStrategy LeaveColorUnchanged DoThumbnails false EmbedAllFonts true EmbedOpenType false ParseICCProfilesInComments true EmbedJobOptions true DSCReportingLevel 0 EmitDSCWarnings false EndPage -1 ImageMemory 1048576 LockDistillerParams true MaxSubsetPct 100 Optimize true OPM 1 ParseDSCComments true ParseDSCCommentsForDocInfo true PreserveCopyPage true PreserveDICMYKValues true PreserveEPSInfo true PreserveFlatness true PreserveHalftoneInfo false PreserveOPIComments false PreserveOverprintSettings true StartPage 1 SubsetFonts true TransferFunctionInfo Apply UCRandBGInfo Preserve UsePrologue false ColorSettingsFile () AlwaysEmbed [ true ] NeverEmbed [ true ] AntiAliasColorImages false CropColorImages true ColorImageMinResolution 300 ColorImageMinResolutionPolicy OK DownsampleColorImages false ColorImageDownsampleType Bicubic ColorImageResolution 300 ColorImageDepth -1 ColorImageMinDownsampleDepth 1 ColorImageDownsampleThreshold 150000 EncodeColorImages true ColorImageFilter DCTEncode AutoFilterColorImages true ColorImageAutoFilterStrategy JPEG ColorACSImageDict ltlt QFactor 040 HSamples [1 1 1 1] VSamples [1 1 1 1] gtgt ColorImageDict ltlt QFactor 015 HSamples [1 1 1 1] VSamples [1 1 1 1] gtgt JPEG2000ColorACSImageDict ltlt TileWidth 256 TileHeight 256 Quality 30 gtgt JPEG2000ColorImageDict ltlt TileWidth 256 TileHeight 256 Quality 30 gtgt AntiAliasGrayImages false CropGrayImages true GrayImageMinResolution 300 GrayImageMinResolutionPolicy OK DownsampleGrayImages false GrayImageDownsampleType Bicubic GrayImageResolution 300 GrayImageDepth -1 GrayImageMinDownsampleDepth 2 GrayImageDownsampleThreshold 150000 EncodeGrayImages true GrayImageFilter DCTEncode AutoFilterGrayImages true GrayImageAutoFilterStrategy JPEG GrayACSImageDict ltlt QFactor 040 HSamples [1 1 1 1] VSamples [1 1 1 1] gtgt GrayImageDict ltlt QFactor 015 HSamples [1 1 1 1] VSamples [1 1 1 1] gtgt JPEG2000GrayACSImageDict ltlt TileWidth 256 TileHeight 256 Quality 30 gtgt JPEG2000GrayImageDict ltlt TileWidth 256 TileHeight 256 Quality 30 gtgt AntiAliasMonoImages false CropMonoImages true MonoImageMinResolution 1200 MonoImageMinResolutionPolicy OK DownsampleMonoImages false MonoImageDownsampleType Bicubic MonoImageResolution 1200 MonoImageDepth -1 MonoImageDownsampleThreshold 150000 EncodeMonoImages true MonoImageFilter CCITTFaxEncode MonoImageDict ltlt K -1 gtgt AllowPSXObjects false CheckCompliance [ None ] PDFX1aCheck false PDFX3Check false PDFXCompliantPDFOnly false PDFXNoTrimBoxError true PDFXTrimBoxToMediaBoxOffset [ 000000 000000 000000 000000 ] PDFXSetBleedBoxToMediaBox true PDFXBleedBoxToTrimBoxOffset [ 000000 000000 000000 000000 ] PDFXOutputIntentProfile (None) PDFXOutputConditionIdentifier () PDFXOutputCondition () PDFXRegistryName () PDFXTrapped False CreateJDFFile false Description ltlt ARA ltFEFF06270633062A062E062F0645002006470630064700200627064406250639062F0627062F0627062A002006440625064606340627062100200648062B062706260642002000410064006F00620065002000500044004600200645062A0648062706410642062900200644064406370628062706390629002006300627062A002006270644062C0648062F0629002006270644063906270644064A06290020064506460020062E06440627064400200627064406370627062806390627062A00200627064406450643062A0628064A062900200623064800200623062C06470632062900200625062C06310627062100200627064406280631064806410627062A061B0020064A06450643064600200641062A062D00200648062B0627062606420020005000440046002006270644064506460634062306290020062806270633062A062E062F062706450020004100630072006F0062006100740020064800410064006F006200650020005200650061006400650072002006250635062F0627063100200035002E0030002006480627064406250635062F062706310627062A0020062706440623062D062F062B002E0020064506390020005000440046002F0041060C0020062706440631062C062706210020064506310627062C063906290020062F0644064A0644002006450633062A062E062F06450020004100630072006F006200610074061B0020064A06450643064600200641062A062D00200648062B0627062606420020005000440046002006270644064506460634062306290020062806270633062A062E062F062706450020004100630072006F0062006100740020064800410064006F006200650020005200650061006400650072002006250635062F0627063100200035002E0030002006480627064406250635062F062706310627062A0020062706440623062D062F062B002Egt BGR ltFEFF04180437043f043e043b043704320430043904420435002004420435043704380020043d0430044104420440043e0439043a0438002c00200437043000200434043000200441044a0437043404300432043004420435002000410064006f00620065002000500044004600200434043e043a0443043c0435043d044204380020043704300020043a0430044704350441044204320435043d0020043f04350447043004420020043d04300020043d043004410442043e043b043d04380020043f04400438043d04420435044004380020043800200443044104420440043e043904410442043204300020043704300020043f04350447043004420020043d04300020043f0440043e0431043d04380020044004300437043f0435044704300442043a0438002e002000200421044a04370434043004340435043d043804420435002000500044004600200434043e043a0443043c0435043d044204380020043c043e0433043004420020043404300020044104350020043e0442043204300440044f0442002004410020004100630072006f00620061007400200438002000410064006f00620065002000520065006100640065007200200035002e00300020043800200441043b0435043404320430044904380020043204350440044104380438002egt CHS ltFEFF4f7f75288fd94e9b8bbe5b9a521b5efa7684002000500044004600206587686353ef901a8fc7684c976262535370673a548c002000700072006f006f00660065007200208fdb884c9ad88d2891cf62535370300260a853ef4ee54f7f75280020004100630072006f0062006100740020548c002000410064006f00620065002000520065006100640065007200200035002e003000204ee553ca66f49ad87248672c676562535f00521b5efa768400200050004400460020658768633002gt CHT ltFEFF4f7f752890194e9b8a2d7f6e5efa7acb7684002000410064006f006200650020005000440046002065874ef653ef5728684c9762537088686a5f548c002000700072006f006f00660065007200204e0a73725f979ad854c18cea7684521753706548679c300260a853ef4ee54f7f75280020004100630072006f0062006100740020548c002000410064006f00620065002000520065006100640065007200200035002e003000204ee553ca66f49ad87248672c4f86958b555f5df25efa7acb76840020005000440046002065874ef63002gt CZE ltFEFF005400610074006f0020006e006100730074006100760065006e00ed00200070006f0075017e0069006a007400650020006b0020007600790074007600e101590065006e00ed00200064006f006b0075006d0065006e0074016f002000410064006f006200650020005000440046002000700072006f0020006b00760061006c00690074006e00ed0020007400690073006b0020006e0061002000730074006f006c006e00ed006300680020007400690073006b00e10072006e00e100630068002000610020006e00e1007400690073006b006f007600fd006300680020007a0061015900ed007a0065006e00ed00630068002e002000200056007900740076006f01590065006e00e900200064006f006b0075006d0065006e007400790020005000440046002000620075006400650020006d006f017e006e00e90020006f007400650076015900ed007400200076002000700072006f006700720061006d0065006300680020004100630072006f00620061007400200061002000410064006f00620065002000520065006100640065007200200035002e0030002000610020006e006f0076011b006a016100ed00630068002egt DAN ltFEFF004200720075006700200069006e0064007300740069006c006c0069006e006700650072006e0065002000740069006c0020006100740020006f007000720065007400740065002000410064006f006200650020005000440046002d0064006f006b0075006d0065006e007400650072002000740069006c0020006b00760061006c00690074006500740073007500640073006b007200690076006e0069006e006700200065006c006c006500720020006b006f007200720065006b007400750072006c00e60073006e0069006e0067002e0020004400650020006f007000720065007400740065006400650020005000440046002d0064006f006b0075006d0065006e0074006500720020006b0061006e002000e50062006e00650073002000690020004100630072006f00620061007400200065006c006c006500720020004100630072006f006200610074002000520065006100640065007200200035002e00300020006f00670020006e0079006500720065002egt DEU ltFEFF00560065007200770065006e00640065006e0020005300690065002000640069006500730065002000450069006e007300740065006c006c0075006e00670065006e0020007a0075006d002000450072007300740065006c006c0065006e00200076006f006e002000410064006f006200650020005000440046002d0044006f006b0075006d0065006e00740065006e002c00200076006f006e002000640065006e0065006e002000530069006500200068006f00630068007700650072007400690067006500200044007200750063006b006500200061007500660020004400650073006b0074006f0070002d0044007200750063006b00650072006e00200075006e0064002000500072006f006f0066002d00470065007200e400740065006e002000650072007a0065007500670065006e0020006d00f60063006800740065006e002e002000450072007300740065006c006c007400650020005000440046002d0044006f006b0075006d0065006e007400650020006b00f6006e006e0065006e0020006d006900740020004100630072006f00620061007400200075006e0064002000410064006f00620065002000520065006100640065007200200035002e00300020006f0064006500720020006800f600680065007200200067006500f600660066006e00650074002000770065007200640065006e002egt ESP ltFEFF005500740069006c0069006300650020006500730074006100200063006f006e0066006900670075007200610063006900f3006e0020007000610072006100200063007200650061007200200064006f00630075006d0065006e0074006f0073002000640065002000410064006f0062006500200050004400460020007000610072006100200063006f006e00730065006700750069007200200069006d0070007200650073006900f3006e002000640065002000630061006c006900640061006400200065006e00200069006d0070007200650073006f0072006100730020006400650020006500730063007200690074006f00720069006f00200079002000680065007200720061006d00690065006e00740061007300200064006500200063006f00720072006500630063006900f3006e002e002000530065002000700075006500640065006e00200061006200720069007200200064006f00630075006d0065006e0074006f00730020005000440046002000630072006500610064006f007300200063006f006e0020004100630072006f006200610074002c002000410064006f00620065002000520065006100640065007200200035002e003000200079002000760065007200730069006f006e0065007300200070006f00730074006500720069006f007200650073002egt ETI ltFEFF004b00610073007500740061006700650020006e0065006900640020007300e4007400740065006900640020006c006100750061002d0020006a00610020006b006f006e00740072006f006c006c007400f5006d006d006900730065007000720069006e0074006500720069007400650020006a0061006f006b00730020006b00760061006c006900740065006500740073006500740065002000410064006f006200650020005000440046002d0064006f006b0075006d0065006e00740069006400650020006c006f006f006d006900730065006b0073002e002e00200020004c006f006f0064007500640020005000440046002d0064006f006b0075006d0065006e00740065002000730061006100740065002000610076006100640061002000700072006f006700720061006d006d006900640065006700610020004100630072006f0062006100740020006e0069006e0067002000410064006f00620065002000520065006100640065007200200035002e00300020006a00610020007500750065006d006100740065002000760065007200730069006f006f006e00690064006500670061002e000d000agt FRA ltFEFF005500740069006c006900730065007a00200063006500730020006f007000740069006f006e00730020006100660069006e00200064006500200063007200e900650072002000640065007300200064006f00630075006d0065006e00740073002000410064006f00620065002000500044004600200070006f007500720020006400650073002000e90070007200650075007600650073002000650074002000640065007300200069006d007000720065007300730069006f006e00730020006400650020006800610075007400650020007100750061006c0069007400e90020007300750072002000640065007300200069006d007000720069006d0061006e0074006500730020006400650020006200750072006500610075002e0020004c0065007300200064006f00630075006d0065006e00740073002000500044004600200063007200e900e90073002000700065007500760065006e0074002000ea0074007200650020006f007500760065007200740073002000640061006e00730020004100630072006f006200610074002c002000610069006e00730069002000710075002700410064006f00620065002000520065006100640065007200200035002e0030002000650074002000760065007200730069006f006e007300200075006c007400e90072006900650075007200650073002egt GRE ltFEFF03a703c103b703c303b903bc03bf03c003bf03b903ae03c303c403b5002003b103c503c403ad03c2002003c403b903c2002003c103c503b803bc03af03c303b503b903c2002003b303b903b1002003bd03b1002003b403b703bc03b903bf03c503c103b303ae03c303b503c403b5002003ad03b303b303c103b103c603b1002000410064006f006200650020005000440046002003b303b903b1002003b503ba03c403cd03c003c903c303b7002003c003bf03b903cc03c403b703c403b103c2002003c303b5002003b503ba03c403c503c003c903c403ad03c2002003b303c103b103c603b503af03bf03c5002003ba03b103b9002003b403bf03ba03b903bc03b103c303c403ad03c2002e0020002003a403b10020005000440046002003ad03b303b303c103b103c603b1002003c003bf03c5002003ad03c703b503c403b5002003b403b703bc03b903bf03c503c103b303ae03c303b503b9002003bc03c003bf03c103bf03cd03bd002003bd03b1002003b103bd03bf03b903c703c403bf03cd03bd002003bc03b5002003c403bf0020004100630072006f006200610074002c002003c403bf002000410064006f006200650020005200650061006400650072002000200035002e0030002003ba03b103b9002003bc03b503c403b103b303b503bd03ad03c303c403b503c103b503c2002003b503ba03b403cc03c303b503b903c2002egt HEB ltFEFF05D405E905EA05DE05E905D5002005D105D405D205D305E805D505EA002005D005DC05D4002005DB05D305D9002005DC05D905E605D505E8002005DE05E105DE05DB05D9002000410064006F006200650020005000440046002005E205D105D505E8002005D405D305E405E105D4002005D005D905DB05D505EA05D905EA002005D105DE05D305E405E105D505EA002005E905D505DC05D705E005D905D505EA002005D505DB05DC05D9002005D405D205D405D4002E002005DE05E105DE05DB05D9002005D4002D005000440046002005E905E005D505E605E805D905DD002005E005D905EA05E005D905DD002005DC05E405EA05D905D705D4002005D105D005DE05E605E205D505EA0020004100630072006F006200610074002005D5002D00410064006F00620065002000520065006100640065007200200035002E0030002005D505D205E805E105D005D505EA002005DE05EA05E705D305DE05D505EA002005D905D505EA05E8002Egt HRV ltFEFF005a00610020007300740076006100720061006e006a0065002000410064006f00620065002000500044004600200064006f006b0075006d0065006e0061007400610020007a00610020006b00760061006c00690074006500740061006e0020006900730070006900730020006e006100200070006900730061010d0069006d006100200069006c0069002000700072006f006f006600650072002000750072006501110061006a0069006d0061002e00200020005300740076006f00720065006e0069002000500044004600200064006f006b0075006d0065006e007400690020006d006f006700750020007300650020006f00740076006f00720069007400690020004100630072006f00620061007400200069002000410064006f00620065002000520065006100640065007200200035002e0030002000690020006b00610073006e0069006a0069006d0020007600650072007a0069006a0061006d0061002egt HUN ltFEFF004d0069006e0151007300e9006700690020006e0079006f006d00610074006f006b0020006b00e90073007a00ed007400e9007300e900680065007a002000610073007a00740061006c00690020006e0079006f006d00740061007400f3006b006f006e002000e9007300200070007200f300620061006e0079006f006d00f3006b006f006e00200065007a0065006b006b0065006c0020006100200062006500e1006c006c00ed007400e10073006f006b006b0061006c002c00200068006f007a007a006f006e0020006c00e9007400720065002000410064006f00620065002000500044004600200064006f006b0075006d0065006e00740075006d006f006b00610074002e0020002000410020006c00e90074007200650068006f007a006f00740074002000500044004600200064006f006b0075006d0065006e00740075006d006f006b00200061007a0020004100630072006f006200610074002c00200061007a002000410064006f00620065002000520065006100640065007200200035002e0030002000e9007300200061007a002000610074007400f3006c0020006b00e9007301510062006200690020007600650072007a006900f3006b006b0061006c00200020006e00790069007400680061007400f3006b0020006d00650067002egt ITA ltFEFF005500740069006c0069007a007a006100720065002000710075006500730074006500200069006d0070006f007300740061007a0069006f006e00690020007000650072002000630072006500610072006500200064006f00630075006d0065006e00740069002000410064006f006200650020005000440046002000700065007200200075006e00610020007300740061006d007000610020006400690020007100750061006c0069007400e00020007300750020007300740061006d00700061006e0074006900200065002000700072006f006f0066006500720020006400650073006b0074006f0070002e0020004900200064006f00630075006d0065006e007400690020005000440046002000630072006500610074006900200070006f00730073006f006e006f0020006500730073006500720065002000610070006500720074006900200063006f006e0020004100630072006f00620061007400200065002000410064006f00620065002000520065006100640065007200200035002e003000200065002000760065007200730069006f006e006900200073007500630063006500730073006900760065002egt JPN ltFEFF9ad854c18cea51fa529b7528002000410064006f0062006500200050004400460020658766f8306e4f5c6210306b4f7f75283057307e30593002537052376642306e753b8cea3092670059279650306b4fdd306430533068304c3067304d307e3059300230c730b930af30c830c330d730d730ea30f330bf3067306e53705237307e305f306f30d730eb30fc30d57528306b9069305730663044307e305930023053306e8a2d5b9a30674f5c62103055308c305f0020005000440046002030d530a130a430eb306f3001004100630072006f0062006100740020304a30883073002000410064006f00620065002000520065006100640065007200200035002e003000204ee5964d3067958b304f30533068304c3067304d307e30593002gt KOR ltFEFFc7740020c124c815c7440020c0acc6a9d558c5ec0020b370c2a4d06cd0d10020d504b9b0d1300020bc0f0020ad50c815ae30c5d0c11c0020ace0d488c9c8b85c0020c778c1c4d560002000410064006f0062006500200050004400460020bb38c11cb97c0020c791c131d569b2c8b2e4002e0020c774b807ac8c0020c791c131b41c00200050004400460020bb38c11cb2940020004100630072006f0062006100740020bc0f002000410064006f00620065002000520065006100640065007200200035002e00300020c774c0c1c5d0c11c0020c5f40020c2180020c788c2b5b2c8b2e4002egt LTH ltFEFF004e006100750064006f006b0069007400650020016100690075006f007300200070006100720061006d006500740072007500730020006e006f0072011700640061006d00690020006b0075007200740069002000410064006f00620065002000500044004600200064006f006b0075006d0065006e007400750073002c0020006b007500720069006500200073006b00690072007400690020006b006f006b0079006200690161006b0061006900200073007000610075007300640069006e007400690020007300740061006c0069006e0069006100690073002000690072002000620061006e00640079006d006f00200073007000610075007300640069006e007400750076006100690073002e0020002000530075006b0075007200740069002000500044004600200064006f006b0075006d0065006e007400610069002000670061006c006900200062016b007400690020006100740069006400610072006f006d00690020004100630072006f006200610074002000690072002000410064006f00620065002000520065006100640065007200200035002e0030002000610072002000760117006c00650073006e0117006d00690073002000760065007200730069006a006f006d00690073002egt LVI ltFEFF0049007a006d0061006e0074006f006a00690065007400200161006f00730020006900650073007400610074012b006a0075006d00750073002c0020006c0061006900200069007a0076006500690064006f00740075002000410064006f00620065002000500044004600200064006f006b0075006d0065006e0074007500730020006b00760061006c0069007400610074012b0076006100690020006400720075006b010101610061006e00610069002000610072002000670061006c006400610020007000720069006e00740065007200690065006d00200075006e0020007000610072006100750067006e006f00760069006c006b0075006d0075002000690065007300700069006500640113006a00690065006d002e00200049007a0076006500690064006f006a006900650074002000500044004600200064006f006b0075006d0065006e007400750073002c0020006b006f002000760061007200200061007400760113007200740020006100720020004100630072006f00620061007400200075006e002000410064006f00620065002000520065006100640065007200200035002e0030002c0020006b0101002000610072012b00200074006f0020006a00610075006e0101006b0101006d002000760065007200730069006a0101006d002egt NLD (Gebruik deze instellingen om Adobe PDF-documenten te maken voor kwaliteitsafdrukken op desktopprinters en proofers De gemaakte PDF-documenten kunnen worden geopend met Acrobat en Adobe Reader 50 en hoger) NOR ltFEFF004200720075006b00200064006900730073006500200069006e006e007300740069006c006c0069006e00670065006e0065002000740069006c002000e50020006f0070007000720065007400740065002000410064006f006200650020005000440046002d0064006f006b0075006d0065006e00740065007200200066006f00720020007500740073006b00720069006600740020006100760020006800f800790020006b00760061006c00690074006500740020007000e500200062006f007200640073006b0072006900760065007200200065006c006c00650072002000700072006f006f006600650072002e0020005000440046002d0064006f006b0075006d0065006e00740065006e00650020006b0061006e002000e50070006e00650073002000690020004100630072006f00620061007400200065006c006c00650072002000410064006f00620065002000520065006100640065007200200035002e003000200065006c006c00650072002000730065006e006500720065002egt POL ltFEFF0055007300740061007700690065006e0069006100200064006f002000740077006f0072007a0065006e0069006100200064006f006b0075006d0065006e007400f3007700200050004400460020007a002000770079017c0073007a010500200072006f007a0064007a00690065006c0063007a006f015b0063006901050020006f006200720061007a006b00f30077002c0020007a0061007000650077006e00690061006a0105006301050020006c006500700073007a01050020006a0061006b006f015b0107002000770079006400720075006b00f30077002e00200044006f006b0075006d0065006e0074007900200050004400460020006d006f017c006e00610020006f007400770069006500720061010700200077002000700072006f006700720061006d006900650020004100630072006f00620061007400200069002000410064006f00620065002000520065006100640065007200200035002e0030002000690020006e006f00770073007a0079006d002egt PTB ltFEFF005500740069006c0069007a006500200065007300730061007300200063006f006e00660069006700750072006100e700f50065007300200064006500200066006f0072006d00610020006100200063007200690061007200200064006f00630075006d0065006e0074006f0073002000410064006f0062006500200050004400460020007000610072006100200069006d0070007200650073007300f5006500730020006400650020007100750061006c0069006400610064006500200065006d00200069006d00700072006500730073006f0072006100730020006400650073006b0074006f00700020006500200064006900730070006f00730069007400690076006f0073002000640065002000700072006f00760061002e0020004f007300200064006f00630075006d0065006e0074006f00730020005000440046002000630072006900610064006f007300200070006f00640065006d0020007300650072002000610062006500720074006f007300200063006f006d0020006f0020004100630072006f006200610074002000650020006f002000410064006f00620065002000520065006100640065007200200035002e0030002000650020007600650072007300f50065007300200070006f00730074006500720069006f007200650073002egt RUM ltFEFF005500740069006c0069007a00610163006900200061006300650073007400650020007300650074010300720069002000700065006e007400720075002000610020006300720065006100200064006f00630075006d0065006e00740065002000410064006f006200650020005000440046002000700065006e007400720075002000740069007001030072006900720065002000640065002000630061006c006900740061007400650020006c006100200069006d007000720069006d0061006e007400650020006400650073006b0074006f00700020015f0069002000700065006e0074007200750020007600650072006900660069006300610074006f00720069002e002000200044006f00630075006d0065006e00740065006c00650020005000440046002000630072006500610074006500200070006f00740020006600690020006400650073006300680069007300650020006300750020004100630072006f006200610074002c002000410064006f00620065002000520065006100640065007200200035002e00300020015f00690020007600650072007300690075006e0069006c006500200075006c0074006500720069006f006100720065002egt RUS ltFEFF04180441043f043e043b044c04370443043904420435002004340430043d043d044b04350020043d0430044104420440043e0439043a043800200434043b044f00200441043e043704340430043d0438044f00200434043e043a0443043c0435043d0442043e0432002000410064006f006200650020005000440046002c0020043f044004350434043d04300437043d043004470435043d043d044b044500200434043b044f0020043a0430044704350441044204320435043d043d043e04390020043f043504470430044204380020043d04300020043d043004410442043e043b044c043d044b04450020043f04400438043d044204350440043004450020043800200443044104420440043e04390441044204320430044500200434043b044f0020043f043e043b044304470435043d0438044f0020043f0440043e0431043d044b04450020043e0442044204380441043a043e0432002e002000200421043e043704340430043d043d044b04350020005000440046002d0434043e043a0443043c0435043d0442044b0020043c043e0436043d043e00200020043e0442043a0440044b043204300442044c002004410020043f043e043c043e0449044c044e0020004100630072006f00620061007400200438002000410064006f00620065002000520065006100640065007200200035002e00300020043800200431043e043b043504350020043f043e04370434043d043804450020043204350440044104380439002egt SKY ltFEFF0054006900650074006f0020006e006100730074006100760065006e0069006100200070006f0075017e0069007400650020006e00610020007600790074007600e100720061006e0069006500200064006f006b0075006d0065006e0074006f0076002000410064006f00620065002000500044004600200070007200650020006b00760061006c00690074006e00fa00200074006c0061010d0020006e0061002000730074006f006c006e00fd0063006800200074006c0061010d00690061007201480061006300680020006100200074006c0061010d006f007600fd006300680020007a006100720069006100640065006e0069006100630068002e00200056007900740076006f00720065006e00e900200064006f006b0075006d0065006e007400790020005000440046002000620075006400650020006d006f017e006e00e90020006f00740076006f00720069016500200076002000700072006f006700720061006d006f006300680020004100630072006f00620061007400200061002000410064006f00620065002000520065006100640065007200200035002e0030002000610020006e006f0076016100ed00630068002e000d000agt SLV ltFEFF005400650020006e006100730074006100760069007400760065002000750070006f0072006100620069007400650020007a00610020007500730074007600610072006a0061006e006a006500200064006f006b0075006d0065006e0074006f0076002000410064006f0062006500200050004400460020007a00610020006b0061006b006f0076006f00730074006e006f0020007400690073006b0061006e006a00650020006e00610020006e0061006d0069007a006e006900680020007400690073006b0061006c006e0069006b0069006800200069006e0020007000720065007600650072006a0061006c006e0069006b00690068002e00200020005500730074007600610072006a0065006e006500200064006f006b0075006d0065006e0074006500200050004400460020006a00650020006d006f0067006f010d00650020006f0064007000720065007400690020007a0020004100630072006f00620061007400200069006e002000410064006f00620065002000520065006100640065007200200035002e003000200069006e0020006e006f00760065006a01610069006d002egt SUO ltFEFF004b00e40079007400e40020006e00e40069007400e4002000610073006500740075006b007300690061002c0020006b0075006e0020006c0075006f0074002000410064006f0062006500200050004400460020002d0064006f006b0075006d0065006e007400740065006a00610020006c0061006100640075006b006100730074006100200074007900f6007000f60079007400e400740075006c006f0073007400750073007400610020006a00610020007600650064006f007300740075007300740061002000760061007200740065006e002e00200020004c0075006f0064007500740020005000440046002d0064006f006b0075006d0065006e00740069007400200076006f0069006400610061006e0020006100760061007400610020004100630072006f0062006100740069006c006c00610020006a0061002000410064006f00620065002000520065006100640065007200200035002e0030003a006c006c00610020006a006100200075007500640065006d006d0069006c006c0061002egt SVE ltFEFF0041006e007600e4006e00640020006400650020006800e4007200200069006e0073007400e4006c006c006e0069006e006700610072006e00610020006f006d002000640075002000760069006c006c00200073006b006100700061002000410064006f006200650020005000440046002d0064006f006b0075006d0065006e00740020006600f600720020006b00760061006c00690074006500740073007500740073006b0072006900660074006500720020007000e5002000760061006e006c00690067006100200073006b0072006900760061007200650020006f006300680020006600f600720020006b006f007200720065006b007400750072002e002000200053006b006100700061006400650020005000440046002d0064006f006b0075006d0065006e00740020006b0061006e002000f600700070006e00610073002000690020004100630072006f0062006100740020006f00630068002000410064006f00620065002000520065006100640065007200200035002e00300020006f00630068002000730065006e006100720065002egt TUR ltFEFF004d00610073006100fc0073007400fc002000790061007a013100630131006c006100720020007600650020006200610073006b01310020006d0061006b0069006e0065006c006500720069006e006400650020006b0061006c006900740065006c00690020006200610073006b013100200061006d0061006301310079006c0061002000410064006f006200650020005000440046002000620065006c00670065006c0065007200690020006f006c0075015f007400750072006d0061006b0020006900e70069006e00200062007500200061007900610072006c0061007201310020006b0075006c006c0061006e0131006e002e00200020004f006c0075015f0074007500720075006c0061006e0020005000440046002000620065006c00670065006c0065007200690020004100630072006f006200610074002000760065002000410064006f00620065002000520065006100640065007200200035002e003000200076006500200073006f006e0072006100730131006e00640061006b00690020007300fc007200fc006d006c00650072006c00650020006100e70131006c006100620069006c00690072002egt UKR ltFEFF04120438043a043e0440043804410442043e043204430439044204350020044604560020043f043004400430043c043504420440043800200434043b044f0020044104420432043e04400435043d043d044f00200434043e043a0443043c0435043d044204560432002000410064006f006200650020005000440046002c0020044f043a04560020043d04300439043a04400430044904350020043f045604340445043e0434044f0442044c00200434043b044f0020043204380441043e043a043e044f043a04560441043d043e0433043e0020043404400443043a04430020043d04300020043d0430044104420456043b044c043d043804450020043f04400438043d044204350440043004450020044204300020043f04400438044104420440043e044f044500200434043b044f0020043e044204400438043c0430043d043d044f0020043f0440043e0431043d0438044500200437043e04310440043004360435043d044c002e00200020042104420432043e04400435043d045600200434043e043a0443043c0435043d0442043800200050004400460020043c043e0436043d04300020043204560434043a0440043804420438002004430020004100630072006f006200610074002004420430002000410064006f00620065002000520065006100640065007200200035002e0030002004300431043e0020043f04560437043d04560448043e04570020043204350440044104560457002egt ENU ltFEFF005500730065002000740068006500730065002000730065007400740069006e0067007300200074006f0020006300720065006100740065002000410064006f0062006500200050004400460020006200610073006500640020006f006e0020007400680065002000640065006600610075006c0074002000580069006c0069006e007800200070006100720061006d0065007400650072002000730065007400740069006e0067007300200064006500660069006e00650064002000620079002000500053003000300034002e002000460069006c0065006e0061006d0065003a002000780069006c0069006e0078002e006a006f0062006f007000740069006f006e0073002e000d0043006f006d007000610074006100620069006c006900740079003a0020004100630072006f00620061007400200037002e0030002000280050004400460031002e00360029002e0020005500730065003a00200046006f00720020006300720065006100740069006e0067002000460069006e0061006c002c0020005000750062006c0069007300680065006400200050004400460073002e000d0043007200650061007400650064002000310030002f00310032002f003100310020006200790020006a0066006f007200730074002egt gtgt Namespace [ (Adobe) (Common) (10) ] OtherNamespaces [ ltlt AsReaderSpreads false CropImagesToFrames true ErrorControl WarnAndContinue FlattenerIgnoreSpreadOverrides false IncludeGuidesGrids false IncludeNonPrinting false IncludeSlug false Namespace [ (Adobe) (InDesign) (40) ] OmitPlacedBitmaps false OmitPlacedEPS false OmitPlacedPDF false SimulateOverprint Legacy gtgt ltlt AddBleedMarks false AddColorBars false AddCropMarks false AddPageInfo false AddRegMarks false ConvertColors NoConversion DestinationProfileName () DestinationProfileSelector NA Downsample16BitImages true FlattenerPreset ltlt PresetSelector MediumResolution gtgt FormElements false GenerateStructure true IncludeBookmarks false IncludeHyperlinks false IncludeInteractive false IncludeLayers false IncludeProfiles true MultimediaHandling UseObjectSettings Namespace [ (Adobe) (CreativeSuite) (20) ] PDFXOutputIntentProfileSelector NA PreserveEditing true UntaggedCMYKHandling LeaveUntagged UntaggedRGBHandling LeaveUntagged UseDocumentBleed false gtgt ]gtgt setdistillerparamsltlt HWResolution [1200 1200] PageSize [612000 792000]gtgt setpagedevice

Page 31: Xilinx UG883 Kintex-7 FPGA KC705 Evaluation Kit Getting ...€¦ · Updated step 2 and step 3 in Requirements to Get Started, page 14. ... KC705 Getting Started Guide 9 UG883 (v3.0)

KC705 Getting Started Guide wwwxilinxcom 31UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

3 Execute the test and view payload statistics in the Performance and Status Monitor (see Figure 24)

a Click Start Test to start the performance test

b Click the Payload Statistics tab to view data transfers on the DMA channels

c Click Stop Test to stop data traffic

d Vary the Packet Size parameters for the Raw Data Paths (see Figure 25) and click Start Test Then view the payload statistics to review data transfer rate on the DMA channels With a decrease in packet size the performance drops

Note Before changing packet size click Stop Test change the size and then click Start Test

X-Ref Target - Figure 24

Figure 24 Payload Statistics

UG883_24_121112

32 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

Note For packet sizes equal to 64 or 128 bytes the throughput is reduced and might not be visible on the Payload Statistics tab The exact values can be viewed on the System Status tab

4 Click the PCIe Statistics tab to view data transfer numbers with varying packet sizes on the PCIe interface (Figure 26)

X-Ref Target - Figure 25

Figure 25 Effect of Varying Packet Sizes on Performance

UG883_25_121112

KC705 Getting Started Guide wwwxilinxcom 33UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

The system performance of the Kintex-7 FPGA Base TRD has now been evaluated using the pre-built demonstration design bit file

Now that the Kintex-7 FPGA Base TRD demonstration has been set up and evaluated the design can be modified Before the design can be modified make sure to install the Vivado Design Suite on a PC It is not required that tools be installed on the PC system in which the KC705 evaluation board is plugged in by way of the PCIe edge connector

X-Ref Target - Figure 26

Figure 26 PCIe Statistics in the Performance Monitor

UG883_26_121112

34 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

KC705 Getting Started Guide wwwxilinxcom 35UG883 (v30) April 16 2013

Appendix A

Additional Resources

Xilinx ResourcesFor support resources such as Answers Documentation Downloads and Forums see the Xilinx Support website at

wwwxilinxcomsupport

For continual updates add the Answer Record to your myAlerts

wwwxilinxcomsupportmyalerts

For a glossary of technical terms used in Xilinx documentation see

wwwxilinxcomcompanytermshtm

Solution CentersSee the Xilinx Solution Centers for support on devices software tools and intellectual property at all stages of the design cycle Topics include design assistance advisories and troubleshooting tips

Further ResourcesThe most up to date information related to the KC705 board and its documentation is available on the following websites

The Kintex-7 FPGA KC705 Evaluation Kit Product Page

wwwxilinxcomkc705

The Kintex-7 FPGA KC705 Evaluation Kit Master Answer Record

wwwxilinxcomsupportanswers47787htm

Design advisories by software release for the Kintex-7 FPGA KC705 Evaluation Kit

wwwxilinxcomsupportnav=sd-nav-link-179661amptab=tab-bk

Kintex-7 FPGA Base Targeted Reference Design Release Notes and Known Issues Master Answer Record

wwwxilinxcomsupportanswers45679htm

These documents provide supplemental material useful with this user guide

UG810 KC705 Evaluation Board for the Kintex-7 FPGA User Guide

UG845 KC705 Reference Design User Guide

36 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Appendix A Additional Resources

UG798 Xilinx Design Tools Installation and Licensing Guide Vivado Design Suite and ISE Design Suite

UG908 Vivado Design Suite User Guide Programming and Debugging

UG882 Kintex-7 FPGA KC705 Base Targeted Reference Design User Guide (Vivado Design Suite 20124)

UG886 AMS101 Evaluation Card User Guide

UG477 7 Series FPGAs Integrated Block for PCI Express User Guide

ReferencesThe following websites provide supplemental material useful with this guide

1 LabVIEW 32-bit Run-Time Enginehttpjoulenicomniducdsviewpid2534langen

2 LabVIEW 64-bit Run-Time Engine httpjoulenicomniducdsviewpid2536langen

3 Tera Term Pro program httphpvectorcojpauthorsVA002416teratermhtml

4 Drivers on the Silicon Labs site httpwwwsilabscomSupport20DocumentsSoftwareCP210x_VCP_Win_XP_S2K3_Vista_7exe

5 Northwest Logic DMA back end core httpwwwnwlogiccompacketdma

6 Fedora project httpfedoraprojectorg

Fedora is a Linux-based operating system used in the development of this TRD

7 The GTK+ project API documentation httpwwwgtkorgdocumentationphp

GTK+ is a toolkit for creating graphical user interfaces (GUI)

KC705 Getting Started Guide wwwxilinxcom 37UG883 (v30) April 16 2013

Appendix B

Warranty

THIS LIMITED WARRANTY applies solely to standard hardware development boards and standard hardware programming cables manufactured by or on behalf of Xilinx (ldquoDevelopment Systemsrdquo) Subject to the limitations herein Xilinx warrants that Development Systems when delivered by Xilinx or its authorized distributor for ninety (90) days following the delivery date will be free from defects in material and workmanship and will substantially conform to Xilinx publicly available specifications for such products in effect at the time of delivery This limited warranty excludes (i) engineering samples or beta versions of Development Systems (which are provided ldquoAS ISrdquo without warranty) (ii) design defects or errors known as ldquoerratardquo (iii) Development Systems procured through unauthorized third parties and (iv) Development Systems that have been subject to misuse mishandling accident alteration neglect unauthorized repair or installation Furthermore this limited warranty shall not apply to the use of covered products in an application or environment that is not within Xilinx specifications or in the event of any act error neglect or default of Customer For any breach by Xilinx of this limited warranty the exclusive remedy of Customer and the sole liability of Xilinx shall be at the option of Xilinx to replace or repair the affected products or to refund to Customer the price of the affected products The availability of replacement products is subject to product discontinuation policies at Xilinx Customer may not return product without first obtaining a customer return material authorization (RMA) number from XilinxTHE WARRANTIES SET FORTH HEREIN ARE EXCLUSIVE XILINX DISCLAIMS ALL OTHER WARRANTIES WHETHER EXPRESS IMPLIED OR STATUTORY INCLUDING WITHOUT LIMITATION ANY WARRANTY OF MERCHANTABILITY FITNESS FOR A PARTICULAR PURPOSE OR NON-INFRINGEMENT AND ANY WARRANTY THAT MAY ARISE FROM COURSE OF DEALING COURSE OF PERFORMANCE OR USAGE OF TRADE (200810)

Do not throw Xilinx products marked with the ldquocrossed out wheeled binrdquo in the trash Directive 200296EC on waste electrical and electronic equipment (WEEE) requires the separate collection of WEEE Your cooperation is essential in ensuring the proper management of WEEE and the protection of the environment and human health from potential effects arising from the presence of hazardous substances in WEEE Return the marked products to Xilinx for proper disposal Further information and instructions for free-of-charge return available at httpwwwxilinxcomehsweeehtm

38 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Appendix B Warranty

  • Kintex-7 FPGA KC705 Evaluation Kit (Vivado Design Suite 20131)
    • Revision History
    • Table of Contents
    • Getting Started with the Kintex-7 FPGA KC705 Evaluation Kit (Vivado Design Suite 20131)
      • Introduction
      • Kit Contents
      • Basic Hardware Bring-up Using the BIST
        • Hardware Test Setup Requirements
          • Hardware Test Board Setup Requirements
            • Hardware Bring-Up
            • Run the BIST Application
              • AMS Bring-up with the AMS101 Evaluation Card
                • Requirements to Get Started
                • Evaluating AMS
                  • Advanced Bring-up Using the Base Targeted Reference Design
                    • Components
                    • Hardware Test Setup Requirements
                    • TRD Demonstration Setup
                    • Evaluating the Kintex-7 FPGA Base TRD
                        • Additional Resources
                          • Xilinx Resources
                          • Solution Centers
                          • Further Resources
                          • References
                            • Warranty
                                • ltlt ASCII85EncodePages false AllowTransparency false AutoPositionEPSFiles true AutoRotatePages All Binding Left CalGrayProfile (Dot Gain 20) CalRGBProfile (sRGB IEC61966-21) CalCMYKProfile (US Web Coated 050SWOP051 v2) sRGBProfile (sRGB IEC61966-21) CannotEmbedFontPolicy Warning CompatibilityLevel 16 CompressObjects Tags CompressPages true ConvertImagesToIndexed true PassThroughJPEGImages true CreateJobTicket false DefaultRenderingIntent Default DetectBlends true DetectCurves 00000 ColorConversionStrategy LeaveColorUnchanged DoThumbnails false EmbedAllFonts true EmbedOpenType false ParseICCProfilesInComments true EmbedJobOptions true DSCReportingLevel 0 EmitDSCWarnings false EndPage -1 ImageMemory 1048576 LockDistillerParams true MaxSubsetPct 100 Optimize true OPM 1 ParseDSCComments true ParseDSCCommentsForDocInfo true PreserveCopyPage true PreserveDICMYKValues true PreserveEPSInfo true PreserveFlatness true PreserveHalftoneInfo false PreserveOPIComments false PreserveOverprintSettings true StartPage 1 SubsetFonts true TransferFunctionInfo Apply UCRandBGInfo Preserve UsePrologue false ColorSettingsFile () AlwaysEmbed [ true ] NeverEmbed [ true ] AntiAliasColorImages false CropColorImages true ColorImageMinResolution 300 ColorImageMinResolutionPolicy OK DownsampleColorImages false ColorImageDownsampleType Bicubic ColorImageResolution 300 ColorImageDepth -1 ColorImageMinDownsampleDepth 1 ColorImageDownsampleThreshold 150000 EncodeColorImages true ColorImageFilter DCTEncode AutoFilterColorImages true ColorImageAutoFilterStrategy JPEG ColorACSImageDict ltlt QFactor 040 HSamples [1 1 1 1] VSamples [1 1 1 1] gtgt ColorImageDict ltlt QFactor 015 HSamples [1 1 1 1] VSamples [1 1 1 1] gtgt JPEG2000ColorACSImageDict ltlt TileWidth 256 TileHeight 256 Quality 30 gtgt JPEG2000ColorImageDict ltlt TileWidth 256 TileHeight 256 Quality 30 gtgt AntiAliasGrayImages false CropGrayImages true GrayImageMinResolution 300 GrayImageMinResolutionPolicy OK DownsampleGrayImages false GrayImageDownsampleType Bicubic GrayImageResolution 300 GrayImageDepth -1 GrayImageMinDownsampleDepth 2 GrayImageDownsampleThreshold 150000 EncodeGrayImages true GrayImageFilter DCTEncode AutoFilterGrayImages true GrayImageAutoFilterStrategy JPEG GrayACSImageDict ltlt QFactor 040 HSamples [1 1 1 1] VSamples [1 1 1 1] gtgt GrayImageDict ltlt QFactor 015 HSamples [1 1 1 1] VSamples [1 1 1 1] gtgt JPEG2000GrayACSImageDict ltlt TileWidth 256 TileHeight 256 Quality 30 gtgt JPEG2000GrayImageDict ltlt TileWidth 256 TileHeight 256 Quality 30 gtgt AntiAliasMonoImages false CropMonoImages true MonoImageMinResolution 1200 MonoImageMinResolutionPolicy OK DownsampleMonoImages false MonoImageDownsampleType Bicubic MonoImageResolution 1200 MonoImageDepth -1 MonoImageDownsampleThreshold 150000 EncodeMonoImages true MonoImageFilter CCITTFaxEncode MonoImageDict ltlt K -1 gtgt AllowPSXObjects false CheckCompliance [ None ] PDFX1aCheck false PDFX3Check false PDFXCompliantPDFOnly false PDFXNoTrimBoxError true PDFXTrimBoxToMediaBoxOffset [ 000000 000000 000000 000000 ] PDFXSetBleedBoxToMediaBox true PDFXBleedBoxToTrimBoxOffset [ 000000 000000 000000 000000 ] PDFXOutputIntentProfile (None) PDFXOutputConditionIdentifier () PDFXOutputCondition () PDFXRegistryName () PDFXTrapped False CreateJDFFile false Description ltlt ARA ltFEFF06270633062A062E062F0645002006470630064700200627064406250639062F0627062F0627062A002006440625064606340627062100200648062B062706260642002000410064006F00620065002000500044004600200645062A0648062706410642062900200644064406370628062706390629002006300627062A002006270644062C0648062F0629002006270644063906270644064A06290020064506460020062E06440627064400200627064406370627062806390627062A00200627064406450643062A0628064A062900200623064800200623062C06470632062900200625062C06310627062100200627064406280631064806410627062A061B0020064A06450643064600200641062A062D00200648062B0627062606420020005000440046002006270644064506460634062306290020062806270633062A062E062F062706450020004100630072006F0062006100740020064800410064006F006200650020005200650061006400650072002006250635062F0627063100200035002E0030002006480627064406250635062F062706310627062A0020062706440623062D062F062B002E0020064506390020005000440046002F0041060C0020062706440631062C062706210020064506310627062C063906290020062F0644064A0644002006450633062A062E062F06450020004100630072006F006200610074061B0020064A06450643064600200641062A062D00200648062B0627062606420020005000440046002006270644064506460634062306290020062806270633062A062E062F062706450020004100630072006F0062006100740020064800410064006F006200650020005200650061006400650072002006250635062F0627063100200035002E0030002006480627064406250635062F062706310627062A0020062706440623062D062F062B002Egt BGR ltFEFF04180437043f043e043b043704320430043904420435002004420435043704380020043d0430044104420440043e0439043a0438002c00200437043000200434043000200441044a0437043404300432043004420435002000410064006f00620065002000500044004600200434043e043a0443043c0435043d044204380020043704300020043a0430044704350441044204320435043d0020043f04350447043004420020043d04300020043d043004410442043e043b043d04380020043f04400438043d04420435044004380020043800200443044104420440043e043904410442043204300020043704300020043f04350447043004420020043d04300020043f0440043e0431043d04380020044004300437043f0435044704300442043a0438002e002000200421044a04370434043004340435043d043804420435002000500044004600200434043e043a0443043c0435043d044204380020043c043e0433043004420020043404300020044104350020043e0442043204300440044f0442002004410020004100630072006f00620061007400200438002000410064006f00620065002000520065006100640065007200200035002e00300020043800200441043b0435043404320430044904380020043204350440044104380438002egt CHS ltFEFF4f7f75288fd94e9b8bbe5b9a521b5efa7684002000500044004600206587686353ef901a8fc7684c976262535370673a548c002000700072006f006f00660065007200208fdb884c9ad88d2891cf62535370300260a853ef4ee54f7f75280020004100630072006f0062006100740020548c002000410064006f00620065002000520065006100640065007200200035002e003000204ee553ca66f49ad87248672c676562535f00521b5efa768400200050004400460020658768633002gt CHT ltFEFF4f7f752890194e9b8a2d7f6e5efa7acb7684002000410064006f006200650020005000440046002065874ef653ef5728684c9762537088686a5f548c002000700072006f006f00660065007200204e0a73725f979ad854c18cea7684521753706548679c300260a853ef4ee54f7f75280020004100630072006f0062006100740020548c002000410064006f00620065002000520065006100640065007200200035002e003000204ee553ca66f49ad87248672c4f86958b555f5df25efa7acb76840020005000440046002065874ef63002gt CZE ltFEFF005400610074006f0020006e006100730074006100760065006e00ed00200070006f0075017e0069006a007400650020006b0020007600790074007600e101590065006e00ed00200064006f006b0075006d0065006e0074016f002000410064006f006200650020005000440046002000700072006f0020006b00760061006c00690074006e00ed0020007400690073006b0020006e0061002000730074006f006c006e00ed006300680020007400690073006b00e10072006e00e100630068002000610020006e00e1007400690073006b006f007600fd006300680020007a0061015900ed007a0065006e00ed00630068002e002000200056007900740076006f01590065006e00e900200064006f006b0075006d0065006e007400790020005000440046002000620075006400650020006d006f017e006e00e90020006f007400650076015900ed007400200076002000700072006f006700720061006d0065006300680020004100630072006f00620061007400200061002000410064006f00620065002000520065006100640065007200200035002e0030002000610020006e006f0076011b006a016100ed00630068002egt DAN ltFEFF004200720075006700200069006e0064007300740069006c006c0069006e006700650072006e0065002000740069006c0020006100740020006f007000720065007400740065002000410064006f006200650020005000440046002d0064006f006b0075006d0065006e007400650072002000740069006c0020006b00760061006c00690074006500740073007500640073006b007200690076006e0069006e006700200065006c006c006500720020006b006f007200720065006b007400750072006c00e60073006e0069006e0067002e0020004400650020006f007000720065007400740065006400650020005000440046002d0064006f006b0075006d0065006e0074006500720020006b0061006e002000e50062006e00650073002000690020004100630072006f00620061007400200065006c006c006500720020004100630072006f006200610074002000520065006100640065007200200035002e00300020006f00670020006e0079006500720065002egt DEU ltFEFF00560065007200770065006e00640065006e0020005300690065002000640069006500730065002000450069006e007300740065006c006c0075006e00670065006e0020007a0075006d002000450072007300740065006c006c0065006e00200076006f006e002000410064006f006200650020005000440046002d0044006f006b0075006d0065006e00740065006e002c00200076006f006e002000640065006e0065006e002000530069006500200068006f00630068007700650072007400690067006500200044007200750063006b006500200061007500660020004400650073006b0074006f0070002d0044007200750063006b00650072006e00200075006e0064002000500072006f006f0066002d00470065007200e400740065006e002000650072007a0065007500670065006e0020006d00f60063006800740065006e002e002000450072007300740065006c006c007400650020005000440046002d0044006f006b0075006d0065006e007400650020006b00f6006e006e0065006e0020006d006900740020004100630072006f00620061007400200075006e0064002000410064006f00620065002000520065006100640065007200200035002e00300020006f0064006500720020006800f600680065007200200067006500f600660066006e00650074002000770065007200640065006e002egt ESP ltFEFF005500740069006c0069006300650020006500730074006100200063006f006e0066006900670075007200610063006900f3006e0020007000610072006100200063007200650061007200200064006f00630075006d0065006e0074006f0073002000640065002000410064006f0062006500200050004400460020007000610072006100200063006f006e00730065006700750069007200200069006d0070007200650073006900f3006e002000640065002000630061006c006900640061006400200065006e00200069006d0070007200650073006f0072006100730020006400650020006500730063007200690074006f00720069006f00200079002000680065007200720061006d00690065006e00740061007300200064006500200063006f00720072006500630063006900f3006e002e002000530065002000700075006500640065006e00200061006200720069007200200064006f00630075006d0065006e0074006f00730020005000440046002000630072006500610064006f007300200063006f006e0020004100630072006f006200610074002c002000410064006f00620065002000520065006100640065007200200035002e003000200079002000760065007200730069006f006e0065007300200070006f00730074006500720069006f007200650073002egt ETI ltFEFF004b00610073007500740061006700650020006e0065006900640020007300e4007400740065006900640020006c006100750061002d0020006a00610020006b006f006e00740072006f006c006c007400f5006d006d006900730065007000720069006e0074006500720069007400650020006a0061006f006b00730020006b00760061006c006900740065006500740073006500740065002000410064006f006200650020005000440046002d0064006f006b0075006d0065006e00740069006400650020006c006f006f006d006900730065006b0073002e002e00200020004c006f006f0064007500640020005000440046002d0064006f006b0075006d0065006e00740065002000730061006100740065002000610076006100640061002000700072006f006700720061006d006d006900640065006700610020004100630072006f0062006100740020006e0069006e0067002000410064006f00620065002000520065006100640065007200200035002e00300020006a00610020007500750065006d006100740065002000760065007200730069006f006f006e00690064006500670061002e000d000agt FRA ltFEFF005500740069006c006900730065007a00200063006500730020006f007000740069006f006e00730020006100660069006e00200064006500200063007200e900650072002000640065007300200064006f00630075006d0065006e00740073002000410064006f00620065002000500044004600200070006f007500720020006400650073002000e90070007200650075007600650073002000650074002000640065007300200069006d007000720065007300730069006f006e00730020006400650020006800610075007400650020007100750061006c0069007400e90020007300750072002000640065007300200069006d007000720069006d0061006e0074006500730020006400650020006200750072006500610075002e0020004c0065007300200064006f00630075006d0065006e00740073002000500044004600200063007200e900e90073002000700065007500760065006e0074002000ea0074007200650020006f007500760065007200740073002000640061006e00730020004100630072006f006200610074002c002000610069006e00730069002000710075002700410064006f00620065002000520065006100640065007200200035002e0030002000650074002000760065007200730069006f006e007300200075006c007400e90072006900650075007200650073002egt GRE ltFEFF03a703c103b703c303b903bc03bf03c003bf03b903ae03c303c403b5002003b103c503c403ad03c2002003c403b903c2002003c103c503b803bc03af03c303b503b903c2002003b303b903b1002003bd03b1002003b403b703bc03b903bf03c503c103b303ae03c303b503c403b5002003ad03b303b303c103b103c603b1002000410064006f006200650020005000440046002003b303b903b1002003b503ba03c403cd03c003c903c303b7002003c003bf03b903cc03c403b703c403b103c2002003c303b5002003b503ba03c403c503c003c903c403ad03c2002003b303c103b103c603b503af03bf03c5002003ba03b103b9002003b403bf03ba03b903bc03b103c303c403ad03c2002e0020002003a403b10020005000440046002003ad03b303b303c103b103c603b1002003c003bf03c5002003ad03c703b503c403b5002003b403b703bc03b903bf03c503c103b303ae03c303b503b9002003bc03c003bf03c103bf03cd03bd002003bd03b1002003b103bd03bf03b903c703c403bf03cd03bd002003bc03b5002003c403bf0020004100630072006f006200610074002c002003c403bf002000410064006f006200650020005200650061006400650072002000200035002e0030002003ba03b103b9002003bc03b503c403b103b303b503bd03ad03c303c403b503c103b503c2002003b503ba03b403cc03c303b503b903c2002egt HEB ltFEFF05D405E905EA05DE05E905D5002005D105D405D205D305E805D505EA002005D005DC05D4002005DB05D305D9002005DC05D905E605D505E8002005DE05E105DE05DB05D9002000410064006F006200650020005000440046002005E205D105D505E8002005D405D305E405E105D4002005D005D905DB05D505EA05D905EA002005D105DE05D305E405E105D505EA002005E905D505DC05D705E005D905D505EA002005D505DB05DC05D9002005D405D205D405D4002E002005DE05E105DE05DB05D9002005D4002D005000440046002005E905E005D505E605E805D905DD002005E005D905EA05E005D905DD002005DC05E405EA05D905D705D4002005D105D005DE05E605E205D505EA0020004100630072006F006200610074002005D5002D00410064006F00620065002000520065006100640065007200200035002E0030002005D505D205E805E105D005D505EA002005DE05EA05E705D305DE05D505EA002005D905D505EA05E8002Egt HRV ltFEFF005a00610020007300740076006100720061006e006a0065002000410064006f00620065002000500044004600200064006f006b0075006d0065006e0061007400610020007a00610020006b00760061006c00690074006500740061006e0020006900730070006900730020006e006100200070006900730061010d0069006d006100200069006c0069002000700072006f006f006600650072002000750072006501110061006a0069006d0061002e00200020005300740076006f00720065006e0069002000500044004600200064006f006b0075006d0065006e007400690020006d006f006700750020007300650020006f00740076006f00720069007400690020004100630072006f00620061007400200069002000410064006f00620065002000520065006100640065007200200035002e0030002000690020006b00610073006e0069006a0069006d0020007600650072007a0069006a0061006d0061002egt HUN ltFEFF004d0069006e0151007300e9006700690020006e0079006f006d00610074006f006b0020006b00e90073007a00ed007400e9007300e900680065007a002000610073007a00740061006c00690020006e0079006f006d00740061007400f3006b006f006e002000e9007300200070007200f300620061006e0079006f006d00f3006b006f006e00200065007a0065006b006b0065006c0020006100200062006500e1006c006c00ed007400e10073006f006b006b0061006c002c00200068006f007a007a006f006e0020006c00e9007400720065002000410064006f00620065002000500044004600200064006f006b0075006d0065006e00740075006d006f006b00610074002e0020002000410020006c00e90074007200650068006f007a006f00740074002000500044004600200064006f006b0075006d0065006e00740075006d006f006b00200061007a0020004100630072006f006200610074002c00200061007a002000410064006f00620065002000520065006100640065007200200035002e0030002000e9007300200061007a002000610074007400f3006c0020006b00e9007301510062006200690020007600650072007a006900f3006b006b0061006c00200020006e00790069007400680061007400f3006b0020006d00650067002egt ITA ltFEFF005500740069006c0069007a007a006100720065002000710075006500730074006500200069006d0070006f007300740061007a0069006f006e00690020007000650072002000630072006500610072006500200064006f00630075006d0065006e00740069002000410064006f006200650020005000440046002000700065007200200075006e00610020007300740061006d007000610020006400690020007100750061006c0069007400e00020007300750020007300740061006d00700061006e0074006900200065002000700072006f006f0066006500720020006400650073006b0074006f0070002e0020004900200064006f00630075006d0065006e007400690020005000440046002000630072006500610074006900200070006f00730073006f006e006f0020006500730073006500720065002000610070006500720074006900200063006f006e0020004100630072006f00620061007400200065002000410064006f00620065002000520065006100640065007200200035002e003000200065002000760065007200730069006f006e006900200073007500630063006500730073006900760065002egt JPN ltFEFF9ad854c18cea51fa529b7528002000410064006f0062006500200050004400460020658766f8306e4f5c6210306b4f7f75283057307e30593002537052376642306e753b8cea3092670059279650306b4fdd306430533068304c3067304d307e3059300230c730b930af30c830c330d730d730ea30f330bf3067306e53705237307e305f306f30d730eb30fc30d57528306b9069305730663044307e305930023053306e8a2d5b9a30674f5c62103055308c305f0020005000440046002030d530a130a430eb306f3001004100630072006f0062006100740020304a30883073002000410064006f00620065002000520065006100640065007200200035002e003000204ee5964d3067958b304f30533068304c3067304d307e30593002gt KOR ltFEFFc7740020c124c815c7440020c0acc6a9d558c5ec0020b370c2a4d06cd0d10020d504b9b0d1300020bc0f0020ad50c815ae30c5d0c11c0020ace0d488c9c8b85c0020c778c1c4d560002000410064006f0062006500200050004400460020bb38c11cb97c0020c791c131d569b2c8b2e4002e0020c774b807ac8c0020c791c131b41c00200050004400460020bb38c11cb2940020004100630072006f0062006100740020bc0f002000410064006f00620065002000520065006100640065007200200035002e00300020c774c0c1c5d0c11c0020c5f40020c2180020c788c2b5b2c8b2e4002egt LTH ltFEFF004e006100750064006f006b0069007400650020016100690075006f007300200070006100720061006d006500740072007500730020006e006f0072011700640061006d00690020006b0075007200740069002000410064006f00620065002000500044004600200064006f006b0075006d0065006e007400750073002c0020006b007500720069006500200073006b00690072007400690020006b006f006b0079006200690161006b0061006900200073007000610075007300640069006e007400690020007300740061006c0069006e0069006100690073002000690072002000620061006e00640079006d006f00200073007000610075007300640069006e007400750076006100690073002e0020002000530075006b0075007200740069002000500044004600200064006f006b0075006d0065006e007400610069002000670061006c006900200062016b007400690020006100740069006400610072006f006d00690020004100630072006f006200610074002000690072002000410064006f00620065002000520065006100640065007200200035002e0030002000610072002000760117006c00650073006e0117006d00690073002000760065007200730069006a006f006d00690073002egt LVI ltFEFF0049007a006d0061006e0074006f006a00690065007400200161006f00730020006900650073007400610074012b006a0075006d00750073002c0020006c0061006900200069007a0076006500690064006f00740075002000410064006f00620065002000500044004600200064006f006b0075006d0065006e0074007500730020006b00760061006c0069007400610074012b0076006100690020006400720075006b010101610061006e00610069002000610072002000670061006c006400610020007000720069006e00740065007200690065006d00200075006e0020007000610072006100750067006e006f00760069006c006b0075006d0075002000690065007300700069006500640113006a00690065006d002e00200049007a0076006500690064006f006a006900650074002000500044004600200064006f006b0075006d0065006e007400750073002c0020006b006f002000760061007200200061007400760113007200740020006100720020004100630072006f00620061007400200075006e002000410064006f00620065002000520065006100640065007200200035002e0030002c0020006b0101002000610072012b00200074006f0020006a00610075006e0101006b0101006d002000760065007200730069006a0101006d002egt NLD (Gebruik deze instellingen om Adobe PDF-documenten te maken voor kwaliteitsafdrukken op desktopprinters en proofers De gemaakte PDF-documenten kunnen worden geopend met Acrobat en Adobe Reader 50 en hoger) NOR ltFEFF004200720075006b00200064006900730073006500200069006e006e007300740069006c006c0069006e00670065006e0065002000740069006c002000e50020006f0070007000720065007400740065002000410064006f006200650020005000440046002d0064006f006b0075006d0065006e00740065007200200066006f00720020007500740073006b00720069006600740020006100760020006800f800790020006b00760061006c00690074006500740020007000e500200062006f007200640073006b0072006900760065007200200065006c006c00650072002000700072006f006f006600650072002e0020005000440046002d0064006f006b0075006d0065006e00740065006e00650020006b0061006e002000e50070006e00650073002000690020004100630072006f00620061007400200065006c006c00650072002000410064006f00620065002000520065006100640065007200200035002e003000200065006c006c00650072002000730065006e006500720065002egt POL ltFEFF0055007300740061007700690065006e0069006100200064006f002000740077006f0072007a0065006e0069006100200064006f006b0075006d0065006e007400f3007700200050004400460020007a002000770079017c0073007a010500200072006f007a0064007a00690065006c0063007a006f015b0063006901050020006f006200720061007a006b00f30077002c0020007a0061007000650077006e00690061006a0105006301050020006c006500700073007a01050020006a0061006b006f015b0107002000770079006400720075006b00f30077002e00200044006f006b0075006d0065006e0074007900200050004400460020006d006f017c006e00610020006f007400770069006500720061010700200077002000700072006f006700720061006d006900650020004100630072006f00620061007400200069002000410064006f00620065002000520065006100640065007200200035002e0030002000690020006e006f00770073007a0079006d002egt PTB ltFEFF005500740069006c0069007a006500200065007300730061007300200063006f006e00660069006700750072006100e700f50065007300200064006500200066006f0072006d00610020006100200063007200690061007200200064006f00630075006d0065006e0074006f0073002000410064006f0062006500200050004400460020007000610072006100200069006d0070007200650073007300f5006500730020006400650020007100750061006c0069006400610064006500200065006d00200069006d00700072006500730073006f0072006100730020006400650073006b0074006f00700020006500200064006900730070006f00730069007400690076006f0073002000640065002000700072006f00760061002e0020004f007300200064006f00630075006d0065006e0074006f00730020005000440046002000630072006900610064006f007300200070006f00640065006d0020007300650072002000610062006500720074006f007300200063006f006d0020006f0020004100630072006f006200610074002000650020006f002000410064006f00620065002000520065006100640065007200200035002e0030002000650020007600650072007300f50065007300200070006f00730074006500720069006f007200650073002egt RUM ltFEFF005500740069006c0069007a00610163006900200061006300650073007400650020007300650074010300720069002000700065006e007400720075002000610020006300720065006100200064006f00630075006d0065006e00740065002000410064006f006200650020005000440046002000700065006e007400720075002000740069007001030072006900720065002000640065002000630061006c006900740061007400650020006c006100200069006d007000720069006d0061006e007400650020006400650073006b0074006f00700020015f0069002000700065006e0074007200750020007600650072006900660069006300610074006f00720069002e002000200044006f00630075006d0065006e00740065006c00650020005000440046002000630072006500610074006500200070006f00740020006600690020006400650073006300680069007300650020006300750020004100630072006f006200610074002c002000410064006f00620065002000520065006100640065007200200035002e00300020015f00690020007600650072007300690075006e0069006c006500200075006c0074006500720069006f006100720065002egt RUS ltFEFF04180441043f043e043b044c04370443043904420435002004340430043d043d044b04350020043d0430044104420440043e0439043a043800200434043b044f00200441043e043704340430043d0438044f00200434043e043a0443043c0435043d0442043e0432002000410064006f006200650020005000440046002c0020043f044004350434043d04300437043d043004470435043d043d044b044500200434043b044f0020043a0430044704350441044204320435043d043d043e04390020043f043504470430044204380020043d04300020043d043004410442043e043b044c043d044b04450020043f04400438043d044204350440043004450020043800200443044104420440043e04390441044204320430044500200434043b044f0020043f043e043b044304470435043d0438044f0020043f0440043e0431043d044b04450020043e0442044204380441043a043e0432002e002000200421043e043704340430043d043d044b04350020005000440046002d0434043e043a0443043c0435043d0442044b0020043c043e0436043d043e00200020043e0442043a0440044b043204300442044c002004410020043f043e043c043e0449044c044e0020004100630072006f00620061007400200438002000410064006f00620065002000520065006100640065007200200035002e00300020043800200431043e043b043504350020043f043e04370434043d043804450020043204350440044104380439002egt SKY ltFEFF0054006900650074006f0020006e006100730074006100760065006e0069006100200070006f0075017e0069007400650020006e00610020007600790074007600e100720061006e0069006500200064006f006b0075006d0065006e0074006f0076002000410064006f00620065002000500044004600200070007200650020006b00760061006c00690074006e00fa00200074006c0061010d0020006e0061002000730074006f006c006e00fd0063006800200074006c0061010d00690061007201480061006300680020006100200074006c0061010d006f007600fd006300680020007a006100720069006100640065006e0069006100630068002e00200056007900740076006f00720065006e00e900200064006f006b0075006d0065006e007400790020005000440046002000620075006400650020006d006f017e006e00e90020006f00740076006f00720069016500200076002000700072006f006700720061006d006f006300680020004100630072006f00620061007400200061002000410064006f00620065002000520065006100640065007200200035002e0030002000610020006e006f0076016100ed00630068002e000d000agt SLV ltFEFF005400650020006e006100730074006100760069007400760065002000750070006f0072006100620069007400650020007a00610020007500730074007600610072006a0061006e006a006500200064006f006b0075006d0065006e0074006f0076002000410064006f0062006500200050004400460020007a00610020006b0061006b006f0076006f00730074006e006f0020007400690073006b0061006e006a00650020006e00610020006e0061006d0069007a006e006900680020007400690073006b0061006c006e0069006b0069006800200069006e0020007000720065007600650072006a0061006c006e0069006b00690068002e00200020005500730074007600610072006a0065006e006500200064006f006b0075006d0065006e0074006500200050004400460020006a00650020006d006f0067006f010d00650020006f0064007000720065007400690020007a0020004100630072006f00620061007400200069006e002000410064006f00620065002000520065006100640065007200200035002e003000200069006e0020006e006f00760065006a01610069006d002egt SUO ltFEFF004b00e40079007400e40020006e00e40069007400e4002000610073006500740075006b007300690061002c0020006b0075006e0020006c0075006f0074002000410064006f0062006500200050004400460020002d0064006f006b0075006d0065006e007400740065006a00610020006c0061006100640075006b006100730074006100200074007900f6007000f60079007400e400740075006c006f0073007400750073007400610020006a00610020007600650064006f007300740075007300740061002000760061007200740065006e002e00200020004c0075006f0064007500740020005000440046002d0064006f006b0075006d0065006e00740069007400200076006f0069006400610061006e0020006100760061007400610020004100630072006f0062006100740069006c006c00610020006a0061002000410064006f00620065002000520065006100640065007200200035002e0030003a006c006c00610020006a006100200075007500640065006d006d0069006c006c0061002egt SVE ltFEFF0041006e007600e4006e00640020006400650020006800e4007200200069006e0073007400e4006c006c006e0069006e006700610072006e00610020006f006d002000640075002000760069006c006c00200073006b006100700061002000410064006f006200650020005000440046002d0064006f006b0075006d0065006e00740020006600f600720020006b00760061006c00690074006500740073007500740073006b0072006900660074006500720020007000e5002000760061006e006c00690067006100200073006b0072006900760061007200650020006f006300680020006600f600720020006b006f007200720065006b007400750072002e002000200053006b006100700061006400650020005000440046002d0064006f006b0075006d0065006e00740020006b0061006e002000f600700070006e00610073002000690020004100630072006f0062006100740020006f00630068002000410064006f00620065002000520065006100640065007200200035002e00300020006f00630068002000730065006e006100720065002egt TUR ltFEFF004d00610073006100fc0073007400fc002000790061007a013100630131006c006100720020007600650020006200610073006b01310020006d0061006b0069006e0065006c006500720069006e006400650020006b0061006c006900740065006c00690020006200610073006b013100200061006d0061006301310079006c0061002000410064006f006200650020005000440046002000620065006c00670065006c0065007200690020006f006c0075015f007400750072006d0061006b0020006900e70069006e00200062007500200061007900610072006c0061007201310020006b0075006c006c0061006e0131006e002e00200020004f006c0075015f0074007500720075006c0061006e0020005000440046002000620065006c00670065006c0065007200690020004100630072006f006200610074002000760065002000410064006f00620065002000520065006100640065007200200035002e003000200076006500200073006f006e0072006100730131006e00640061006b00690020007300fc007200fc006d006c00650072006c00650020006100e70131006c006100620069006c00690072002egt UKR ltFEFF04120438043a043e0440043804410442043e043204430439044204350020044604560020043f043004400430043c043504420440043800200434043b044f0020044104420432043e04400435043d043d044f00200434043e043a0443043c0435043d044204560432002000410064006f006200650020005000440046002c0020044f043a04560020043d04300439043a04400430044904350020043f045604340445043e0434044f0442044c00200434043b044f0020043204380441043e043a043e044f043a04560441043d043e0433043e0020043404400443043a04430020043d04300020043d0430044104420456043b044c043d043804450020043f04400438043d044204350440043004450020044204300020043f04400438044104420440043e044f044500200434043b044f0020043e044204400438043c0430043d043d044f0020043f0440043e0431043d0438044500200437043e04310440043004360435043d044c002e00200020042104420432043e04400435043d045600200434043e043a0443043c0435043d0442043800200050004400460020043c043e0436043d04300020043204560434043a0440043804420438002004430020004100630072006f006200610074002004420430002000410064006f00620065002000520065006100640065007200200035002e0030002004300431043e0020043f04560437043d04560448043e04570020043204350440044104560457002egt ENU ltFEFF005500730065002000740068006500730065002000730065007400740069006e0067007300200074006f0020006300720065006100740065002000410064006f0062006500200050004400460020006200610073006500640020006f006e0020007400680065002000640065006600610075006c0074002000580069006c0069006e007800200070006100720061006d0065007400650072002000730065007400740069006e0067007300200064006500660069006e00650064002000620079002000500053003000300034002e002000460069006c0065006e0061006d0065003a002000780069006c0069006e0078002e006a006f0062006f007000740069006f006e0073002e000d0043006f006d007000610074006100620069006c006900740079003a0020004100630072006f00620061007400200037002e0030002000280050004400460031002e00360029002e0020005500730065003a00200046006f00720020006300720065006100740069006e0067002000460069006e0061006c002c0020005000750062006c0069007300680065006400200050004400460073002e000d0043007200650061007400650064002000310030002f00310032002f003100310020006200790020006a0066006f007200730074002egt gtgt Namespace [ (Adobe) (Common) (10) ] OtherNamespaces [ ltlt AsReaderSpreads false CropImagesToFrames true ErrorControl WarnAndContinue FlattenerIgnoreSpreadOverrides false IncludeGuidesGrids false IncludeNonPrinting false IncludeSlug false Namespace [ (Adobe) (InDesign) (40) ] OmitPlacedBitmaps false OmitPlacedEPS false OmitPlacedPDF false SimulateOverprint Legacy gtgt ltlt AddBleedMarks false AddColorBars false AddCropMarks false AddPageInfo false AddRegMarks false ConvertColors NoConversion DestinationProfileName () DestinationProfileSelector NA Downsample16BitImages true FlattenerPreset ltlt PresetSelector MediumResolution gtgt FormElements false GenerateStructure true IncludeBookmarks false IncludeHyperlinks false IncludeInteractive false IncludeLayers false IncludeProfiles true MultimediaHandling UseObjectSettings Namespace [ (Adobe) (CreativeSuite) (20) ] PDFXOutputIntentProfileSelector NA PreserveEditing true UntaggedCMYKHandling LeaveUntagged UntaggedRGBHandling LeaveUntagged UseDocumentBleed false gtgt ]gtgt setdistillerparamsltlt HWResolution [1200 1200] PageSize [612000 792000]gtgt setpagedevice

Page 32: Xilinx UG883 Kintex-7 FPGA KC705 Evaluation Kit Getting ...€¦ · Updated step 2 and step 3 in Requirements to Get Started, page 14. ... KC705 Getting Started Guide 9 UG883 (v3.0)

32 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

Note For packet sizes equal to 64 or 128 bytes the throughput is reduced and might not be visible on the Payload Statistics tab The exact values can be viewed on the System Status tab

4 Click the PCIe Statistics tab to view data transfer numbers with varying packet sizes on the PCIe interface (Figure 26)

X-Ref Target - Figure 25

Figure 25 Effect of Varying Packet Sizes on Performance

UG883_25_121112

KC705 Getting Started Guide wwwxilinxcom 33UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

The system performance of the Kintex-7 FPGA Base TRD has now been evaluated using the pre-built demonstration design bit file

Now that the Kintex-7 FPGA Base TRD demonstration has been set up and evaluated the design can be modified Before the design can be modified make sure to install the Vivado Design Suite on a PC It is not required that tools be installed on the PC system in which the KC705 evaluation board is plugged in by way of the PCIe edge connector

X-Ref Target - Figure 26

Figure 26 PCIe Statistics in the Performance Monitor

UG883_26_121112

34 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

KC705 Getting Started Guide wwwxilinxcom 35UG883 (v30) April 16 2013

Appendix A

Additional Resources

Xilinx ResourcesFor support resources such as Answers Documentation Downloads and Forums see the Xilinx Support website at

wwwxilinxcomsupport

For continual updates add the Answer Record to your myAlerts

wwwxilinxcomsupportmyalerts

For a glossary of technical terms used in Xilinx documentation see

wwwxilinxcomcompanytermshtm

Solution CentersSee the Xilinx Solution Centers for support on devices software tools and intellectual property at all stages of the design cycle Topics include design assistance advisories and troubleshooting tips

Further ResourcesThe most up to date information related to the KC705 board and its documentation is available on the following websites

The Kintex-7 FPGA KC705 Evaluation Kit Product Page

wwwxilinxcomkc705

The Kintex-7 FPGA KC705 Evaluation Kit Master Answer Record

wwwxilinxcomsupportanswers47787htm

Design advisories by software release for the Kintex-7 FPGA KC705 Evaluation Kit

wwwxilinxcomsupportnav=sd-nav-link-179661amptab=tab-bk

Kintex-7 FPGA Base Targeted Reference Design Release Notes and Known Issues Master Answer Record

wwwxilinxcomsupportanswers45679htm

These documents provide supplemental material useful with this user guide

UG810 KC705 Evaluation Board for the Kintex-7 FPGA User Guide

UG845 KC705 Reference Design User Guide

36 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Appendix A Additional Resources

UG798 Xilinx Design Tools Installation and Licensing Guide Vivado Design Suite and ISE Design Suite

UG908 Vivado Design Suite User Guide Programming and Debugging

UG882 Kintex-7 FPGA KC705 Base Targeted Reference Design User Guide (Vivado Design Suite 20124)

UG886 AMS101 Evaluation Card User Guide

UG477 7 Series FPGAs Integrated Block for PCI Express User Guide

ReferencesThe following websites provide supplemental material useful with this guide

1 LabVIEW 32-bit Run-Time Enginehttpjoulenicomniducdsviewpid2534langen

2 LabVIEW 64-bit Run-Time Engine httpjoulenicomniducdsviewpid2536langen

3 Tera Term Pro program httphpvectorcojpauthorsVA002416teratermhtml

4 Drivers on the Silicon Labs site httpwwwsilabscomSupport20DocumentsSoftwareCP210x_VCP_Win_XP_S2K3_Vista_7exe

5 Northwest Logic DMA back end core httpwwwnwlogiccompacketdma

6 Fedora project httpfedoraprojectorg

Fedora is a Linux-based operating system used in the development of this TRD

7 The GTK+ project API documentation httpwwwgtkorgdocumentationphp

GTK+ is a toolkit for creating graphical user interfaces (GUI)

KC705 Getting Started Guide wwwxilinxcom 37UG883 (v30) April 16 2013

Appendix B

Warranty

THIS LIMITED WARRANTY applies solely to standard hardware development boards and standard hardware programming cables manufactured by or on behalf of Xilinx (ldquoDevelopment Systemsrdquo) Subject to the limitations herein Xilinx warrants that Development Systems when delivered by Xilinx or its authorized distributor for ninety (90) days following the delivery date will be free from defects in material and workmanship and will substantially conform to Xilinx publicly available specifications for such products in effect at the time of delivery This limited warranty excludes (i) engineering samples or beta versions of Development Systems (which are provided ldquoAS ISrdquo without warranty) (ii) design defects or errors known as ldquoerratardquo (iii) Development Systems procured through unauthorized third parties and (iv) Development Systems that have been subject to misuse mishandling accident alteration neglect unauthorized repair or installation Furthermore this limited warranty shall not apply to the use of covered products in an application or environment that is not within Xilinx specifications or in the event of any act error neglect or default of Customer For any breach by Xilinx of this limited warranty the exclusive remedy of Customer and the sole liability of Xilinx shall be at the option of Xilinx to replace or repair the affected products or to refund to Customer the price of the affected products The availability of replacement products is subject to product discontinuation policies at Xilinx Customer may not return product without first obtaining a customer return material authorization (RMA) number from XilinxTHE WARRANTIES SET FORTH HEREIN ARE EXCLUSIVE XILINX DISCLAIMS ALL OTHER WARRANTIES WHETHER EXPRESS IMPLIED OR STATUTORY INCLUDING WITHOUT LIMITATION ANY WARRANTY OF MERCHANTABILITY FITNESS FOR A PARTICULAR PURPOSE OR NON-INFRINGEMENT AND ANY WARRANTY THAT MAY ARISE FROM COURSE OF DEALING COURSE OF PERFORMANCE OR USAGE OF TRADE (200810)

Do not throw Xilinx products marked with the ldquocrossed out wheeled binrdquo in the trash Directive 200296EC on waste electrical and electronic equipment (WEEE) requires the separate collection of WEEE Your cooperation is essential in ensuring the proper management of WEEE and the protection of the environment and human health from potential effects arising from the presence of hazardous substances in WEEE Return the marked products to Xilinx for proper disposal Further information and instructions for free-of-charge return available at httpwwwxilinxcomehsweeehtm

38 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Appendix B Warranty

  • Kintex-7 FPGA KC705 Evaluation Kit (Vivado Design Suite 20131)
    • Revision History
    • Table of Contents
    • Getting Started with the Kintex-7 FPGA KC705 Evaluation Kit (Vivado Design Suite 20131)
      • Introduction
      • Kit Contents
      • Basic Hardware Bring-up Using the BIST
        • Hardware Test Setup Requirements
          • Hardware Test Board Setup Requirements
            • Hardware Bring-Up
            • Run the BIST Application
              • AMS Bring-up with the AMS101 Evaluation Card
                • Requirements to Get Started
                • Evaluating AMS
                  • Advanced Bring-up Using the Base Targeted Reference Design
                    • Components
                    • Hardware Test Setup Requirements
                    • TRD Demonstration Setup
                    • Evaluating the Kintex-7 FPGA Base TRD
                        • Additional Resources
                          • Xilinx Resources
                          • Solution Centers
                          • Further Resources
                          • References
                            • Warranty
                                • ltlt ASCII85EncodePages false AllowTransparency false AutoPositionEPSFiles true AutoRotatePages All Binding Left CalGrayProfile (Dot Gain 20) CalRGBProfile (sRGB IEC61966-21) CalCMYKProfile (US Web Coated 050SWOP051 v2) sRGBProfile (sRGB IEC61966-21) CannotEmbedFontPolicy Warning CompatibilityLevel 16 CompressObjects Tags CompressPages true ConvertImagesToIndexed true PassThroughJPEGImages true CreateJobTicket false DefaultRenderingIntent Default DetectBlends true DetectCurves 00000 ColorConversionStrategy LeaveColorUnchanged DoThumbnails false EmbedAllFonts true EmbedOpenType false ParseICCProfilesInComments true EmbedJobOptions true DSCReportingLevel 0 EmitDSCWarnings false EndPage -1 ImageMemory 1048576 LockDistillerParams true MaxSubsetPct 100 Optimize true OPM 1 ParseDSCComments true ParseDSCCommentsForDocInfo true PreserveCopyPage true PreserveDICMYKValues true PreserveEPSInfo true PreserveFlatness true PreserveHalftoneInfo false PreserveOPIComments false PreserveOverprintSettings true StartPage 1 SubsetFonts true TransferFunctionInfo Apply UCRandBGInfo Preserve UsePrologue false ColorSettingsFile () AlwaysEmbed [ true ] NeverEmbed [ true ] AntiAliasColorImages false CropColorImages true ColorImageMinResolution 300 ColorImageMinResolutionPolicy OK DownsampleColorImages false ColorImageDownsampleType Bicubic ColorImageResolution 300 ColorImageDepth -1 ColorImageMinDownsampleDepth 1 ColorImageDownsampleThreshold 150000 EncodeColorImages true ColorImageFilter DCTEncode AutoFilterColorImages true ColorImageAutoFilterStrategy JPEG ColorACSImageDict ltlt QFactor 040 HSamples [1 1 1 1] VSamples [1 1 1 1] gtgt ColorImageDict ltlt QFactor 015 HSamples [1 1 1 1] VSamples [1 1 1 1] gtgt JPEG2000ColorACSImageDict ltlt TileWidth 256 TileHeight 256 Quality 30 gtgt JPEG2000ColorImageDict ltlt TileWidth 256 TileHeight 256 Quality 30 gtgt AntiAliasGrayImages false CropGrayImages true GrayImageMinResolution 300 GrayImageMinResolutionPolicy OK DownsampleGrayImages false GrayImageDownsampleType Bicubic GrayImageResolution 300 GrayImageDepth -1 GrayImageMinDownsampleDepth 2 GrayImageDownsampleThreshold 150000 EncodeGrayImages true GrayImageFilter DCTEncode AutoFilterGrayImages true GrayImageAutoFilterStrategy JPEG GrayACSImageDict ltlt QFactor 040 HSamples [1 1 1 1] VSamples [1 1 1 1] gtgt GrayImageDict ltlt QFactor 015 HSamples [1 1 1 1] VSamples [1 1 1 1] gtgt JPEG2000GrayACSImageDict ltlt TileWidth 256 TileHeight 256 Quality 30 gtgt JPEG2000GrayImageDict ltlt TileWidth 256 TileHeight 256 Quality 30 gtgt AntiAliasMonoImages false CropMonoImages true MonoImageMinResolution 1200 MonoImageMinResolutionPolicy OK DownsampleMonoImages false MonoImageDownsampleType Bicubic MonoImageResolution 1200 MonoImageDepth -1 MonoImageDownsampleThreshold 150000 EncodeMonoImages true MonoImageFilter CCITTFaxEncode MonoImageDict ltlt K -1 gtgt AllowPSXObjects false CheckCompliance [ None ] PDFX1aCheck false PDFX3Check false PDFXCompliantPDFOnly false PDFXNoTrimBoxError true PDFXTrimBoxToMediaBoxOffset [ 000000 000000 000000 000000 ] PDFXSetBleedBoxToMediaBox true PDFXBleedBoxToTrimBoxOffset [ 000000 000000 000000 000000 ] PDFXOutputIntentProfile (None) PDFXOutputConditionIdentifier () PDFXOutputCondition () PDFXRegistryName () PDFXTrapped False CreateJDFFile false Description ltlt ARA ltFEFF06270633062A062E062F0645002006470630064700200627064406250639062F0627062F0627062A002006440625064606340627062100200648062B062706260642002000410064006F00620065002000500044004600200645062A0648062706410642062900200644064406370628062706390629002006300627062A002006270644062C0648062F0629002006270644063906270644064A06290020064506460020062E06440627064400200627064406370627062806390627062A00200627064406450643062A0628064A062900200623064800200623062C06470632062900200625062C06310627062100200627064406280631064806410627062A061B0020064A06450643064600200641062A062D00200648062B0627062606420020005000440046002006270644064506460634062306290020062806270633062A062E062F062706450020004100630072006F0062006100740020064800410064006F006200650020005200650061006400650072002006250635062F0627063100200035002E0030002006480627064406250635062F062706310627062A0020062706440623062D062F062B002E0020064506390020005000440046002F0041060C0020062706440631062C062706210020064506310627062C063906290020062F0644064A0644002006450633062A062E062F06450020004100630072006F006200610074061B0020064A06450643064600200641062A062D00200648062B0627062606420020005000440046002006270644064506460634062306290020062806270633062A062E062F062706450020004100630072006F0062006100740020064800410064006F006200650020005200650061006400650072002006250635062F0627063100200035002E0030002006480627064406250635062F062706310627062A0020062706440623062D062F062B002Egt BGR ltFEFF04180437043f043e043b043704320430043904420435002004420435043704380020043d0430044104420440043e0439043a0438002c00200437043000200434043000200441044a0437043404300432043004420435002000410064006f00620065002000500044004600200434043e043a0443043c0435043d044204380020043704300020043a0430044704350441044204320435043d0020043f04350447043004420020043d04300020043d043004410442043e043b043d04380020043f04400438043d04420435044004380020043800200443044104420440043e043904410442043204300020043704300020043f04350447043004420020043d04300020043f0440043e0431043d04380020044004300437043f0435044704300442043a0438002e002000200421044a04370434043004340435043d043804420435002000500044004600200434043e043a0443043c0435043d044204380020043c043e0433043004420020043404300020044104350020043e0442043204300440044f0442002004410020004100630072006f00620061007400200438002000410064006f00620065002000520065006100640065007200200035002e00300020043800200441043b0435043404320430044904380020043204350440044104380438002egt CHS ltFEFF4f7f75288fd94e9b8bbe5b9a521b5efa7684002000500044004600206587686353ef901a8fc7684c976262535370673a548c002000700072006f006f00660065007200208fdb884c9ad88d2891cf62535370300260a853ef4ee54f7f75280020004100630072006f0062006100740020548c002000410064006f00620065002000520065006100640065007200200035002e003000204ee553ca66f49ad87248672c676562535f00521b5efa768400200050004400460020658768633002gt CHT ltFEFF4f7f752890194e9b8a2d7f6e5efa7acb7684002000410064006f006200650020005000440046002065874ef653ef5728684c9762537088686a5f548c002000700072006f006f00660065007200204e0a73725f979ad854c18cea7684521753706548679c300260a853ef4ee54f7f75280020004100630072006f0062006100740020548c002000410064006f00620065002000520065006100640065007200200035002e003000204ee553ca66f49ad87248672c4f86958b555f5df25efa7acb76840020005000440046002065874ef63002gt CZE ltFEFF005400610074006f0020006e006100730074006100760065006e00ed00200070006f0075017e0069006a007400650020006b0020007600790074007600e101590065006e00ed00200064006f006b0075006d0065006e0074016f002000410064006f006200650020005000440046002000700072006f0020006b00760061006c00690074006e00ed0020007400690073006b0020006e0061002000730074006f006c006e00ed006300680020007400690073006b00e10072006e00e100630068002000610020006e00e1007400690073006b006f007600fd006300680020007a0061015900ed007a0065006e00ed00630068002e002000200056007900740076006f01590065006e00e900200064006f006b0075006d0065006e007400790020005000440046002000620075006400650020006d006f017e006e00e90020006f007400650076015900ed007400200076002000700072006f006700720061006d0065006300680020004100630072006f00620061007400200061002000410064006f00620065002000520065006100640065007200200035002e0030002000610020006e006f0076011b006a016100ed00630068002egt DAN ltFEFF004200720075006700200069006e0064007300740069006c006c0069006e006700650072006e0065002000740069006c0020006100740020006f007000720065007400740065002000410064006f006200650020005000440046002d0064006f006b0075006d0065006e007400650072002000740069006c0020006b00760061006c00690074006500740073007500640073006b007200690076006e0069006e006700200065006c006c006500720020006b006f007200720065006b007400750072006c00e60073006e0069006e0067002e0020004400650020006f007000720065007400740065006400650020005000440046002d0064006f006b0075006d0065006e0074006500720020006b0061006e002000e50062006e00650073002000690020004100630072006f00620061007400200065006c006c006500720020004100630072006f006200610074002000520065006100640065007200200035002e00300020006f00670020006e0079006500720065002egt DEU ltFEFF00560065007200770065006e00640065006e0020005300690065002000640069006500730065002000450069006e007300740065006c006c0075006e00670065006e0020007a0075006d002000450072007300740065006c006c0065006e00200076006f006e002000410064006f006200650020005000440046002d0044006f006b0075006d0065006e00740065006e002c00200076006f006e002000640065006e0065006e002000530069006500200068006f00630068007700650072007400690067006500200044007200750063006b006500200061007500660020004400650073006b0074006f0070002d0044007200750063006b00650072006e00200075006e0064002000500072006f006f0066002d00470065007200e400740065006e002000650072007a0065007500670065006e0020006d00f60063006800740065006e002e002000450072007300740065006c006c007400650020005000440046002d0044006f006b0075006d0065006e007400650020006b00f6006e006e0065006e0020006d006900740020004100630072006f00620061007400200075006e0064002000410064006f00620065002000520065006100640065007200200035002e00300020006f0064006500720020006800f600680065007200200067006500f600660066006e00650074002000770065007200640065006e002egt ESP ltFEFF005500740069006c0069006300650020006500730074006100200063006f006e0066006900670075007200610063006900f3006e0020007000610072006100200063007200650061007200200064006f00630075006d0065006e0074006f0073002000640065002000410064006f0062006500200050004400460020007000610072006100200063006f006e00730065006700750069007200200069006d0070007200650073006900f3006e002000640065002000630061006c006900640061006400200065006e00200069006d0070007200650073006f0072006100730020006400650020006500730063007200690074006f00720069006f00200079002000680065007200720061006d00690065006e00740061007300200064006500200063006f00720072006500630063006900f3006e002e002000530065002000700075006500640065006e00200061006200720069007200200064006f00630075006d0065006e0074006f00730020005000440046002000630072006500610064006f007300200063006f006e0020004100630072006f006200610074002c002000410064006f00620065002000520065006100640065007200200035002e003000200079002000760065007200730069006f006e0065007300200070006f00730074006500720069006f007200650073002egt ETI ltFEFF004b00610073007500740061006700650020006e0065006900640020007300e4007400740065006900640020006c006100750061002d0020006a00610020006b006f006e00740072006f006c006c007400f5006d006d006900730065007000720069006e0074006500720069007400650020006a0061006f006b00730020006b00760061006c006900740065006500740073006500740065002000410064006f006200650020005000440046002d0064006f006b0075006d0065006e00740069006400650020006c006f006f006d006900730065006b0073002e002e00200020004c006f006f0064007500640020005000440046002d0064006f006b0075006d0065006e00740065002000730061006100740065002000610076006100640061002000700072006f006700720061006d006d006900640065006700610020004100630072006f0062006100740020006e0069006e0067002000410064006f00620065002000520065006100640065007200200035002e00300020006a00610020007500750065006d006100740065002000760065007200730069006f006f006e00690064006500670061002e000d000agt FRA ltFEFF005500740069006c006900730065007a00200063006500730020006f007000740069006f006e00730020006100660069006e00200064006500200063007200e900650072002000640065007300200064006f00630075006d0065006e00740073002000410064006f00620065002000500044004600200070006f007500720020006400650073002000e90070007200650075007600650073002000650074002000640065007300200069006d007000720065007300730069006f006e00730020006400650020006800610075007400650020007100750061006c0069007400e90020007300750072002000640065007300200069006d007000720069006d0061006e0074006500730020006400650020006200750072006500610075002e0020004c0065007300200064006f00630075006d0065006e00740073002000500044004600200063007200e900e90073002000700065007500760065006e0074002000ea0074007200650020006f007500760065007200740073002000640061006e00730020004100630072006f006200610074002c002000610069006e00730069002000710075002700410064006f00620065002000520065006100640065007200200035002e0030002000650074002000760065007200730069006f006e007300200075006c007400e90072006900650075007200650073002egt GRE ltFEFF03a703c103b703c303b903bc03bf03c003bf03b903ae03c303c403b5002003b103c503c403ad03c2002003c403b903c2002003c103c503b803bc03af03c303b503b903c2002003b303b903b1002003bd03b1002003b403b703bc03b903bf03c503c103b303ae03c303b503c403b5002003ad03b303b303c103b103c603b1002000410064006f006200650020005000440046002003b303b903b1002003b503ba03c403cd03c003c903c303b7002003c003bf03b903cc03c403b703c403b103c2002003c303b5002003b503ba03c403c503c003c903c403ad03c2002003b303c103b103c603b503af03bf03c5002003ba03b103b9002003b403bf03ba03b903bc03b103c303c403ad03c2002e0020002003a403b10020005000440046002003ad03b303b303c103b103c603b1002003c003bf03c5002003ad03c703b503c403b5002003b403b703bc03b903bf03c503c103b303ae03c303b503b9002003bc03c003bf03c103bf03cd03bd002003bd03b1002003b103bd03bf03b903c703c403bf03cd03bd002003bc03b5002003c403bf0020004100630072006f006200610074002c002003c403bf002000410064006f006200650020005200650061006400650072002000200035002e0030002003ba03b103b9002003bc03b503c403b103b303b503bd03ad03c303c403b503c103b503c2002003b503ba03b403cc03c303b503b903c2002egt HEB ltFEFF05D405E905EA05DE05E905D5002005D105D405D205D305E805D505EA002005D005DC05D4002005DB05D305D9002005DC05D905E605D505E8002005DE05E105DE05DB05D9002000410064006F006200650020005000440046002005E205D105D505E8002005D405D305E405E105D4002005D005D905DB05D505EA05D905EA002005D105DE05D305E405E105D505EA002005E905D505DC05D705E005D905D505EA002005D505DB05DC05D9002005D405D205D405D4002E002005DE05E105DE05DB05D9002005D4002D005000440046002005E905E005D505E605E805D905DD002005E005D905EA05E005D905DD002005DC05E405EA05D905D705D4002005D105D005DE05E605E205D505EA0020004100630072006F006200610074002005D5002D00410064006F00620065002000520065006100640065007200200035002E0030002005D505D205E805E105D005D505EA002005DE05EA05E705D305DE05D505EA002005D905D505EA05E8002Egt HRV ltFEFF005a00610020007300740076006100720061006e006a0065002000410064006f00620065002000500044004600200064006f006b0075006d0065006e0061007400610020007a00610020006b00760061006c00690074006500740061006e0020006900730070006900730020006e006100200070006900730061010d0069006d006100200069006c0069002000700072006f006f006600650072002000750072006501110061006a0069006d0061002e00200020005300740076006f00720065006e0069002000500044004600200064006f006b0075006d0065006e007400690020006d006f006700750020007300650020006f00740076006f00720069007400690020004100630072006f00620061007400200069002000410064006f00620065002000520065006100640065007200200035002e0030002000690020006b00610073006e0069006a0069006d0020007600650072007a0069006a0061006d0061002egt HUN ltFEFF004d0069006e0151007300e9006700690020006e0079006f006d00610074006f006b0020006b00e90073007a00ed007400e9007300e900680065007a002000610073007a00740061006c00690020006e0079006f006d00740061007400f3006b006f006e002000e9007300200070007200f300620061006e0079006f006d00f3006b006f006e00200065007a0065006b006b0065006c0020006100200062006500e1006c006c00ed007400e10073006f006b006b0061006c002c00200068006f007a007a006f006e0020006c00e9007400720065002000410064006f00620065002000500044004600200064006f006b0075006d0065006e00740075006d006f006b00610074002e0020002000410020006c00e90074007200650068006f007a006f00740074002000500044004600200064006f006b0075006d0065006e00740075006d006f006b00200061007a0020004100630072006f006200610074002c00200061007a002000410064006f00620065002000520065006100640065007200200035002e0030002000e9007300200061007a002000610074007400f3006c0020006b00e9007301510062006200690020007600650072007a006900f3006b006b0061006c00200020006e00790069007400680061007400f3006b0020006d00650067002egt ITA ltFEFF005500740069006c0069007a007a006100720065002000710075006500730074006500200069006d0070006f007300740061007a0069006f006e00690020007000650072002000630072006500610072006500200064006f00630075006d0065006e00740069002000410064006f006200650020005000440046002000700065007200200075006e00610020007300740061006d007000610020006400690020007100750061006c0069007400e00020007300750020007300740061006d00700061006e0074006900200065002000700072006f006f0066006500720020006400650073006b0074006f0070002e0020004900200064006f00630075006d0065006e007400690020005000440046002000630072006500610074006900200070006f00730073006f006e006f0020006500730073006500720065002000610070006500720074006900200063006f006e0020004100630072006f00620061007400200065002000410064006f00620065002000520065006100640065007200200035002e003000200065002000760065007200730069006f006e006900200073007500630063006500730073006900760065002egt JPN ltFEFF9ad854c18cea51fa529b7528002000410064006f0062006500200050004400460020658766f8306e4f5c6210306b4f7f75283057307e30593002537052376642306e753b8cea3092670059279650306b4fdd306430533068304c3067304d307e3059300230c730b930af30c830c330d730d730ea30f330bf3067306e53705237307e305f306f30d730eb30fc30d57528306b9069305730663044307e305930023053306e8a2d5b9a30674f5c62103055308c305f0020005000440046002030d530a130a430eb306f3001004100630072006f0062006100740020304a30883073002000410064006f00620065002000520065006100640065007200200035002e003000204ee5964d3067958b304f30533068304c3067304d307e30593002gt KOR ltFEFFc7740020c124c815c7440020c0acc6a9d558c5ec0020b370c2a4d06cd0d10020d504b9b0d1300020bc0f0020ad50c815ae30c5d0c11c0020ace0d488c9c8b85c0020c778c1c4d560002000410064006f0062006500200050004400460020bb38c11cb97c0020c791c131d569b2c8b2e4002e0020c774b807ac8c0020c791c131b41c00200050004400460020bb38c11cb2940020004100630072006f0062006100740020bc0f002000410064006f00620065002000520065006100640065007200200035002e00300020c774c0c1c5d0c11c0020c5f40020c2180020c788c2b5b2c8b2e4002egt LTH ltFEFF004e006100750064006f006b0069007400650020016100690075006f007300200070006100720061006d006500740072007500730020006e006f0072011700640061006d00690020006b0075007200740069002000410064006f00620065002000500044004600200064006f006b0075006d0065006e007400750073002c0020006b007500720069006500200073006b00690072007400690020006b006f006b0079006200690161006b0061006900200073007000610075007300640069006e007400690020007300740061006c0069006e0069006100690073002000690072002000620061006e00640079006d006f00200073007000610075007300640069006e007400750076006100690073002e0020002000530075006b0075007200740069002000500044004600200064006f006b0075006d0065006e007400610069002000670061006c006900200062016b007400690020006100740069006400610072006f006d00690020004100630072006f006200610074002000690072002000410064006f00620065002000520065006100640065007200200035002e0030002000610072002000760117006c00650073006e0117006d00690073002000760065007200730069006a006f006d00690073002egt LVI ltFEFF0049007a006d0061006e0074006f006a00690065007400200161006f00730020006900650073007400610074012b006a0075006d00750073002c0020006c0061006900200069007a0076006500690064006f00740075002000410064006f00620065002000500044004600200064006f006b0075006d0065006e0074007500730020006b00760061006c0069007400610074012b0076006100690020006400720075006b010101610061006e00610069002000610072002000670061006c006400610020007000720069006e00740065007200690065006d00200075006e0020007000610072006100750067006e006f00760069006c006b0075006d0075002000690065007300700069006500640113006a00690065006d002e00200049007a0076006500690064006f006a006900650074002000500044004600200064006f006b0075006d0065006e007400750073002c0020006b006f002000760061007200200061007400760113007200740020006100720020004100630072006f00620061007400200075006e002000410064006f00620065002000520065006100640065007200200035002e0030002c0020006b0101002000610072012b00200074006f0020006a00610075006e0101006b0101006d002000760065007200730069006a0101006d002egt NLD (Gebruik deze instellingen om Adobe PDF-documenten te maken voor kwaliteitsafdrukken op desktopprinters en proofers De gemaakte PDF-documenten kunnen worden geopend met Acrobat en Adobe Reader 50 en hoger) NOR ltFEFF004200720075006b00200064006900730073006500200069006e006e007300740069006c006c0069006e00670065006e0065002000740069006c002000e50020006f0070007000720065007400740065002000410064006f006200650020005000440046002d0064006f006b0075006d0065006e00740065007200200066006f00720020007500740073006b00720069006600740020006100760020006800f800790020006b00760061006c00690074006500740020007000e500200062006f007200640073006b0072006900760065007200200065006c006c00650072002000700072006f006f006600650072002e0020005000440046002d0064006f006b0075006d0065006e00740065006e00650020006b0061006e002000e50070006e00650073002000690020004100630072006f00620061007400200065006c006c00650072002000410064006f00620065002000520065006100640065007200200035002e003000200065006c006c00650072002000730065006e006500720065002egt POL ltFEFF0055007300740061007700690065006e0069006100200064006f002000740077006f0072007a0065006e0069006100200064006f006b0075006d0065006e007400f3007700200050004400460020007a002000770079017c0073007a010500200072006f007a0064007a00690065006c0063007a006f015b0063006901050020006f006200720061007a006b00f30077002c0020007a0061007000650077006e00690061006a0105006301050020006c006500700073007a01050020006a0061006b006f015b0107002000770079006400720075006b00f30077002e00200044006f006b0075006d0065006e0074007900200050004400460020006d006f017c006e00610020006f007400770069006500720061010700200077002000700072006f006700720061006d006900650020004100630072006f00620061007400200069002000410064006f00620065002000520065006100640065007200200035002e0030002000690020006e006f00770073007a0079006d002egt PTB ltFEFF005500740069006c0069007a006500200065007300730061007300200063006f006e00660069006700750072006100e700f50065007300200064006500200066006f0072006d00610020006100200063007200690061007200200064006f00630075006d0065006e0074006f0073002000410064006f0062006500200050004400460020007000610072006100200069006d0070007200650073007300f5006500730020006400650020007100750061006c0069006400610064006500200065006d00200069006d00700072006500730073006f0072006100730020006400650073006b0074006f00700020006500200064006900730070006f00730069007400690076006f0073002000640065002000700072006f00760061002e0020004f007300200064006f00630075006d0065006e0074006f00730020005000440046002000630072006900610064006f007300200070006f00640065006d0020007300650072002000610062006500720074006f007300200063006f006d0020006f0020004100630072006f006200610074002000650020006f002000410064006f00620065002000520065006100640065007200200035002e0030002000650020007600650072007300f50065007300200070006f00730074006500720069006f007200650073002egt RUM ltFEFF005500740069006c0069007a00610163006900200061006300650073007400650020007300650074010300720069002000700065006e007400720075002000610020006300720065006100200064006f00630075006d0065006e00740065002000410064006f006200650020005000440046002000700065006e007400720075002000740069007001030072006900720065002000640065002000630061006c006900740061007400650020006c006100200069006d007000720069006d0061006e007400650020006400650073006b0074006f00700020015f0069002000700065006e0074007200750020007600650072006900660069006300610074006f00720069002e002000200044006f00630075006d0065006e00740065006c00650020005000440046002000630072006500610074006500200070006f00740020006600690020006400650073006300680069007300650020006300750020004100630072006f006200610074002c002000410064006f00620065002000520065006100640065007200200035002e00300020015f00690020007600650072007300690075006e0069006c006500200075006c0074006500720069006f006100720065002egt RUS ltFEFF04180441043f043e043b044c04370443043904420435002004340430043d043d044b04350020043d0430044104420440043e0439043a043800200434043b044f00200441043e043704340430043d0438044f00200434043e043a0443043c0435043d0442043e0432002000410064006f006200650020005000440046002c0020043f044004350434043d04300437043d043004470435043d043d044b044500200434043b044f0020043a0430044704350441044204320435043d043d043e04390020043f043504470430044204380020043d04300020043d043004410442043e043b044c043d044b04450020043f04400438043d044204350440043004450020043800200443044104420440043e04390441044204320430044500200434043b044f0020043f043e043b044304470435043d0438044f0020043f0440043e0431043d044b04450020043e0442044204380441043a043e0432002e002000200421043e043704340430043d043d044b04350020005000440046002d0434043e043a0443043c0435043d0442044b0020043c043e0436043d043e00200020043e0442043a0440044b043204300442044c002004410020043f043e043c043e0449044c044e0020004100630072006f00620061007400200438002000410064006f00620065002000520065006100640065007200200035002e00300020043800200431043e043b043504350020043f043e04370434043d043804450020043204350440044104380439002egt SKY ltFEFF0054006900650074006f0020006e006100730074006100760065006e0069006100200070006f0075017e0069007400650020006e00610020007600790074007600e100720061006e0069006500200064006f006b0075006d0065006e0074006f0076002000410064006f00620065002000500044004600200070007200650020006b00760061006c00690074006e00fa00200074006c0061010d0020006e0061002000730074006f006c006e00fd0063006800200074006c0061010d00690061007201480061006300680020006100200074006c0061010d006f007600fd006300680020007a006100720069006100640065006e0069006100630068002e00200056007900740076006f00720065006e00e900200064006f006b0075006d0065006e007400790020005000440046002000620075006400650020006d006f017e006e00e90020006f00740076006f00720069016500200076002000700072006f006700720061006d006f006300680020004100630072006f00620061007400200061002000410064006f00620065002000520065006100640065007200200035002e0030002000610020006e006f0076016100ed00630068002e000d000agt SLV ltFEFF005400650020006e006100730074006100760069007400760065002000750070006f0072006100620069007400650020007a00610020007500730074007600610072006a0061006e006a006500200064006f006b0075006d0065006e0074006f0076002000410064006f0062006500200050004400460020007a00610020006b0061006b006f0076006f00730074006e006f0020007400690073006b0061006e006a00650020006e00610020006e0061006d0069007a006e006900680020007400690073006b0061006c006e0069006b0069006800200069006e0020007000720065007600650072006a0061006c006e0069006b00690068002e00200020005500730074007600610072006a0065006e006500200064006f006b0075006d0065006e0074006500200050004400460020006a00650020006d006f0067006f010d00650020006f0064007000720065007400690020007a0020004100630072006f00620061007400200069006e002000410064006f00620065002000520065006100640065007200200035002e003000200069006e0020006e006f00760065006a01610069006d002egt SUO ltFEFF004b00e40079007400e40020006e00e40069007400e4002000610073006500740075006b007300690061002c0020006b0075006e0020006c0075006f0074002000410064006f0062006500200050004400460020002d0064006f006b0075006d0065006e007400740065006a00610020006c0061006100640075006b006100730074006100200074007900f6007000f60079007400e400740075006c006f0073007400750073007400610020006a00610020007600650064006f007300740075007300740061002000760061007200740065006e002e00200020004c0075006f0064007500740020005000440046002d0064006f006b0075006d0065006e00740069007400200076006f0069006400610061006e0020006100760061007400610020004100630072006f0062006100740069006c006c00610020006a0061002000410064006f00620065002000520065006100640065007200200035002e0030003a006c006c00610020006a006100200075007500640065006d006d0069006c006c0061002egt SVE ltFEFF0041006e007600e4006e00640020006400650020006800e4007200200069006e0073007400e4006c006c006e0069006e006700610072006e00610020006f006d002000640075002000760069006c006c00200073006b006100700061002000410064006f006200650020005000440046002d0064006f006b0075006d0065006e00740020006600f600720020006b00760061006c00690074006500740073007500740073006b0072006900660074006500720020007000e5002000760061006e006c00690067006100200073006b0072006900760061007200650020006f006300680020006600f600720020006b006f007200720065006b007400750072002e002000200053006b006100700061006400650020005000440046002d0064006f006b0075006d0065006e00740020006b0061006e002000f600700070006e00610073002000690020004100630072006f0062006100740020006f00630068002000410064006f00620065002000520065006100640065007200200035002e00300020006f00630068002000730065006e006100720065002egt TUR ltFEFF004d00610073006100fc0073007400fc002000790061007a013100630131006c006100720020007600650020006200610073006b01310020006d0061006b0069006e0065006c006500720069006e006400650020006b0061006c006900740065006c00690020006200610073006b013100200061006d0061006301310079006c0061002000410064006f006200650020005000440046002000620065006c00670065006c0065007200690020006f006c0075015f007400750072006d0061006b0020006900e70069006e00200062007500200061007900610072006c0061007201310020006b0075006c006c0061006e0131006e002e00200020004f006c0075015f0074007500720075006c0061006e0020005000440046002000620065006c00670065006c0065007200690020004100630072006f006200610074002000760065002000410064006f00620065002000520065006100640065007200200035002e003000200076006500200073006f006e0072006100730131006e00640061006b00690020007300fc007200fc006d006c00650072006c00650020006100e70131006c006100620069006c00690072002egt UKR ltFEFF04120438043a043e0440043804410442043e043204430439044204350020044604560020043f043004400430043c043504420440043800200434043b044f0020044104420432043e04400435043d043d044f00200434043e043a0443043c0435043d044204560432002000410064006f006200650020005000440046002c0020044f043a04560020043d04300439043a04400430044904350020043f045604340445043e0434044f0442044c00200434043b044f0020043204380441043e043a043e044f043a04560441043d043e0433043e0020043404400443043a04430020043d04300020043d0430044104420456043b044c043d043804450020043f04400438043d044204350440043004450020044204300020043f04400438044104420440043e044f044500200434043b044f0020043e044204400438043c0430043d043d044f0020043f0440043e0431043d0438044500200437043e04310440043004360435043d044c002e00200020042104420432043e04400435043d045600200434043e043a0443043c0435043d0442043800200050004400460020043c043e0436043d04300020043204560434043a0440043804420438002004430020004100630072006f006200610074002004420430002000410064006f00620065002000520065006100640065007200200035002e0030002004300431043e0020043f04560437043d04560448043e04570020043204350440044104560457002egt ENU ltFEFF005500730065002000740068006500730065002000730065007400740069006e0067007300200074006f0020006300720065006100740065002000410064006f0062006500200050004400460020006200610073006500640020006f006e0020007400680065002000640065006600610075006c0074002000580069006c0069006e007800200070006100720061006d0065007400650072002000730065007400740069006e0067007300200064006500660069006e00650064002000620079002000500053003000300034002e002000460069006c0065006e0061006d0065003a002000780069006c0069006e0078002e006a006f0062006f007000740069006f006e0073002e000d0043006f006d007000610074006100620069006c006900740079003a0020004100630072006f00620061007400200037002e0030002000280050004400460031002e00360029002e0020005500730065003a00200046006f00720020006300720065006100740069006e0067002000460069006e0061006c002c0020005000750062006c0069007300680065006400200050004400460073002e000d0043007200650061007400650064002000310030002f00310032002f003100310020006200790020006a0066006f007200730074002egt gtgt Namespace [ (Adobe) (Common) (10) ] OtherNamespaces [ ltlt AsReaderSpreads false CropImagesToFrames true ErrorControl WarnAndContinue FlattenerIgnoreSpreadOverrides false IncludeGuidesGrids false IncludeNonPrinting false IncludeSlug false Namespace [ (Adobe) (InDesign) (40) ] OmitPlacedBitmaps false OmitPlacedEPS false OmitPlacedPDF false SimulateOverprint Legacy gtgt ltlt AddBleedMarks false AddColorBars false AddCropMarks false AddPageInfo false AddRegMarks false ConvertColors NoConversion DestinationProfileName () DestinationProfileSelector NA Downsample16BitImages true FlattenerPreset ltlt PresetSelector MediumResolution gtgt FormElements false GenerateStructure true IncludeBookmarks false IncludeHyperlinks false IncludeInteractive false IncludeLayers false IncludeProfiles true MultimediaHandling UseObjectSettings Namespace [ (Adobe) (CreativeSuite) (20) ] PDFXOutputIntentProfileSelector NA PreserveEditing true UntaggedCMYKHandling LeaveUntagged UntaggedRGBHandling LeaveUntagged UseDocumentBleed false gtgt ]gtgt setdistillerparamsltlt HWResolution [1200 1200] PageSize [612000 792000]gtgt setpagedevice

Page 33: Xilinx UG883 Kintex-7 FPGA KC705 Evaluation Kit Getting ...€¦ · Updated step 2 and step 3 in Requirements to Get Started, page 14. ... KC705 Getting Started Guide 9 UG883 (v3.0)

KC705 Getting Started Guide wwwxilinxcom 33UG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

The system performance of the Kintex-7 FPGA Base TRD has now been evaluated using the pre-built demonstration design bit file

Now that the Kintex-7 FPGA Base TRD demonstration has been set up and evaluated the design can be modified Before the design can be modified make sure to install the Vivado Design Suite on a PC It is not required that tools be installed on the PC system in which the KC705 evaluation board is plugged in by way of the PCIe edge connector

X-Ref Target - Figure 26

Figure 26 PCIe Statistics in the Performance Monitor

UG883_26_121112

34 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

KC705 Getting Started Guide wwwxilinxcom 35UG883 (v30) April 16 2013

Appendix A

Additional Resources

Xilinx ResourcesFor support resources such as Answers Documentation Downloads and Forums see the Xilinx Support website at

wwwxilinxcomsupport

For continual updates add the Answer Record to your myAlerts

wwwxilinxcomsupportmyalerts

For a glossary of technical terms used in Xilinx documentation see

wwwxilinxcomcompanytermshtm

Solution CentersSee the Xilinx Solution Centers for support on devices software tools and intellectual property at all stages of the design cycle Topics include design assistance advisories and troubleshooting tips

Further ResourcesThe most up to date information related to the KC705 board and its documentation is available on the following websites

The Kintex-7 FPGA KC705 Evaluation Kit Product Page

wwwxilinxcomkc705

The Kintex-7 FPGA KC705 Evaluation Kit Master Answer Record

wwwxilinxcomsupportanswers47787htm

Design advisories by software release for the Kintex-7 FPGA KC705 Evaluation Kit

wwwxilinxcomsupportnav=sd-nav-link-179661amptab=tab-bk

Kintex-7 FPGA Base Targeted Reference Design Release Notes and Known Issues Master Answer Record

wwwxilinxcomsupportanswers45679htm

These documents provide supplemental material useful with this user guide

UG810 KC705 Evaluation Board for the Kintex-7 FPGA User Guide

UG845 KC705 Reference Design User Guide

36 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Appendix A Additional Resources

UG798 Xilinx Design Tools Installation and Licensing Guide Vivado Design Suite and ISE Design Suite

UG908 Vivado Design Suite User Guide Programming and Debugging

UG882 Kintex-7 FPGA KC705 Base Targeted Reference Design User Guide (Vivado Design Suite 20124)

UG886 AMS101 Evaluation Card User Guide

UG477 7 Series FPGAs Integrated Block for PCI Express User Guide

ReferencesThe following websites provide supplemental material useful with this guide

1 LabVIEW 32-bit Run-Time Enginehttpjoulenicomniducdsviewpid2534langen

2 LabVIEW 64-bit Run-Time Engine httpjoulenicomniducdsviewpid2536langen

3 Tera Term Pro program httphpvectorcojpauthorsVA002416teratermhtml

4 Drivers on the Silicon Labs site httpwwwsilabscomSupport20DocumentsSoftwareCP210x_VCP_Win_XP_S2K3_Vista_7exe

5 Northwest Logic DMA back end core httpwwwnwlogiccompacketdma

6 Fedora project httpfedoraprojectorg

Fedora is a Linux-based operating system used in the development of this TRD

7 The GTK+ project API documentation httpwwwgtkorgdocumentationphp

GTK+ is a toolkit for creating graphical user interfaces (GUI)

KC705 Getting Started Guide wwwxilinxcom 37UG883 (v30) April 16 2013

Appendix B

Warranty

THIS LIMITED WARRANTY applies solely to standard hardware development boards and standard hardware programming cables manufactured by or on behalf of Xilinx (ldquoDevelopment Systemsrdquo) Subject to the limitations herein Xilinx warrants that Development Systems when delivered by Xilinx or its authorized distributor for ninety (90) days following the delivery date will be free from defects in material and workmanship and will substantially conform to Xilinx publicly available specifications for such products in effect at the time of delivery This limited warranty excludes (i) engineering samples or beta versions of Development Systems (which are provided ldquoAS ISrdquo without warranty) (ii) design defects or errors known as ldquoerratardquo (iii) Development Systems procured through unauthorized third parties and (iv) Development Systems that have been subject to misuse mishandling accident alteration neglect unauthorized repair or installation Furthermore this limited warranty shall not apply to the use of covered products in an application or environment that is not within Xilinx specifications or in the event of any act error neglect or default of Customer For any breach by Xilinx of this limited warranty the exclusive remedy of Customer and the sole liability of Xilinx shall be at the option of Xilinx to replace or repair the affected products or to refund to Customer the price of the affected products The availability of replacement products is subject to product discontinuation policies at Xilinx Customer may not return product without first obtaining a customer return material authorization (RMA) number from XilinxTHE WARRANTIES SET FORTH HEREIN ARE EXCLUSIVE XILINX DISCLAIMS ALL OTHER WARRANTIES WHETHER EXPRESS IMPLIED OR STATUTORY INCLUDING WITHOUT LIMITATION ANY WARRANTY OF MERCHANTABILITY FITNESS FOR A PARTICULAR PURPOSE OR NON-INFRINGEMENT AND ANY WARRANTY THAT MAY ARISE FROM COURSE OF DEALING COURSE OF PERFORMANCE OR USAGE OF TRADE (200810)

Do not throw Xilinx products marked with the ldquocrossed out wheeled binrdquo in the trash Directive 200296EC on waste electrical and electronic equipment (WEEE) requires the separate collection of WEEE Your cooperation is essential in ensuring the proper management of WEEE and the protection of the environment and human health from potential effects arising from the presence of hazardous substances in WEEE Return the marked products to Xilinx for proper disposal Further information and instructions for free-of-charge return available at httpwwwxilinxcomehsweeehtm

38 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Appendix B Warranty

  • Kintex-7 FPGA KC705 Evaluation Kit (Vivado Design Suite 20131)
    • Revision History
    • Table of Contents
    • Getting Started with the Kintex-7 FPGA KC705 Evaluation Kit (Vivado Design Suite 20131)
      • Introduction
      • Kit Contents
      • Basic Hardware Bring-up Using the BIST
        • Hardware Test Setup Requirements
          • Hardware Test Board Setup Requirements
            • Hardware Bring-Up
            • Run the BIST Application
              • AMS Bring-up with the AMS101 Evaluation Card
                • Requirements to Get Started
                • Evaluating AMS
                  • Advanced Bring-up Using the Base Targeted Reference Design
                    • Components
                    • Hardware Test Setup Requirements
                    • TRD Demonstration Setup
                    • Evaluating the Kintex-7 FPGA Base TRD
                        • Additional Resources
                          • Xilinx Resources
                          • Solution Centers
                          • Further Resources
                          • References
                            • Warranty
                                • ltlt ASCII85EncodePages false AllowTransparency false AutoPositionEPSFiles true AutoRotatePages All Binding Left CalGrayProfile (Dot Gain 20) CalRGBProfile (sRGB IEC61966-21) CalCMYKProfile (US Web Coated 050SWOP051 v2) sRGBProfile (sRGB IEC61966-21) CannotEmbedFontPolicy Warning CompatibilityLevel 16 CompressObjects Tags CompressPages true ConvertImagesToIndexed true PassThroughJPEGImages true CreateJobTicket false DefaultRenderingIntent Default DetectBlends true DetectCurves 00000 ColorConversionStrategy LeaveColorUnchanged DoThumbnails false EmbedAllFonts true EmbedOpenType false ParseICCProfilesInComments true EmbedJobOptions true DSCReportingLevel 0 EmitDSCWarnings false EndPage -1 ImageMemory 1048576 LockDistillerParams true MaxSubsetPct 100 Optimize true OPM 1 ParseDSCComments true ParseDSCCommentsForDocInfo true PreserveCopyPage true PreserveDICMYKValues true PreserveEPSInfo true PreserveFlatness true PreserveHalftoneInfo false PreserveOPIComments false PreserveOverprintSettings true StartPage 1 SubsetFonts true TransferFunctionInfo Apply UCRandBGInfo Preserve UsePrologue false ColorSettingsFile () AlwaysEmbed [ true ] NeverEmbed [ true ] AntiAliasColorImages false CropColorImages true ColorImageMinResolution 300 ColorImageMinResolutionPolicy OK DownsampleColorImages false ColorImageDownsampleType Bicubic ColorImageResolution 300 ColorImageDepth -1 ColorImageMinDownsampleDepth 1 ColorImageDownsampleThreshold 150000 EncodeColorImages true ColorImageFilter DCTEncode AutoFilterColorImages true ColorImageAutoFilterStrategy JPEG ColorACSImageDict ltlt QFactor 040 HSamples [1 1 1 1] VSamples [1 1 1 1] gtgt ColorImageDict ltlt QFactor 015 HSamples [1 1 1 1] VSamples [1 1 1 1] gtgt JPEG2000ColorACSImageDict ltlt TileWidth 256 TileHeight 256 Quality 30 gtgt JPEG2000ColorImageDict ltlt TileWidth 256 TileHeight 256 Quality 30 gtgt AntiAliasGrayImages false CropGrayImages true GrayImageMinResolution 300 GrayImageMinResolutionPolicy OK DownsampleGrayImages false GrayImageDownsampleType Bicubic GrayImageResolution 300 GrayImageDepth -1 GrayImageMinDownsampleDepth 2 GrayImageDownsampleThreshold 150000 EncodeGrayImages true GrayImageFilter DCTEncode AutoFilterGrayImages true GrayImageAutoFilterStrategy JPEG GrayACSImageDict ltlt QFactor 040 HSamples [1 1 1 1] VSamples [1 1 1 1] gtgt GrayImageDict ltlt QFactor 015 HSamples [1 1 1 1] VSamples [1 1 1 1] gtgt JPEG2000GrayACSImageDict ltlt TileWidth 256 TileHeight 256 Quality 30 gtgt JPEG2000GrayImageDict ltlt TileWidth 256 TileHeight 256 Quality 30 gtgt AntiAliasMonoImages false CropMonoImages true MonoImageMinResolution 1200 MonoImageMinResolutionPolicy OK DownsampleMonoImages false MonoImageDownsampleType Bicubic MonoImageResolution 1200 MonoImageDepth -1 MonoImageDownsampleThreshold 150000 EncodeMonoImages true MonoImageFilter CCITTFaxEncode MonoImageDict ltlt K -1 gtgt AllowPSXObjects false CheckCompliance [ None ] PDFX1aCheck false PDFX3Check false PDFXCompliantPDFOnly false PDFXNoTrimBoxError true PDFXTrimBoxToMediaBoxOffset [ 000000 000000 000000 000000 ] PDFXSetBleedBoxToMediaBox true PDFXBleedBoxToTrimBoxOffset [ 000000 000000 000000 000000 ] PDFXOutputIntentProfile (None) PDFXOutputConditionIdentifier () PDFXOutputCondition () PDFXRegistryName () PDFXTrapped False CreateJDFFile false Description ltlt ARA ltFEFF06270633062A062E062F0645002006470630064700200627064406250639062F0627062F0627062A002006440625064606340627062100200648062B062706260642002000410064006F00620065002000500044004600200645062A0648062706410642062900200644064406370628062706390629002006300627062A002006270644062C0648062F0629002006270644063906270644064A06290020064506460020062E06440627064400200627064406370627062806390627062A00200627064406450643062A0628064A062900200623064800200623062C06470632062900200625062C06310627062100200627064406280631064806410627062A061B0020064A06450643064600200641062A062D00200648062B0627062606420020005000440046002006270644064506460634062306290020062806270633062A062E062F062706450020004100630072006F0062006100740020064800410064006F006200650020005200650061006400650072002006250635062F0627063100200035002E0030002006480627064406250635062F062706310627062A0020062706440623062D062F062B002E0020064506390020005000440046002F0041060C0020062706440631062C062706210020064506310627062C063906290020062F0644064A0644002006450633062A062E062F06450020004100630072006F006200610074061B0020064A06450643064600200641062A062D00200648062B0627062606420020005000440046002006270644064506460634062306290020062806270633062A062E062F062706450020004100630072006F0062006100740020064800410064006F006200650020005200650061006400650072002006250635062F0627063100200035002E0030002006480627064406250635062F062706310627062A0020062706440623062D062F062B002Egt BGR ltFEFF04180437043f043e043b043704320430043904420435002004420435043704380020043d0430044104420440043e0439043a0438002c00200437043000200434043000200441044a0437043404300432043004420435002000410064006f00620065002000500044004600200434043e043a0443043c0435043d044204380020043704300020043a0430044704350441044204320435043d0020043f04350447043004420020043d04300020043d043004410442043e043b043d04380020043f04400438043d04420435044004380020043800200443044104420440043e043904410442043204300020043704300020043f04350447043004420020043d04300020043f0440043e0431043d04380020044004300437043f0435044704300442043a0438002e002000200421044a04370434043004340435043d043804420435002000500044004600200434043e043a0443043c0435043d044204380020043c043e0433043004420020043404300020044104350020043e0442043204300440044f0442002004410020004100630072006f00620061007400200438002000410064006f00620065002000520065006100640065007200200035002e00300020043800200441043b0435043404320430044904380020043204350440044104380438002egt CHS ltFEFF4f7f75288fd94e9b8bbe5b9a521b5efa7684002000500044004600206587686353ef901a8fc7684c976262535370673a548c002000700072006f006f00660065007200208fdb884c9ad88d2891cf62535370300260a853ef4ee54f7f75280020004100630072006f0062006100740020548c002000410064006f00620065002000520065006100640065007200200035002e003000204ee553ca66f49ad87248672c676562535f00521b5efa768400200050004400460020658768633002gt CHT ltFEFF4f7f752890194e9b8a2d7f6e5efa7acb7684002000410064006f006200650020005000440046002065874ef653ef5728684c9762537088686a5f548c002000700072006f006f00660065007200204e0a73725f979ad854c18cea7684521753706548679c300260a853ef4ee54f7f75280020004100630072006f0062006100740020548c002000410064006f00620065002000520065006100640065007200200035002e003000204ee553ca66f49ad87248672c4f86958b555f5df25efa7acb76840020005000440046002065874ef63002gt CZE ltFEFF005400610074006f0020006e006100730074006100760065006e00ed00200070006f0075017e0069006a007400650020006b0020007600790074007600e101590065006e00ed00200064006f006b0075006d0065006e0074016f002000410064006f006200650020005000440046002000700072006f0020006b00760061006c00690074006e00ed0020007400690073006b0020006e0061002000730074006f006c006e00ed006300680020007400690073006b00e10072006e00e100630068002000610020006e00e1007400690073006b006f007600fd006300680020007a0061015900ed007a0065006e00ed00630068002e002000200056007900740076006f01590065006e00e900200064006f006b0075006d0065006e007400790020005000440046002000620075006400650020006d006f017e006e00e90020006f007400650076015900ed007400200076002000700072006f006700720061006d0065006300680020004100630072006f00620061007400200061002000410064006f00620065002000520065006100640065007200200035002e0030002000610020006e006f0076011b006a016100ed00630068002egt DAN ltFEFF004200720075006700200069006e0064007300740069006c006c0069006e006700650072006e0065002000740069006c0020006100740020006f007000720065007400740065002000410064006f006200650020005000440046002d0064006f006b0075006d0065006e007400650072002000740069006c0020006b00760061006c00690074006500740073007500640073006b007200690076006e0069006e006700200065006c006c006500720020006b006f007200720065006b007400750072006c00e60073006e0069006e0067002e0020004400650020006f007000720065007400740065006400650020005000440046002d0064006f006b0075006d0065006e0074006500720020006b0061006e002000e50062006e00650073002000690020004100630072006f00620061007400200065006c006c006500720020004100630072006f006200610074002000520065006100640065007200200035002e00300020006f00670020006e0079006500720065002egt DEU ltFEFF00560065007200770065006e00640065006e0020005300690065002000640069006500730065002000450069006e007300740065006c006c0075006e00670065006e0020007a0075006d002000450072007300740065006c006c0065006e00200076006f006e002000410064006f006200650020005000440046002d0044006f006b0075006d0065006e00740065006e002c00200076006f006e002000640065006e0065006e002000530069006500200068006f00630068007700650072007400690067006500200044007200750063006b006500200061007500660020004400650073006b0074006f0070002d0044007200750063006b00650072006e00200075006e0064002000500072006f006f0066002d00470065007200e400740065006e002000650072007a0065007500670065006e0020006d00f60063006800740065006e002e002000450072007300740065006c006c007400650020005000440046002d0044006f006b0075006d0065006e007400650020006b00f6006e006e0065006e0020006d006900740020004100630072006f00620061007400200075006e0064002000410064006f00620065002000520065006100640065007200200035002e00300020006f0064006500720020006800f600680065007200200067006500f600660066006e00650074002000770065007200640065006e002egt ESP ltFEFF005500740069006c0069006300650020006500730074006100200063006f006e0066006900670075007200610063006900f3006e0020007000610072006100200063007200650061007200200064006f00630075006d0065006e0074006f0073002000640065002000410064006f0062006500200050004400460020007000610072006100200063006f006e00730065006700750069007200200069006d0070007200650073006900f3006e002000640065002000630061006c006900640061006400200065006e00200069006d0070007200650073006f0072006100730020006400650020006500730063007200690074006f00720069006f00200079002000680065007200720061006d00690065006e00740061007300200064006500200063006f00720072006500630063006900f3006e002e002000530065002000700075006500640065006e00200061006200720069007200200064006f00630075006d0065006e0074006f00730020005000440046002000630072006500610064006f007300200063006f006e0020004100630072006f006200610074002c002000410064006f00620065002000520065006100640065007200200035002e003000200079002000760065007200730069006f006e0065007300200070006f00730074006500720069006f007200650073002egt ETI ltFEFF004b00610073007500740061006700650020006e0065006900640020007300e4007400740065006900640020006c006100750061002d0020006a00610020006b006f006e00740072006f006c006c007400f5006d006d006900730065007000720069006e0074006500720069007400650020006a0061006f006b00730020006b00760061006c006900740065006500740073006500740065002000410064006f006200650020005000440046002d0064006f006b0075006d0065006e00740069006400650020006c006f006f006d006900730065006b0073002e002e00200020004c006f006f0064007500640020005000440046002d0064006f006b0075006d0065006e00740065002000730061006100740065002000610076006100640061002000700072006f006700720061006d006d006900640065006700610020004100630072006f0062006100740020006e0069006e0067002000410064006f00620065002000520065006100640065007200200035002e00300020006a00610020007500750065006d006100740065002000760065007200730069006f006f006e00690064006500670061002e000d000agt FRA ltFEFF005500740069006c006900730065007a00200063006500730020006f007000740069006f006e00730020006100660069006e00200064006500200063007200e900650072002000640065007300200064006f00630075006d0065006e00740073002000410064006f00620065002000500044004600200070006f007500720020006400650073002000e90070007200650075007600650073002000650074002000640065007300200069006d007000720065007300730069006f006e00730020006400650020006800610075007400650020007100750061006c0069007400e90020007300750072002000640065007300200069006d007000720069006d0061006e0074006500730020006400650020006200750072006500610075002e0020004c0065007300200064006f00630075006d0065006e00740073002000500044004600200063007200e900e90073002000700065007500760065006e0074002000ea0074007200650020006f007500760065007200740073002000640061006e00730020004100630072006f006200610074002c002000610069006e00730069002000710075002700410064006f00620065002000520065006100640065007200200035002e0030002000650074002000760065007200730069006f006e007300200075006c007400e90072006900650075007200650073002egt GRE ltFEFF03a703c103b703c303b903bc03bf03c003bf03b903ae03c303c403b5002003b103c503c403ad03c2002003c403b903c2002003c103c503b803bc03af03c303b503b903c2002003b303b903b1002003bd03b1002003b403b703bc03b903bf03c503c103b303ae03c303b503c403b5002003ad03b303b303c103b103c603b1002000410064006f006200650020005000440046002003b303b903b1002003b503ba03c403cd03c003c903c303b7002003c003bf03b903cc03c403b703c403b103c2002003c303b5002003b503ba03c403c503c003c903c403ad03c2002003b303c103b103c603b503af03bf03c5002003ba03b103b9002003b403bf03ba03b903bc03b103c303c403ad03c2002e0020002003a403b10020005000440046002003ad03b303b303c103b103c603b1002003c003bf03c5002003ad03c703b503c403b5002003b403b703bc03b903bf03c503c103b303ae03c303b503b9002003bc03c003bf03c103bf03cd03bd002003bd03b1002003b103bd03bf03b903c703c403bf03cd03bd002003bc03b5002003c403bf0020004100630072006f006200610074002c002003c403bf002000410064006f006200650020005200650061006400650072002000200035002e0030002003ba03b103b9002003bc03b503c403b103b303b503bd03ad03c303c403b503c103b503c2002003b503ba03b403cc03c303b503b903c2002egt HEB ltFEFF05D405E905EA05DE05E905D5002005D105D405D205D305E805D505EA002005D005DC05D4002005DB05D305D9002005DC05D905E605D505E8002005DE05E105DE05DB05D9002000410064006F006200650020005000440046002005E205D105D505E8002005D405D305E405E105D4002005D005D905DB05D505EA05D905EA002005D105DE05D305E405E105D505EA002005E905D505DC05D705E005D905D505EA002005D505DB05DC05D9002005D405D205D405D4002E002005DE05E105DE05DB05D9002005D4002D005000440046002005E905E005D505E605E805D905DD002005E005D905EA05E005D905DD002005DC05E405EA05D905D705D4002005D105D005DE05E605E205D505EA0020004100630072006F006200610074002005D5002D00410064006F00620065002000520065006100640065007200200035002E0030002005D505D205E805E105D005D505EA002005DE05EA05E705D305DE05D505EA002005D905D505EA05E8002Egt HRV ltFEFF005a00610020007300740076006100720061006e006a0065002000410064006f00620065002000500044004600200064006f006b0075006d0065006e0061007400610020007a00610020006b00760061006c00690074006500740061006e0020006900730070006900730020006e006100200070006900730061010d0069006d006100200069006c0069002000700072006f006f006600650072002000750072006501110061006a0069006d0061002e00200020005300740076006f00720065006e0069002000500044004600200064006f006b0075006d0065006e007400690020006d006f006700750020007300650020006f00740076006f00720069007400690020004100630072006f00620061007400200069002000410064006f00620065002000520065006100640065007200200035002e0030002000690020006b00610073006e0069006a0069006d0020007600650072007a0069006a0061006d0061002egt HUN ltFEFF004d0069006e0151007300e9006700690020006e0079006f006d00610074006f006b0020006b00e90073007a00ed007400e9007300e900680065007a002000610073007a00740061006c00690020006e0079006f006d00740061007400f3006b006f006e002000e9007300200070007200f300620061006e0079006f006d00f3006b006f006e00200065007a0065006b006b0065006c0020006100200062006500e1006c006c00ed007400e10073006f006b006b0061006c002c00200068006f007a007a006f006e0020006c00e9007400720065002000410064006f00620065002000500044004600200064006f006b0075006d0065006e00740075006d006f006b00610074002e0020002000410020006c00e90074007200650068006f007a006f00740074002000500044004600200064006f006b0075006d0065006e00740075006d006f006b00200061007a0020004100630072006f006200610074002c00200061007a002000410064006f00620065002000520065006100640065007200200035002e0030002000e9007300200061007a002000610074007400f3006c0020006b00e9007301510062006200690020007600650072007a006900f3006b006b0061006c00200020006e00790069007400680061007400f3006b0020006d00650067002egt ITA ltFEFF005500740069006c0069007a007a006100720065002000710075006500730074006500200069006d0070006f007300740061007a0069006f006e00690020007000650072002000630072006500610072006500200064006f00630075006d0065006e00740069002000410064006f006200650020005000440046002000700065007200200075006e00610020007300740061006d007000610020006400690020007100750061006c0069007400e00020007300750020007300740061006d00700061006e0074006900200065002000700072006f006f0066006500720020006400650073006b0074006f0070002e0020004900200064006f00630075006d0065006e007400690020005000440046002000630072006500610074006900200070006f00730073006f006e006f0020006500730073006500720065002000610070006500720074006900200063006f006e0020004100630072006f00620061007400200065002000410064006f00620065002000520065006100640065007200200035002e003000200065002000760065007200730069006f006e006900200073007500630063006500730073006900760065002egt JPN ltFEFF9ad854c18cea51fa529b7528002000410064006f0062006500200050004400460020658766f8306e4f5c6210306b4f7f75283057307e30593002537052376642306e753b8cea3092670059279650306b4fdd306430533068304c3067304d307e3059300230c730b930af30c830c330d730d730ea30f330bf3067306e53705237307e305f306f30d730eb30fc30d57528306b9069305730663044307e305930023053306e8a2d5b9a30674f5c62103055308c305f0020005000440046002030d530a130a430eb306f3001004100630072006f0062006100740020304a30883073002000410064006f00620065002000520065006100640065007200200035002e003000204ee5964d3067958b304f30533068304c3067304d307e30593002gt KOR ltFEFFc7740020c124c815c7440020c0acc6a9d558c5ec0020b370c2a4d06cd0d10020d504b9b0d1300020bc0f0020ad50c815ae30c5d0c11c0020ace0d488c9c8b85c0020c778c1c4d560002000410064006f0062006500200050004400460020bb38c11cb97c0020c791c131d569b2c8b2e4002e0020c774b807ac8c0020c791c131b41c00200050004400460020bb38c11cb2940020004100630072006f0062006100740020bc0f002000410064006f00620065002000520065006100640065007200200035002e00300020c774c0c1c5d0c11c0020c5f40020c2180020c788c2b5b2c8b2e4002egt LTH ltFEFF004e006100750064006f006b0069007400650020016100690075006f007300200070006100720061006d006500740072007500730020006e006f0072011700640061006d00690020006b0075007200740069002000410064006f00620065002000500044004600200064006f006b0075006d0065006e007400750073002c0020006b007500720069006500200073006b00690072007400690020006b006f006b0079006200690161006b0061006900200073007000610075007300640069006e007400690020007300740061006c0069006e0069006100690073002000690072002000620061006e00640079006d006f00200073007000610075007300640069006e007400750076006100690073002e0020002000530075006b0075007200740069002000500044004600200064006f006b0075006d0065006e007400610069002000670061006c006900200062016b007400690020006100740069006400610072006f006d00690020004100630072006f006200610074002000690072002000410064006f00620065002000520065006100640065007200200035002e0030002000610072002000760117006c00650073006e0117006d00690073002000760065007200730069006a006f006d00690073002egt LVI ltFEFF0049007a006d0061006e0074006f006a00690065007400200161006f00730020006900650073007400610074012b006a0075006d00750073002c0020006c0061006900200069007a0076006500690064006f00740075002000410064006f00620065002000500044004600200064006f006b0075006d0065006e0074007500730020006b00760061006c0069007400610074012b0076006100690020006400720075006b010101610061006e00610069002000610072002000670061006c006400610020007000720069006e00740065007200690065006d00200075006e0020007000610072006100750067006e006f00760069006c006b0075006d0075002000690065007300700069006500640113006a00690065006d002e00200049007a0076006500690064006f006a006900650074002000500044004600200064006f006b0075006d0065006e007400750073002c0020006b006f002000760061007200200061007400760113007200740020006100720020004100630072006f00620061007400200075006e002000410064006f00620065002000520065006100640065007200200035002e0030002c0020006b0101002000610072012b00200074006f0020006a00610075006e0101006b0101006d002000760065007200730069006a0101006d002egt NLD (Gebruik deze instellingen om Adobe PDF-documenten te maken voor kwaliteitsafdrukken op desktopprinters en proofers De gemaakte PDF-documenten kunnen worden geopend met Acrobat en Adobe Reader 50 en hoger) NOR ltFEFF004200720075006b00200064006900730073006500200069006e006e007300740069006c006c0069006e00670065006e0065002000740069006c002000e50020006f0070007000720065007400740065002000410064006f006200650020005000440046002d0064006f006b0075006d0065006e00740065007200200066006f00720020007500740073006b00720069006600740020006100760020006800f800790020006b00760061006c00690074006500740020007000e500200062006f007200640073006b0072006900760065007200200065006c006c00650072002000700072006f006f006600650072002e0020005000440046002d0064006f006b0075006d0065006e00740065006e00650020006b0061006e002000e50070006e00650073002000690020004100630072006f00620061007400200065006c006c00650072002000410064006f00620065002000520065006100640065007200200035002e003000200065006c006c00650072002000730065006e006500720065002egt POL ltFEFF0055007300740061007700690065006e0069006100200064006f002000740077006f0072007a0065006e0069006100200064006f006b0075006d0065006e007400f3007700200050004400460020007a002000770079017c0073007a010500200072006f007a0064007a00690065006c0063007a006f015b0063006901050020006f006200720061007a006b00f30077002c0020007a0061007000650077006e00690061006a0105006301050020006c006500700073007a01050020006a0061006b006f015b0107002000770079006400720075006b00f30077002e00200044006f006b0075006d0065006e0074007900200050004400460020006d006f017c006e00610020006f007400770069006500720061010700200077002000700072006f006700720061006d006900650020004100630072006f00620061007400200069002000410064006f00620065002000520065006100640065007200200035002e0030002000690020006e006f00770073007a0079006d002egt PTB ltFEFF005500740069006c0069007a006500200065007300730061007300200063006f006e00660069006700750072006100e700f50065007300200064006500200066006f0072006d00610020006100200063007200690061007200200064006f00630075006d0065006e0074006f0073002000410064006f0062006500200050004400460020007000610072006100200069006d0070007200650073007300f5006500730020006400650020007100750061006c0069006400610064006500200065006d00200069006d00700072006500730073006f0072006100730020006400650073006b0074006f00700020006500200064006900730070006f00730069007400690076006f0073002000640065002000700072006f00760061002e0020004f007300200064006f00630075006d0065006e0074006f00730020005000440046002000630072006900610064006f007300200070006f00640065006d0020007300650072002000610062006500720074006f007300200063006f006d0020006f0020004100630072006f006200610074002000650020006f002000410064006f00620065002000520065006100640065007200200035002e0030002000650020007600650072007300f50065007300200070006f00730074006500720069006f007200650073002egt RUM ltFEFF005500740069006c0069007a00610163006900200061006300650073007400650020007300650074010300720069002000700065006e007400720075002000610020006300720065006100200064006f00630075006d0065006e00740065002000410064006f006200650020005000440046002000700065006e007400720075002000740069007001030072006900720065002000640065002000630061006c006900740061007400650020006c006100200069006d007000720069006d0061006e007400650020006400650073006b0074006f00700020015f0069002000700065006e0074007200750020007600650072006900660069006300610074006f00720069002e002000200044006f00630075006d0065006e00740065006c00650020005000440046002000630072006500610074006500200070006f00740020006600690020006400650073006300680069007300650020006300750020004100630072006f006200610074002c002000410064006f00620065002000520065006100640065007200200035002e00300020015f00690020007600650072007300690075006e0069006c006500200075006c0074006500720069006f006100720065002egt RUS ltFEFF04180441043f043e043b044c04370443043904420435002004340430043d043d044b04350020043d0430044104420440043e0439043a043800200434043b044f00200441043e043704340430043d0438044f00200434043e043a0443043c0435043d0442043e0432002000410064006f006200650020005000440046002c0020043f044004350434043d04300437043d043004470435043d043d044b044500200434043b044f0020043a0430044704350441044204320435043d043d043e04390020043f043504470430044204380020043d04300020043d043004410442043e043b044c043d044b04450020043f04400438043d044204350440043004450020043800200443044104420440043e04390441044204320430044500200434043b044f0020043f043e043b044304470435043d0438044f0020043f0440043e0431043d044b04450020043e0442044204380441043a043e0432002e002000200421043e043704340430043d043d044b04350020005000440046002d0434043e043a0443043c0435043d0442044b0020043c043e0436043d043e00200020043e0442043a0440044b043204300442044c002004410020043f043e043c043e0449044c044e0020004100630072006f00620061007400200438002000410064006f00620065002000520065006100640065007200200035002e00300020043800200431043e043b043504350020043f043e04370434043d043804450020043204350440044104380439002egt SKY ltFEFF0054006900650074006f0020006e006100730074006100760065006e0069006100200070006f0075017e0069007400650020006e00610020007600790074007600e100720061006e0069006500200064006f006b0075006d0065006e0074006f0076002000410064006f00620065002000500044004600200070007200650020006b00760061006c00690074006e00fa00200074006c0061010d0020006e0061002000730074006f006c006e00fd0063006800200074006c0061010d00690061007201480061006300680020006100200074006c0061010d006f007600fd006300680020007a006100720069006100640065006e0069006100630068002e00200056007900740076006f00720065006e00e900200064006f006b0075006d0065006e007400790020005000440046002000620075006400650020006d006f017e006e00e90020006f00740076006f00720069016500200076002000700072006f006700720061006d006f006300680020004100630072006f00620061007400200061002000410064006f00620065002000520065006100640065007200200035002e0030002000610020006e006f0076016100ed00630068002e000d000agt SLV ltFEFF005400650020006e006100730074006100760069007400760065002000750070006f0072006100620069007400650020007a00610020007500730074007600610072006a0061006e006a006500200064006f006b0075006d0065006e0074006f0076002000410064006f0062006500200050004400460020007a00610020006b0061006b006f0076006f00730074006e006f0020007400690073006b0061006e006a00650020006e00610020006e0061006d0069007a006e006900680020007400690073006b0061006c006e0069006b0069006800200069006e0020007000720065007600650072006a0061006c006e0069006b00690068002e00200020005500730074007600610072006a0065006e006500200064006f006b0075006d0065006e0074006500200050004400460020006a00650020006d006f0067006f010d00650020006f0064007000720065007400690020007a0020004100630072006f00620061007400200069006e002000410064006f00620065002000520065006100640065007200200035002e003000200069006e0020006e006f00760065006a01610069006d002egt SUO ltFEFF004b00e40079007400e40020006e00e40069007400e4002000610073006500740075006b007300690061002c0020006b0075006e0020006c0075006f0074002000410064006f0062006500200050004400460020002d0064006f006b0075006d0065006e007400740065006a00610020006c0061006100640075006b006100730074006100200074007900f6007000f60079007400e400740075006c006f0073007400750073007400610020006a00610020007600650064006f007300740075007300740061002000760061007200740065006e002e00200020004c0075006f0064007500740020005000440046002d0064006f006b0075006d0065006e00740069007400200076006f0069006400610061006e0020006100760061007400610020004100630072006f0062006100740069006c006c00610020006a0061002000410064006f00620065002000520065006100640065007200200035002e0030003a006c006c00610020006a006100200075007500640065006d006d0069006c006c0061002egt SVE ltFEFF0041006e007600e4006e00640020006400650020006800e4007200200069006e0073007400e4006c006c006e0069006e006700610072006e00610020006f006d002000640075002000760069006c006c00200073006b006100700061002000410064006f006200650020005000440046002d0064006f006b0075006d0065006e00740020006600f600720020006b00760061006c00690074006500740073007500740073006b0072006900660074006500720020007000e5002000760061006e006c00690067006100200073006b0072006900760061007200650020006f006300680020006600f600720020006b006f007200720065006b007400750072002e002000200053006b006100700061006400650020005000440046002d0064006f006b0075006d0065006e00740020006b0061006e002000f600700070006e00610073002000690020004100630072006f0062006100740020006f00630068002000410064006f00620065002000520065006100640065007200200035002e00300020006f00630068002000730065006e006100720065002egt TUR ltFEFF004d00610073006100fc0073007400fc002000790061007a013100630131006c006100720020007600650020006200610073006b01310020006d0061006b0069006e0065006c006500720069006e006400650020006b0061006c006900740065006c00690020006200610073006b013100200061006d0061006301310079006c0061002000410064006f006200650020005000440046002000620065006c00670065006c0065007200690020006f006c0075015f007400750072006d0061006b0020006900e70069006e00200062007500200061007900610072006c0061007201310020006b0075006c006c0061006e0131006e002e00200020004f006c0075015f0074007500720075006c0061006e0020005000440046002000620065006c00670065006c0065007200690020004100630072006f006200610074002000760065002000410064006f00620065002000520065006100640065007200200035002e003000200076006500200073006f006e0072006100730131006e00640061006b00690020007300fc007200fc006d006c00650072006c00650020006100e70131006c006100620069006c00690072002egt UKR ltFEFF04120438043a043e0440043804410442043e043204430439044204350020044604560020043f043004400430043c043504420440043800200434043b044f0020044104420432043e04400435043d043d044f00200434043e043a0443043c0435043d044204560432002000410064006f006200650020005000440046002c0020044f043a04560020043d04300439043a04400430044904350020043f045604340445043e0434044f0442044c00200434043b044f0020043204380441043e043a043e044f043a04560441043d043e0433043e0020043404400443043a04430020043d04300020043d0430044104420456043b044c043d043804450020043f04400438043d044204350440043004450020044204300020043f04400438044104420440043e044f044500200434043b044f0020043e044204400438043c0430043d043d044f0020043f0440043e0431043d0438044500200437043e04310440043004360435043d044c002e00200020042104420432043e04400435043d045600200434043e043a0443043c0435043d0442043800200050004400460020043c043e0436043d04300020043204560434043a0440043804420438002004430020004100630072006f006200610074002004420430002000410064006f00620065002000520065006100640065007200200035002e0030002004300431043e0020043f04560437043d04560448043e04570020043204350440044104560457002egt ENU ltFEFF005500730065002000740068006500730065002000730065007400740069006e0067007300200074006f0020006300720065006100740065002000410064006f0062006500200050004400460020006200610073006500640020006f006e0020007400680065002000640065006600610075006c0074002000580069006c0069006e007800200070006100720061006d0065007400650072002000730065007400740069006e0067007300200064006500660069006e00650064002000620079002000500053003000300034002e002000460069006c0065006e0061006d0065003a002000780069006c0069006e0078002e006a006f0062006f007000740069006f006e0073002e000d0043006f006d007000610074006100620069006c006900740079003a0020004100630072006f00620061007400200037002e0030002000280050004400460031002e00360029002e0020005500730065003a00200046006f00720020006300720065006100740069006e0067002000460069006e0061006c002c0020005000750062006c0069007300680065006400200050004400460073002e000d0043007200650061007400650064002000310030002f00310032002f003100310020006200790020006a0066006f007200730074002egt gtgt Namespace [ (Adobe) (Common) (10) ] OtherNamespaces [ ltlt AsReaderSpreads false CropImagesToFrames true ErrorControl WarnAndContinue FlattenerIgnoreSpreadOverrides false IncludeGuidesGrids false IncludeNonPrinting false IncludeSlug false Namespace [ (Adobe) (InDesign) (40) ] OmitPlacedBitmaps false OmitPlacedEPS false OmitPlacedPDF false SimulateOverprint Legacy gtgt ltlt AddBleedMarks false AddColorBars false AddCropMarks false AddPageInfo false AddRegMarks false ConvertColors NoConversion DestinationProfileName () DestinationProfileSelector NA Downsample16BitImages true FlattenerPreset ltlt PresetSelector MediumResolution gtgt FormElements false GenerateStructure true IncludeBookmarks false IncludeHyperlinks false IncludeInteractive false IncludeLayers false IncludeProfiles true MultimediaHandling UseObjectSettings Namespace [ (Adobe) (CreativeSuite) (20) ] PDFXOutputIntentProfileSelector NA PreserveEditing true UntaggedCMYKHandling LeaveUntagged UntaggedRGBHandling LeaveUntagged UseDocumentBleed false gtgt ]gtgt setdistillerparamsltlt HWResolution [1200 1200] PageSize [612000 792000]gtgt setpagedevice

Page 34: Xilinx UG883 Kintex-7 FPGA KC705 Evaluation Kit Getting ...€¦ · Updated step 2 and step 3 in Requirements to Get Started, page 14. ... KC705 Getting Started Guide 9 UG883 (v3.0)

34 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Advanced Bring-up Using the Base Targeted Reference Design

KC705 Getting Started Guide wwwxilinxcom 35UG883 (v30) April 16 2013

Appendix A

Additional Resources

Xilinx ResourcesFor support resources such as Answers Documentation Downloads and Forums see the Xilinx Support website at

wwwxilinxcomsupport

For continual updates add the Answer Record to your myAlerts

wwwxilinxcomsupportmyalerts

For a glossary of technical terms used in Xilinx documentation see

wwwxilinxcomcompanytermshtm

Solution CentersSee the Xilinx Solution Centers for support on devices software tools and intellectual property at all stages of the design cycle Topics include design assistance advisories and troubleshooting tips

Further ResourcesThe most up to date information related to the KC705 board and its documentation is available on the following websites

The Kintex-7 FPGA KC705 Evaluation Kit Product Page

wwwxilinxcomkc705

The Kintex-7 FPGA KC705 Evaluation Kit Master Answer Record

wwwxilinxcomsupportanswers47787htm

Design advisories by software release for the Kintex-7 FPGA KC705 Evaluation Kit

wwwxilinxcomsupportnav=sd-nav-link-179661amptab=tab-bk

Kintex-7 FPGA Base Targeted Reference Design Release Notes and Known Issues Master Answer Record

wwwxilinxcomsupportanswers45679htm

These documents provide supplemental material useful with this user guide

UG810 KC705 Evaluation Board for the Kintex-7 FPGA User Guide

UG845 KC705 Reference Design User Guide

36 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Appendix A Additional Resources

UG798 Xilinx Design Tools Installation and Licensing Guide Vivado Design Suite and ISE Design Suite

UG908 Vivado Design Suite User Guide Programming and Debugging

UG882 Kintex-7 FPGA KC705 Base Targeted Reference Design User Guide (Vivado Design Suite 20124)

UG886 AMS101 Evaluation Card User Guide

UG477 7 Series FPGAs Integrated Block for PCI Express User Guide

ReferencesThe following websites provide supplemental material useful with this guide

1 LabVIEW 32-bit Run-Time Enginehttpjoulenicomniducdsviewpid2534langen

2 LabVIEW 64-bit Run-Time Engine httpjoulenicomniducdsviewpid2536langen

3 Tera Term Pro program httphpvectorcojpauthorsVA002416teratermhtml

4 Drivers on the Silicon Labs site httpwwwsilabscomSupport20DocumentsSoftwareCP210x_VCP_Win_XP_S2K3_Vista_7exe

5 Northwest Logic DMA back end core httpwwwnwlogiccompacketdma

6 Fedora project httpfedoraprojectorg

Fedora is a Linux-based operating system used in the development of this TRD

7 The GTK+ project API documentation httpwwwgtkorgdocumentationphp

GTK+ is a toolkit for creating graphical user interfaces (GUI)

KC705 Getting Started Guide wwwxilinxcom 37UG883 (v30) April 16 2013

Appendix B

Warranty

THIS LIMITED WARRANTY applies solely to standard hardware development boards and standard hardware programming cables manufactured by or on behalf of Xilinx (ldquoDevelopment Systemsrdquo) Subject to the limitations herein Xilinx warrants that Development Systems when delivered by Xilinx or its authorized distributor for ninety (90) days following the delivery date will be free from defects in material and workmanship and will substantially conform to Xilinx publicly available specifications for such products in effect at the time of delivery This limited warranty excludes (i) engineering samples or beta versions of Development Systems (which are provided ldquoAS ISrdquo without warranty) (ii) design defects or errors known as ldquoerratardquo (iii) Development Systems procured through unauthorized third parties and (iv) Development Systems that have been subject to misuse mishandling accident alteration neglect unauthorized repair or installation Furthermore this limited warranty shall not apply to the use of covered products in an application or environment that is not within Xilinx specifications or in the event of any act error neglect or default of Customer For any breach by Xilinx of this limited warranty the exclusive remedy of Customer and the sole liability of Xilinx shall be at the option of Xilinx to replace or repair the affected products or to refund to Customer the price of the affected products The availability of replacement products is subject to product discontinuation policies at Xilinx Customer may not return product without first obtaining a customer return material authorization (RMA) number from XilinxTHE WARRANTIES SET FORTH HEREIN ARE EXCLUSIVE XILINX DISCLAIMS ALL OTHER WARRANTIES WHETHER EXPRESS IMPLIED OR STATUTORY INCLUDING WITHOUT LIMITATION ANY WARRANTY OF MERCHANTABILITY FITNESS FOR A PARTICULAR PURPOSE OR NON-INFRINGEMENT AND ANY WARRANTY THAT MAY ARISE FROM COURSE OF DEALING COURSE OF PERFORMANCE OR USAGE OF TRADE (200810)

Do not throw Xilinx products marked with the ldquocrossed out wheeled binrdquo in the trash Directive 200296EC on waste electrical and electronic equipment (WEEE) requires the separate collection of WEEE Your cooperation is essential in ensuring the proper management of WEEE and the protection of the environment and human health from potential effects arising from the presence of hazardous substances in WEEE Return the marked products to Xilinx for proper disposal Further information and instructions for free-of-charge return available at httpwwwxilinxcomehsweeehtm

38 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Appendix B Warranty

  • Kintex-7 FPGA KC705 Evaluation Kit (Vivado Design Suite 20131)
    • Revision History
    • Table of Contents
    • Getting Started with the Kintex-7 FPGA KC705 Evaluation Kit (Vivado Design Suite 20131)
      • Introduction
      • Kit Contents
      • Basic Hardware Bring-up Using the BIST
        • Hardware Test Setup Requirements
          • Hardware Test Board Setup Requirements
            • Hardware Bring-Up
            • Run the BIST Application
              • AMS Bring-up with the AMS101 Evaluation Card
                • Requirements to Get Started
                • Evaluating AMS
                  • Advanced Bring-up Using the Base Targeted Reference Design
                    • Components
                    • Hardware Test Setup Requirements
                    • TRD Demonstration Setup
                    • Evaluating the Kintex-7 FPGA Base TRD
                        • Additional Resources
                          • Xilinx Resources
                          • Solution Centers
                          • Further Resources
                          • References
                            • Warranty
                                • ltlt ASCII85EncodePages false AllowTransparency false AutoPositionEPSFiles true AutoRotatePages All Binding Left CalGrayProfile (Dot Gain 20) CalRGBProfile (sRGB IEC61966-21) CalCMYKProfile (US Web Coated 050SWOP051 v2) sRGBProfile (sRGB IEC61966-21) CannotEmbedFontPolicy Warning CompatibilityLevel 16 CompressObjects Tags CompressPages true ConvertImagesToIndexed true PassThroughJPEGImages true CreateJobTicket false DefaultRenderingIntent Default DetectBlends true DetectCurves 00000 ColorConversionStrategy LeaveColorUnchanged DoThumbnails false EmbedAllFonts true EmbedOpenType false ParseICCProfilesInComments true EmbedJobOptions true DSCReportingLevel 0 EmitDSCWarnings false EndPage -1 ImageMemory 1048576 LockDistillerParams true MaxSubsetPct 100 Optimize true OPM 1 ParseDSCComments true ParseDSCCommentsForDocInfo true PreserveCopyPage true PreserveDICMYKValues true PreserveEPSInfo true PreserveFlatness true PreserveHalftoneInfo false PreserveOPIComments false PreserveOverprintSettings true StartPage 1 SubsetFonts true TransferFunctionInfo Apply UCRandBGInfo Preserve UsePrologue false ColorSettingsFile () AlwaysEmbed [ true ] NeverEmbed [ true ] AntiAliasColorImages false CropColorImages true ColorImageMinResolution 300 ColorImageMinResolutionPolicy OK DownsampleColorImages false ColorImageDownsampleType Bicubic ColorImageResolution 300 ColorImageDepth -1 ColorImageMinDownsampleDepth 1 ColorImageDownsampleThreshold 150000 EncodeColorImages true ColorImageFilter DCTEncode AutoFilterColorImages true ColorImageAutoFilterStrategy JPEG ColorACSImageDict ltlt QFactor 040 HSamples [1 1 1 1] VSamples [1 1 1 1] gtgt ColorImageDict ltlt QFactor 015 HSamples [1 1 1 1] VSamples [1 1 1 1] gtgt JPEG2000ColorACSImageDict ltlt TileWidth 256 TileHeight 256 Quality 30 gtgt JPEG2000ColorImageDict ltlt TileWidth 256 TileHeight 256 Quality 30 gtgt AntiAliasGrayImages false CropGrayImages true GrayImageMinResolution 300 GrayImageMinResolutionPolicy OK DownsampleGrayImages false GrayImageDownsampleType Bicubic GrayImageResolution 300 GrayImageDepth -1 GrayImageMinDownsampleDepth 2 GrayImageDownsampleThreshold 150000 EncodeGrayImages true GrayImageFilter DCTEncode AutoFilterGrayImages true GrayImageAutoFilterStrategy JPEG GrayACSImageDict ltlt QFactor 040 HSamples [1 1 1 1] VSamples [1 1 1 1] gtgt GrayImageDict ltlt QFactor 015 HSamples [1 1 1 1] VSamples [1 1 1 1] gtgt JPEG2000GrayACSImageDict ltlt TileWidth 256 TileHeight 256 Quality 30 gtgt JPEG2000GrayImageDict ltlt TileWidth 256 TileHeight 256 Quality 30 gtgt AntiAliasMonoImages false CropMonoImages true MonoImageMinResolution 1200 MonoImageMinResolutionPolicy OK DownsampleMonoImages false MonoImageDownsampleType Bicubic MonoImageResolution 1200 MonoImageDepth -1 MonoImageDownsampleThreshold 150000 EncodeMonoImages true MonoImageFilter CCITTFaxEncode MonoImageDict ltlt K -1 gtgt AllowPSXObjects false CheckCompliance [ None ] PDFX1aCheck false PDFX3Check false PDFXCompliantPDFOnly false PDFXNoTrimBoxError true PDFXTrimBoxToMediaBoxOffset [ 000000 000000 000000 000000 ] PDFXSetBleedBoxToMediaBox true PDFXBleedBoxToTrimBoxOffset [ 000000 000000 000000 000000 ] PDFXOutputIntentProfile (None) PDFXOutputConditionIdentifier () PDFXOutputCondition () PDFXRegistryName () PDFXTrapped False CreateJDFFile false Description ltlt ARA ltFEFF06270633062A062E062F0645002006470630064700200627064406250639062F0627062F0627062A002006440625064606340627062100200648062B062706260642002000410064006F00620065002000500044004600200645062A0648062706410642062900200644064406370628062706390629002006300627062A002006270644062C0648062F0629002006270644063906270644064A06290020064506460020062E06440627064400200627064406370627062806390627062A00200627064406450643062A0628064A062900200623064800200623062C06470632062900200625062C06310627062100200627064406280631064806410627062A061B0020064A06450643064600200641062A062D00200648062B0627062606420020005000440046002006270644064506460634062306290020062806270633062A062E062F062706450020004100630072006F0062006100740020064800410064006F006200650020005200650061006400650072002006250635062F0627063100200035002E0030002006480627064406250635062F062706310627062A0020062706440623062D062F062B002E0020064506390020005000440046002F0041060C0020062706440631062C062706210020064506310627062C063906290020062F0644064A0644002006450633062A062E062F06450020004100630072006F006200610074061B0020064A06450643064600200641062A062D00200648062B0627062606420020005000440046002006270644064506460634062306290020062806270633062A062E062F062706450020004100630072006F0062006100740020064800410064006F006200650020005200650061006400650072002006250635062F0627063100200035002E0030002006480627064406250635062F062706310627062A0020062706440623062D062F062B002Egt BGR ltFEFF04180437043f043e043b043704320430043904420435002004420435043704380020043d0430044104420440043e0439043a0438002c00200437043000200434043000200441044a0437043404300432043004420435002000410064006f00620065002000500044004600200434043e043a0443043c0435043d044204380020043704300020043a0430044704350441044204320435043d0020043f04350447043004420020043d04300020043d043004410442043e043b043d04380020043f04400438043d04420435044004380020043800200443044104420440043e043904410442043204300020043704300020043f04350447043004420020043d04300020043f0440043e0431043d04380020044004300437043f0435044704300442043a0438002e002000200421044a04370434043004340435043d043804420435002000500044004600200434043e043a0443043c0435043d044204380020043c043e0433043004420020043404300020044104350020043e0442043204300440044f0442002004410020004100630072006f00620061007400200438002000410064006f00620065002000520065006100640065007200200035002e00300020043800200441043b0435043404320430044904380020043204350440044104380438002egt CHS ltFEFF4f7f75288fd94e9b8bbe5b9a521b5efa7684002000500044004600206587686353ef901a8fc7684c976262535370673a548c002000700072006f006f00660065007200208fdb884c9ad88d2891cf62535370300260a853ef4ee54f7f75280020004100630072006f0062006100740020548c002000410064006f00620065002000520065006100640065007200200035002e003000204ee553ca66f49ad87248672c676562535f00521b5efa768400200050004400460020658768633002gt CHT ltFEFF4f7f752890194e9b8a2d7f6e5efa7acb7684002000410064006f006200650020005000440046002065874ef653ef5728684c9762537088686a5f548c002000700072006f006f00660065007200204e0a73725f979ad854c18cea7684521753706548679c300260a853ef4ee54f7f75280020004100630072006f0062006100740020548c002000410064006f00620065002000520065006100640065007200200035002e003000204ee553ca66f49ad87248672c4f86958b555f5df25efa7acb76840020005000440046002065874ef63002gt CZE ltFEFF005400610074006f0020006e006100730074006100760065006e00ed00200070006f0075017e0069006a007400650020006b0020007600790074007600e101590065006e00ed00200064006f006b0075006d0065006e0074016f002000410064006f006200650020005000440046002000700072006f0020006b00760061006c00690074006e00ed0020007400690073006b0020006e0061002000730074006f006c006e00ed006300680020007400690073006b00e10072006e00e100630068002000610020006e00e1007400690073006b006f007600fd006300680020007a0061015900ed007a0065006e00ed00630068002e002000200056007900740076006f01590065006e00e900200064006f006b0075006d0065006e007400790020005000440046002000620075006400650020006d006f017e006e00e90020006f007400650076015900ed007400200076002000700072006f006700720061006d0065006300680020004100630072006f00620061007400200061002000410064006f00620065002000520065006100640065007200200035002e0030002000610020006e006f0076011b006a016100ed00630068002egt DAN ltFEFF004200720075006700200069006e0064007300740069006c006c0069006e006700650072006e0065002000740069006c0020006100740020006f007000720065007400740065002000410064006f006200650020005000440046002d0064006f006b0075006d0065006e007400650072002000740069006c0020006b00760061006c00690074006500740073007500640073006b007200690076006e0069006e006700200065006c006c006500720020006b006f007200720065006b007400750072006c00e60073006e0069006e0067002e0020004400650020006f007000720065007400740065006400650020005000440046002d0064006f006b0075006d0065006e0074006500720020006b0061006e002000e50062006e00650073002000690020004100630072006f00620061007400200065006c006c006500720020004100630072006f006200610074002000520065006100640065007200200035002e00300020006f00670020006e0079006500720065002egt DEU ltFEFF00560065007200770065006e00640065006e0020005300690065002000640069006500730065002000450069006e007300740065006c006c0075006e00670065006e0020007a0075006d002000450072007300740065006c006c0065006e00200076006f006e002000410064006f006200650020005000440046002d0044006f006b0075006d0065006e00740065006e002c00200076006f006e002000640065006e0065006e002000530069006500200068006f00630068007700650072007400690067006500200044007200750063006b006500200061007500660020004400650073006b0074006f0070002d0044007200750063006b00650072006e00200075006e0064002000500072006f006f0066002d00470065007200e400740065006e002000650072007a0065007500670065006e0020006d00f60063006800740065006e002e002000450072007300740065006c006c007400650020005000440046002d0044006f006b0075006d0065006e007400650020006b00f6006e006e0065006e0020006d006900740020004100630072006f00620061007400200075006e0064002000410064006f00620065002000520065006100640065007200200035002e00300020006f0064006500720020006800f600680065007200200067006500f600660066006e00650074002000770065007200640065006e002egt ESP ltFEFF005500740069006c0069006300650020006500730074006100200063006f006e0066006900670075007200610063006900f3006e0020007000610072006100200063007200650061007200200064006f00630075006d0065006e0074006f0073002000640065002000410064006f0062006500200050004400460020007000610072006100200063006f006e00730065006700750069007200200069006d0070007200650073006900f3006e002000640065002000630061006c006900640061006400200065006e00200069006d0070007200650073006f0072006100730020006400650020006500730063007200690074006f00720069006f00200079002000680065007200720061006d00690065006e00740061007300200064006500200063006f00720072006500630063006900f3006e002e002000530065002000700075006500640065006e00200061006200720069007200200064006f00630075006d0065006e0074006f00730020005000440046002000630072006500610064006f007300200063006f006e0020004100630072006f006200610074002c002000410064006f00620065002000520065006100640065007200200035002e003000200079002000760065007200730069006f006e0065007300200070006f00730074006500720069006f007200650073002egt ETI ltFEFF004b00610073007500740061006700650020006e0065006900640020007300e4007400740065006900640020006c006100750061002d0020006a00610020006b006f006e00740072006f006c006c007400f5006d006d006900730065007000720069006e0074006500720069007400650020006a0061006f006b00730020006b00760061006c006900740065006500740073006500740065002000410064006f006200650020005000440046002d0064006f006b0075006d0065006e00740069006400650020006c006f006f006d006900730065006b0073002e002e00200020004c006f006f0064007500640020005000440046002d0064006f006b0075006d0065006e00740065002000730061006100740065002000610076006100640061002000700072006f006700720061006d006d006900640065006700610020004100630072006f0062006100740020006e0069006e0067002000410064006f00620065002000520065006100640065007200200035002e00300020006a00610020007500750065006d006100740065002000760065007200730069006f006f006e00690064006500670061002e000d000agt FRA ltFEFF005500740069006c006900730065007a00200063006500730020006f007000740069006f006e00730020006100660069006e00200064006500200063007200e900650072002000640065007300200064006f00630075006d0065006e00740073002000410064006f00620065002000500044004600200070006f007500720020006400650073002000e90070007200650075007600650073002000650074002000640065007300200069006d007000720065007300730069006f006e00730020006400650020006800610075007400650020007100750061006c0069007400e90020007300750072002000640065007300200069006d007000720069006d0061006e0074006500730020006400650020006200750072006500610075002e0020004c0065007300200064006f00630075006d0065006e00740073002000500044004600200063007200e900e90073002000700065007500760065006e0074002000ea0074007200650020006f007500760065007200740073002000640061006e00730020004100630072006f006200610074002c002000610069006e00730069002000710075002700410064006f00620065002000520065006100640065007200200035002e0030002000650074002000760065007200730069006f006e007300200075006c007400e90072006900650075007200650073002egt GRE ltFEFF03a703c103b703c303b903bc03bf03c003bf03b903ae03c303c403b5002003b103c503c403ad03c2002003c403b903c2002003c103c503b803bc03af03c303b503b903c2002003b303b903b1002003bd03b1002003b403b703bc03b903bf03c503c103b303ae03c303b503c403b5002003ad03b303b303c103b103c603b1002000410064006f006200650020005000440046002003b303b903b1002003b503ba03c403cd03c003c903c303b7002003c003bf03b903cc03c403b703c403b103c2002003c303b5002003b503ba03c403c503c003c903c403ad03c2002003b303c103b103c603b503af03bf03c5002003ba03b103b9002003b403bf03ba03b903bc03b103c303c403ad03c2002e0020002003a403b10020005000440046002003ad03b303b303c103b103c603b1002003c003bf03c5002003ad03c703b503c403b5002003b403b703bc03b903bf03c503c103b303ae03c303b503b9002003bc03c003bf03c103bf03cd03bd002003bd03b1002003b103bd03bf03b903c703c403bf03cd03bd002003bc03b5002003c403bf0020004100630072006f006200610074002c002003c403bf002000410064006f006200650020005200650061006400650072002000200035002e0030002003ba03b103b9002003bc03b503c403b103b303b503bd03ad03c303c403b503c103b503c2002003b503ba03b403cc03c303b503b903c2002egt HEB ltFEFF05D405E905EA05DE05E905D5002005D105D405D205D305E805D505EA002005D005DC05D4002005DB05D305D9002005DC05D905E605D505E8002005DE05E105DE05DB05D9002000410064006F006200650020005000440046002005E205D105D505E8002005D405D305E405E105D4002005D005D905DB05D505EA05D905EA002005D105DE05D305E405E105D505EA002005E905D505DC05D705E005D905D505EA002005D505DB05DC05D9002005D405D205D405D4002E002005DE05E105DE05DB05D9002005D4002D005000440046002005E905E005D505E605E805D905DD002005E005D905EA05E005D905DD002005DC05E405EA05D905D705D4002005D105D005DE05E605E205D505EA0020004100630072006F006200610074002005D5002D00410064006F00620065002000520065006100640065007200200035002E0030002005D505D205E805E105D005D505EA002005DE05EA05E705D305DE05D505EA002005D905D505EA05E8002Egt HRV ltFEFF005a00610020007300740076006100720061006e006a0065002000410064006f00620065002000500044004600200064006f006b0075006d0065006e0061007400610020007a00610020006b00760061006c00690074006500740061006e0020006900730070006900730020006e006100200070006900730061010d0069006d006100200069006c0069002000700072006f006f006600650072002000750072006501110061006a0069006d0061002e00200020005300740076006f00720065006e0069002000500044004600200064006f006b0075006d0065006e007400690020006d006f006700750020007300650020006f00740076006f00720069007400690020004100630072006f00620061007400200069002000410064006f00620065002000520065006100640065007200200035002e0030002000690020006b00610073006e0069006a0069006d0020007600650072007a0069006a0061006d0061002egt HUN ltFEFF004d0069006e0151007300e9006700690020006e0079006f006d00610074006f006b0020006b00e90073007a00ed007400e9007300e900680065007a002000610073007a00740061006c00690020006e0079006f006d00740061007400f3006b006f006e002000e9007300200070007200f300620061006e0079006f006d00f3006b006f006e00200065007a0065006b006b0065006c0020006100200062006500e1006c006c00ed007400e10073006f006b006b0061006c002c00200068006f007a007a006f006e0020006c00e9007400720065002000410064006f00620065002000500044004600200064006f006b0075006d0065006e00740075006d006f006b00610074002e0020002000410020006c00e90074007200650068006f007a006f00740074002000500044004600200064006f006b0075006d0065006e00740075006d006f006b00200061007a0020004100630072006f006200610074002c00200061007a002000410064006f00620065002000520065006100640065007200200035002e0030002000e9007300200061007a002000610074007400f3006c0020006b00e9007301510062006200690020007600650072007a006900f3006b006b0061006c00200020006e00790069007400680061007400f3006b0020006d00650067002egt ITA ltFEFF005500740069006c0069007a007a006100720065002000710075006500730074006500200069006d0070006f007300740061007a0069006f006e00690020007000650072002000630072006500610072006500200064006f00630075006d0065006e00740069002000410064006f006200650020005000440046002000700065007200200075006e00610020007300740061006d007000610020006400690020007100750061006c0069007400e00020007300750020007300740061006d00700061006e0074006900200065002000700072006f006f0066006500720020006400650073006b0074006f0070002e0020004900200064006f00630075006d0065006e007400690020005000440046002000630072006500610074006900200070006f00730073006f006e006f0020006500730073006500720065002000610070006500720074006900200063006f006e0020004100630072006f00620061007400200065002000410064006f00620065002000520065006100640065007200200035002e003000200065002000760065007200730069006f006e006900200073007500630063006500730073006900760065002egt JPN ltFEFF9ad854c18cea51fa529b7528002000410064006f0062006500200050004400460020658766f8306e4f5c6210306b4f7f75283057307e30593002537052376642306e753b8cea3092670059279650306b4fdd306430533068304c3067304d307e3059300230c730b930af30c830c330d730d730ea30f330bf3067306e53705237307e305f306f30d730eb30fc30d57528306b9069305730663044307e305930023053306e8a2d5b9a30674f5c62103055308c305f0020005000440046002030d530a130a430eb306f3001004100630072006f0062006100740020304a30883073002000410064006f00620065002000520065006100640065007200200035002e003000204ee5964d3067958b304f30533068304c3067304d307e30593002gt KOR ltFEFFc7740020c124c815c7440020c0acc6a9d558c5ec0020b370c2a4d06cd0d10020d504b9b0d1300020bc0f0020ad50c815ae30c5d0c11c0020ace0d488c9c8b85c0020c778c1c4d560002000410064006f0062006500200050004400460020bb38c11cb97c0020c791c131d569b2c8b2e4002e0020c774b807ac8c0020c791c131b41c00200050004400460020bb38c11cb2940020004100630072006f0062006100740020bc0f002000410064006f00620065002000520065006100640065007200200035002e00300020c774c0c1c5d0c11c0020c5f40020c2180020c788c2b5b2c8b2e4002egt LTH ltFEFF004e006100750064006f006b0069007400650020016100690075006f007300200070006100720061006d006500740072007500730020006e006f0072011700640061006d00690020006b0075007200740069002000410064006f00620065002000500044004600200064006f006b0075006d0065006e007400750073002c0020006b007500720069006500200073006b00690072007400690020006b006f006b0079006200690161006b0061006900200073007000610075007300640069006e007400690020007300740061006c0069006e0069006100690073002000690072002000620061006e00640079006d006f00200073007000610075007300640069006e007400750076006100690073002e0020002000530075006b0075007200740069002000500044004600200064006f006b0075006d0065006e007400610069002000670061006c006900200062016b007400690020006100740069006400610072006f006d00690020004100630072006f006200610074002000690072002000410064006f00620065002000520065006100640065007200200035002e0030002000610072002000760117006c00650073006e0117006d00690073002000760065007200730069006a006f006d00690073002egt LVI ltFEFF0049007a006d0061006e0074006f006a00690065007400200161006f00730020006900650073007400610074012b006a0075006d00750073002c0020006c0061006900200069007a0076006500690064006f00740075002000410064006f00620065002000500044004600200064006f006b0075006d0065006e0074007500730020006b00760061006c0069007400610074012b0076006100690020006400720075006b010101610061006e00610069002000610072002000670061006c006400610020007000720069006e00740065007200690065006d00200075006e0020007000610072006100750067006e006f00760069006c006b0075006d0075002000690065007300700069006500640113006a00690065006d002e00200049007a0076006500690064006f006a006900650074002000500044004600200064006f006b0075006d0065006e007400750073002c0020006b006f002000760061007200200061007400760113007200740020006100720020004100630072006f00620061007400200075006e002000410064006f00620065002000520065006100640065007200200035002e0030002c0020006b0101002000610072012b00200074006f0020006a00610075006e0101006b0101006d002000760065007200730069006a0101006d002egt NLD (Gebruik deze instellingen om Adobe PDF-documenten te maken voor kwaliteitsafdrukken op desktopprinters en proofers De gemaakte PDF-documenten kunnen worden geopend met Acrobat en Adobe Reader 50 en hoger) NOR ltFEFF004200720075006b00200064006900730073006500200069006e006e007300740069006c006c0069006e00670065006e0065002000740069006c002000e50020006f0070007000720065007400740065002000410064006f006200650020005000440046002d0064006f006b0075006d0065006e00740065007200200066006f00720020007500740073006b00720069006600740020006100760020006800f800790020006b00760061006c00690074006500740020007000e500200062006f007200640073006b0072006900760065007200200065006c006c00650072002000700072006f006f006600650072002e0020005000440046002d0064006f006b0075006d0065006e00740065006e00650020006b0061006e002000e50070006e00650073002000690020004100630072006f00620061007400200065006c006c00650072002000410064006f00620065002000520065006100640065007200200035002e003000200065006c006c00650072002000730065006e006500720065002egt POL ltFEFF0055007300740061007700690065006e0069006100200064006f002000740077006f0072007a0065006e0069006100200064006f006b0075006d0065006e007400f3007700200050004400460020007a002000770079017c0073007a010500200072006f007a0064007a00690065006c0063007a006f015b0063006901050020006f006200720061007a006b00f30077002c0020007a0061007000650077006e00690061006a0105006301050020006c006500700073007a01050020006a0061006b006f015b0107002000770079006400720075006b00f30077002e00200044006f006b0075006d0065006e0074007900200050004400460020006d006f017c006e00610020006f007400770069006500720061010700200077002000700072006f006700720061006d006900650020004100630072006f00620061007400200069002000410064006f00620065002000520065006100640065007200200035002e0030002000690020006e006f00770073007a0079006d002egt PTB ltFEFF005500740069006c0069007a006500200065007300730061007300200063006f006e00660069006700750072006100e700f50065007300200064006500200066006f0072006d00610020006100200063007200690061007200200064006f00630075006d0065006e0074006f0073002000410064006f0062006500200050004400460020007000610072006100200069006d0070007200650073007300f5006500730020006400650020007100750061006c0069006400610064006500200065006d00200069006d00700072006500730073006f0072006100730020006400650073006b0074006f00700020006500200064006900730070006f00730069007400690076006f0073002000640065002000700072006f00760061002e0020004f007300200064006f00630075006d0065006e0074006f00730020005000440046002000630072006900610064006f007300200070006f00640065006d0020007300650072002000610062006500720074006f007300200063006f006d0020006f0020004100630072006f006200610074002000650020006f002000410064006f00620065002000520065006100640065007200200035002e0030002000650020007600650072007300f50065007300200070006f00730074006500720069006f007200650073002egt RUM ltFEFF005500740069006c0069007a00610163006900200061006300650073007400650020007300650074010300720069002000700065006e007400720075002000610020006300720065006100200064006f00630075006d0065006e00740065002000410064006f006200650020005000440046002000700065006e007400720075002000740069007001030072006900720065002000640065002000630061006c006900740061007400650020006c006100200069006d007000720069006d0061006e007400650020006400650073006b0074006f00700020015f0069002000700065006e0074007200750020007600650072006900660069006300610074006f00720069002e002000200044006f00630075006d0065006e00740065006c00650020005000440046002000630072006500610074006500200070006f00740020006600690020006400650073006300680069007300650020006300750020004100630072006f006200610074002c002000410064006f00620065002000520065006100640065007200200035002e00300020015f00690020007600650072007300690075006e0069006c006500200075006c0074006500720069006f006100720065002egt RUS ltFEFF04180441043f043e043b044c04370443043904420435002004340430043d043d044b04350020043d0430044104420440043e0439043a043800200434043b044f00200441043e043704340430043d0438044f00200434043e043a0443043c0435043d0442043e0432002000410064006f006200650020005000440046002c0020043f044004350434043d04300437043d043004470435043d043d044b044500200434043b044f0020043a0430044704350441044204320435043d043d043e04390020043f043504470430044204380020043d04300020043d043004410442043e043b044c043d044b04450020043f04400438043d044204350440043004450020043800200443044104420440043e04390441044204320430044500200434043b044f0020043f043e043b044304470435043d0438044f0020043f0440043e0431043d044b04450020043e0442044204380441043a043e0432002e002000200421043e043704340430043d043d044b04350020005000440046002d0434043e043a0443043c0435043d0442044b0020043c043e0436043d043e00200020043e0442043a0440044b043204300442044c002004410020043f043e043c043e0449044c044e0020004100630072006f00620061007400200438002000410064006f00620065002000520065006100640065007200200035002e00300020043800200431043e043b043504350020043f043e04370434043d043804450020043204350440044104380439002egt SKY ltFEFF0054006900650074006f0020006e006100730074006100760065006e0069006100200070006f0075017e0069007400650020006e00610020007600790074007600e100720061006e0069006500200064006f006b0075006d0065006e0074006f0076002000410064006f00620065002000500044004600200070007200650020006b00760061006c00690074006e00fa00200074006c0061010d0020006e0061002000730074006f006c006e00fd0063006800200074006c0061010d00690061007201480061006300680020006100200074006c0061010d006f007600fd006300680020007a006100720069006100640065006e0069006100630068002e00200056007900740076006f00720065006e00e900200064006f006b0075006d0065006e007400790020005000440046002000620075006400650020006d006f017e006e00e90020006f00740076006f00720069016500200076002000700072006f006700720061006d006f006300680020004100630072006f00620061007400200061002000410064006f00620065002000520065006100640065007200200035002e0030002000610020006e006f0076016100ed00630068002e000d000agt SLV ltFEFF005400650020006e006100730074006100760069007400760065002000750070006f0072006100620069007400650020007a00610020007500730074007600610072006a0061006e006a006500200064006f006b0075006d0065006e0074006f0076002000410064006f0062006500200050004400460020007a00610020006b0061006b006f0076006f00730074006e006f0020007400690073006b0061006e006a00650020006e00610020006e0061006d0069007a006e006900680020007400690073006b0061006c006e0069006b0069006800200069006e0020007000720065007600650072006a0061006c006e0069006b00690068002e00200020005500730074007600610072006a0065006e006500200064006f006b0075006d0065006e0074006500200050004400460020006a00650020006d006f0067006f010d00650020006f0064007000720065007400690020007a0020004100630072006f00620061007400200069006e002000410064006f00620065002000520065006100640065007200200035002e003000200069006e0020006e006f00760065006a01610069006d002egt SUO ltFEFF004b00e40079007400e40020006e00e40069007400e4002000610073006500740075006b007300690061002c0020006b0075006e0020006c0075006f0074002000410064006f0062006500200050004400460020002d0064006f006b0075006d0065006e007400740065006a00610020006c0061006100640075006b006100730074006100200074007900f6007000f60079007400e400740075006c006f0073007400750073007400610020006a00610020007600650064006f007300740075007300740061002000760061007200740065006e002e00200020004c0075006f0064007500740020005000440046002d0064006f006b0075006d0065006e00740069007400200076006f0069006400610061006e0020006100760061007400610020004100630072006f0062006100740069006c006c00610020006a0061002000410064006f00620065002000520065006100640065007200200035002e0030003a006c006c00610020006a006100200075007500640065006d006d0069006c006c0061002egt SVE ltFEFF0041006e007600e4006e00640020006400650020006800e4007200200069006e0073007400e4006c006c006e0069006e006700610072006e00610020006f006d002000640075002000760069006c006c00200073006b006100700061002000410064006f006200650020005000440046002d0064006f006b0075006d0065006e00740020006600f600720020006b00760061006c00690074006500740073007500740073006b0072006900660074006500720020007000e5002000760061006e006c00690067006100200073006b0072006900760061007200650020006f006300680020006600f600720020006b006f007200720065006b007400750072002e002000200053006b006100700061006400650020005000440046002d0064006f006b0075006d0065006e00740020006b0061006e002000f600700070006e00610073002000690020004100630072006f0062006100740020006f00630068002000410064006f00620065002000520065006100640065007200200035002e00300020006f00630068002000730065006e006100720065002egt TUR ltFEFF004d00610073006100fc0073007400fc002000790061007a013100630131006c006100720020007600650020006200610073006b01310020006d0061006b0069006e0065006c006500720069006e006400650020006b0061006c006900740065006c00690020006200610073006b013100200061006d0061006301310079006c0061002000410064006f006200650020005000440046002000620065006c00670065006c0065007200690020006f006c0075015f007400750072006d0061006b0020006900e70069006e00200062007500200061007900610072006c0061007201310020006b0075006c006c0061006e0131006e002e00200020004f006c0075015f0074007500720075006c0061006e0020005000440046002000620065006c00670065006c0065007200690020004100630072006f006200610074002000760065002000410064006f00620065002000520065006100640065007200200035002e003000200076006500200073006f006e0072006100730131006e00640061006b00690020007300fc007200fc006d006c00650072006c00650020006100e70131006c006100620069006c00690072002egt UKR ltFEFF04120438043a043e0440043804410442043e043204430439044204350020044604560020043f043004400430043c043504420440043800200434043b044f0020044104420432043e04400435043d043d044f00200434043e043a0443043c0435043d044204560432002000410064006f006200650020005000440046002c0020044f043a04560020043d04300439043a04400430044904350020043f045604340445043e0434044f0442044c00200434043b044f0020043204380441043e043a043e044f043a04560441043d043e0433043e0020043404400443043a04430020043d04300020043d0430044104420456043b044c043d043804450020043f04400438043d044204350440043004450020044204300020043f04400438044104420440043e044f044500200434043b044f0020043e044204400438043c0430043d043d044f0020043f0440043e0431043d0438044500200437043e04310440043004360435043d044c002e00200020042104420432043e04400435043d045600200434043e043a0443043c0435043d0442043800200050004400460020043c043e0436043d04300020043204560434043a0440043804420438002004430020004100630072006f006200610074002004420430002000410064006f00620065002000520065006100640065007200200035002e0030002004300431043e0020043f04560437043d04560448043e04570020043204350440044104560457002egt ENU ltFEFF005500730065002000740068006500730065002000730065007400740069006e0067007300200074006f0020006300720065006100740065002000410064006f0062006500200050004400460020006200610073006500640020006f006e0020007400680065002000640065006600610075006c0074002000580069006c0069006e007800200070006100720061006d0065007400650072002000730065007400740069006e0067007300200064006500660069006e00650064002000620079002000500053003000300034002e002000460069006c0065006e0061006d0065003a002000780069006c0069006e0078002e006a006f0062006f007000740069006f006e0073002e000d0043006f006d007000610074006100620069006c006900740079003a0020004100630072006f00620061007400200037002e0030002000280050004400460031002e00360029002e0020005500730065003a00200046006f00720020006300720065006100740069006e0067002000460069006e0061006c002c0020005000750062006c0069007300680065006400200050004400460073002e000d0043007200650061007400650064002000310030002f00310032002f003100310020006200790020006a0066006f007200730074002egt gtgt Namespace [ (Adobe) (Common) (10) ] OtherNamespaces [ ltlt AsReaderSpreads false CropImagesToFrames true ErrorControl WarnAndContinue FlattenerIgnoreSpreadOverrides false IncludeGuidesGrids false IncludeNonPrinting false IncludeSlug false Namespace [ (Adobe) (InDesign) (40) ] OmitPlacedBitmaps false OmitPlacedEPS false OmitPlacedPDF false SimulateOverprint Legacy gtgt ltlt AddBleedMarks false AddColorBars false AddCropMarks false AddPageInfo false AddRegMarks false ConvertColors NoConversion DestinationProfileName () DestinationProfileSelector NA Downsample16BitImages true FlattenerPreset ltlt PresetSelector MediumResolution gtgt FormElements false GenerateStructure true IncludeBookmarks false IncludeHyperlinks false IncludeInteractive false IncludeLayers false IncludeProfiles true MultimediaHandling UseObjectSettings Namespace [ (Adobe) (CreativeSuite) (20) ] PDFXOutputIntentProfileSelector NA PreserveEditing true UntaggedCMYKHandling LeaveUntagged UntaggedRGBHandling LeaveUntagged UseDocumentBleed false gtgt ]gtgt setdistillerparamsltlt HWResolution [1200 1200] PageSize [612000 792000]gtgt setpagedevice

Page 35: Xilinx UG883 Kintex-7 FPGA KC705 Evaluation Kit Getting ...€¦ · Updated step 2 and step 3 in Requirements to Get Started, page 14. ... KC705 Getting Started Guide 9 UG883 (v3.0)

KC705 Getting Started Guide wwwxilinxcom 35UG883 (v30) April 16 2013

Appendix A

Additional Resources

Xilinx ResourcesFor support resources such as Answers Documentation Downloads and Forums see the Xilinx Support website at

wwwxilinxcomsupport

For continual updates add the Answer Record to your myAlerts

wwwxilinxcomsupportmyalerts

For a glossary of technical terms used in Xilinx documentation see

wwwxilinxcomcompanytermshtm

Solution CentersSee the Xilinx Solution Centers for support on devices software tools and intellectual property at all stages of the design cycle Topics include design assistance advisories and troubleshooting tips

Further ResourcesThe most up to date information related to the KC705 board and its documentation is available on the following websites

The Kintex-7 FPGA KC705 Evaluation Kit Product Page

wwwxilinxcomkc705

The Kintex-7 FPGA KC705 Evaluation Kit Master Answer Record

wwwxilinxcomsupportanswers47787htm

Design advisories by software release for the Kintex-7 FPGA KC705 Evaluation Kit

wwwxilinxcomsupportnav=sd-nav-link-179661amptab=tab-bk

Kintex-7 FPGA Base Targeted Reference Design Release Notes and Known Issues Master Answer Record

wwwxilinxcomsupportanswers45679htm

These documents provide supplemental material useful with this user guide

UG810 KC705 Evaluation Board for the Kintex-7 FPGA User Guide

UG845 KC705 Reference Design User Guide

36 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Appendix A Additional Resources

UG798 Xilinx Design Tools Installation and Licensing Guide Vivado Design Suite and ISE Design Suite

UG908 Vivado Design Suite User Guide Programming and Debugging

UG882 Kintex-7 FPGA KC705 Base Targeted Reference Design User Guide (Vivado Design Suite 20124)

UG886 AMS101 Evaluation Card User Guide

UG477 7 Series FPGAs Integrated Block for PCI Express User Guide

ReferencesThe following websites provide supplemental material useful with this guide

1 LabVIEW 32-bit Run-Time Enginehttpjoulenicomniducdsviewpid2534langen

2 LabVIEW 64-bit Run-Time Engine httpjoulenicomniducdsviewpid2536langen

3 Tera Term Pro program httphpvectorcojpauthorsVA002416teratermhtml

4 Drivers on the Silicon Labs site httpwwwsilabscomSupport20DocumentsSoftwareCP210x_VCP_Win_XP_S2K3_Vista_7exe

5 Northwest Logic DMA back end core httpwwwnwlogiccompacketdma

6 Fedora project httpfedoraprojectorg

Fedora is a Linux-based operating system used in the development of this TRD

7 The GTK+ project API documentation httpwwwgtkorgdocumentationphp

GTK+ is a toolkit for creating graphical user interfaces (GUI)

KC705 Getting Started Guide wwwxilinxcom 37UG883 (v30) April 16 2013

Appendix B

Warranty

THIS LIMITED WARRANTY applies solely to standard hardware development boards and standard hardware programming cables manufactured by or on behalf of Xilinx (ldquoDevelopment Systemsrdquo) Subject to the limitations herein Xilinx warrants that Development Systems when delivered by Xilinx or its authorized distributor for ninety (90) days following the delivery date will be free from defects in material and workmanship and will substantially conform to Xilinx publicly available specifications for such products in effect at the time of delivery This limited warranty excludes (i) engineering samples or beta versions of Development Systems (which are provided ldquoAS ISrdquo without warranty) (ii) design defects or errors known as ldquoerratardquo (iii) Development Systems procured through unauthorized third parties and (iv) Development Systems that have been subject to misuse mishandling accident alteration neglect unauthorized repair or installation Furthermore this limited warranty shall not apply to the use of covered products in an application or environment that is not within Xilinx specifications or in the event of any act error neglect or default of Customer For any breach by Xilinx of this limited warranty the exclusive remedy of Customer and the sole liability of Xilinx shall be at the option of Xilinx to replace or repair the affected products or to refund to Customer the price of the affected products The availability of replacement products is subject to product discontinuation policies at Xilinx Customer may not return product without first obtaining a customer return material authorization (RMA) number from XilinxTHE WARRANTIES SET FORTH HEREIN ARE EXCLUSIVE XILINX DISCLAIMS ALL OTHER WARRANTIES WHETHER EXPRESS IMPLIED OR STATUTORY INCLUDING WITHOUT LIMITATION ANY WARRANTY OF MERCHANTABILITY FITNESS FOR A PARTICULAR PURPOSE OR NON-INFRINGEMENT AND ANY WARRANTY THAT MAY ARISE FROM COURSE OF DEALING COURSE OF PERFORMANCE OR USAGE OF TRADE (200810)

Do not throw Xilinx products marked with the ldquocrossed out wheeled binrdquo in the trash Directive 200296EC on waste electrical and electronic equipment (WEEE) requires the separate collection of WEEE Your cooperation is essential in ensuring the proper management of WEEE and the protection of the environment and human health from potential effects arising from the presence of hazardous substances in WEEE Return the marked products to Xilinx for proper disposal Further information and instructions for free-of-charge return available at httpwwwxilinxcomehsweeehtm

38 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Appendix B Warranty

  • Kintex-7 FPGA KC705 Evaluation Kit (Vivado Design Suite 20131)
    • Revision History
    • Table of Contents
    • Getting Started with the Kintex-7 FPGA KC705 Evaluation Kit (Vivado Design Suite 20131)
      • Introduction
      • Kit Contents
      • Basic Hardware Bring-up Using the BIST
        • Hardware Test Setup Requirements
          • Hardware Test Board Setup Requirements
            • Hardware Bring-Up
            • Run the BIST Application
              • AMS Bring-up with the AMS101 Evaluation Card
                • Requirements to Get Started
                • Evaluating AMS
                  • Advanced Bring-up Using the Base Targeted Reference Design
                    • Components
                    • Hardware Test Setup Requirements
                    • TRD Demonstration Setup
                    • Evaluating the Kintex-7 FPGA Base TRD
                        • Additional Resources
                          • Xilinx Resources
                          • Solution Centers
                          • Further Resources
                          • References
                            • Warranty
                                • ltlt ASCII85EncodePages false AllowTransparency false AutoPositionEPSFiles true AutoRotatePages All Binding Left CalGrayProfile (Dot Gain 20) CalRGBProfile (sRGB IEC61966-21) CalCMYKProfile (US Web Coated 050SWOP051 v2) sRGBProfile (sRGB IEC61966-21) CannotEmbedFontPolicy Warning CompatibilityLevel 16 CompressObjects Tags CompressPages true ConvertImagesToIndexed true PassThroughJPEGImages true CreateJobTicket false DefaultRenderingIntent Default DetectBlends true DetectCurves 00000 ColorConversionStrategy LeaveColorUnchanged DoThumbnails false EmbedAllFonts true EmbedOpenType false ParseICCProfilesInComments true EmbedJobOptions true DSCReportingLevel 0 EmitDSCWarnings false EndPage -1 ImageMemory 1048576 LockDistillerParams true MaxSubsetPct 100 Optimize true OPM 1 ParseDSCComments true ParseDSCCommentsForDocInfo true PreserveCopyPage true PreserveDICMYKValues true PreserveEPSInfo true PreserveFlatness true PreserveHalftoneInfo false PreserveOPIComments false PreserveOverprintSettings true StartPage 1 SubsetFonts true TransferFunctionInfo Apply UCRandBGInfo Preserve UsePrologue false ColorSettingsFile () AlwaysEmbed [ true ] NeverEmbed [ true ] AntiAliasColorImages false CropColorImages true ColorImageMinResolution 300 ColorImageMinResolutionPolicy OK DownsampleColorImages false ColorImageDownsampleType Bicubic ColorImageResolution 300 ColorImageDepth -1 ColorImageMinDownsampleDepth 1 ColorImageDownsampleThreshold 150000 EncodeColorImages true ColorImageFilter DCTEncode AutoFilterColorImages true ColorImageAutoFilterStrategy JPEG ColorACSImageDict ltlt QFactor 040 HSamples [1 1 1 1] VSamples [1 1 1 1] gtgt ColorImageDict ltlt QFactor 015 HSamples [1 1 1 1] VSamples [1 1 1 1] gtgt JPEG2000ColorACSImageDict ltlt TileWidth 256 TileHeight 256 Quality 30 gtgt JPEG2000ColorImageDict ltlt TileWidth 256 TileHeight 256 Quality 30 gtgt AntiAliasGrayImages false CropGrayImages true GrayImageMinResolution 300 GrayImageMinResolutionPolicy OK DownsampleGrayImages false GrayImageDownsampleType Bicubic GrayImageResolution 300 GrayImageDepth -1 GrayImageMinDownsampleDepth 2 GrayImageDownsampleThreshold 150000 EncodeGrayImages true GrayImageFilter DCTEncode AutoFilterGrayImages true GrayImageAutoFilterStrategy JPEG GrayACSImageDict ltlt QFactor 040 HSamples [1 1 1 1] VSamples [1 1 1 1] gtgt GrayImageDict ltlt QFactor 015 HSamples [1 1 1 1] VSamples [1 1 1 1] gtgt JPEG2000GrayACSImageDict ltlt TileWidth 256 TileHeight 256 Quality 30 gtgt JPEG2000GrayImageDict ltlt TileWidth 256 TileHeight 256 Quality 30 gtgt AntiAliasMonoImages false CropMonoImages true MonoImageMinResolution 1200 MonoImageMinResolutionPolicy OK DownsampleMonoImages false MonoImageDownsampleType Bicubic MonoImageResolution 1200 MonoImageDepth -1 MonoImageDownsampleThreshold 150000 EncodeMonoImages true MonoImageFilter CCITTFaxEncode MonoImageDict ltlt K -1 gtgt AllowPSXObjects false CheckCompliance [ None ] PDFX1aCheck false PDFX3Check false PDFXCompliantPDFOnly false PDFXNoTrimBoxError true PDFXTrimBoxToMediaBoxOffset [ 000000 000000 000000 000000 ] PDFXSetBleedBoxToMediaBox true PDFXBleedBoxToTrimBoxOffset [ 000000 000000 000000 000000 ] PDFXOutputIntentProfile (None) PDFXOutputConditionIdentifier () PDFXOutputCondition () PDFXRegistryName () PDFXTrapped False CreateJDFFile false Description ltlt ARA ltFEFF06270633062A062E062F0645002006470630064700200627064406250639062F0627062F0627062A002006440625064606340627062100200648062B062706260642002000410064006F00620065002000500044004600200645062A0648062706410642062900200644064406370628062706390629002006300627062A002006270644062C0648062F0629002006270644063906270644064A06290020064506460020062E06440627064400200627064406370627062806390627062A00200627064406450643062A0628064A062900200623064800200623062C06470632062900200625062C06310627062100200627064406280631064806410627062A061B0020064A06450643064600200641062A062D00200648062B0627062606420020005000440046002006270644064506460634062306290020062806270633062A062E062F062706450020004100630072006F0062006100740020064800410064006F006200650020005200650061006400650072002006250635062F0627063100200035002E0030002006480627064406250635062F062706310627062A0020062706440623062D062F062B002E0020064506390020005000440046002F0041060C0020062706440631062C062706210020064506310627062C063906290020062F0644064A0644002006450633062A062E062F06450020004100630072006F006200610074061B0020064A06450643064600200641062A062D00200648062B0627062606420020005000440046002006270644064506460634062306290020062806270633062A062E062F062706450020004100630072006F0062006100740020064800410064006F006200650020005200650061006400650072002006250635062F0627063100200035002E0030002006480627064406250635062F062706310627062A0020062706440623062D062F062B002Egt BGR ltFEFF04180437043f043e043b043704320430043904420435002004420435043704380020043d0430044104420440043e0439043a0438002c00200437043000200434043000200441044a0437043404300432043004420435002000410064006f00620065002000500044004600200434043e043a0443043c0435043d044204380020043704300020043a0430044704350441044204320435043d0020043f04350447043004420020043d04300020043d043004410442043e043b043d04380020043f04400438043d04420435044004380020043800200443044104420440043e043904410442043204300020043704300020043f04350447043004420020043d04300020043f0440043e0431043d04380020044004300437043f0435044704300442043a0438002e002000200421044a04370434043004340435043d043804420435002000500044004600200434043e043a0443043c0435043d044204380020043c043e0433043004420020043404300020044104350020043e0442043204300440044f0442002004410020004100630072006f00620061007400200438002000410064006f00620065002000520065006100640065007200200035002e00300020043800200441043b0435043404320430044904380020043204350440044104380438002egt CHS ltFEFF4f7f75288fd94e9b8bbe5b9a521b5efa7684002000500044004600206587686353ef901a8fc7684c976262535370673a548c002000700072006f006f00660065007200208fdb884c9ad88d2891cf62535370300260a853ef4ee54f7f75280020004100630072006f0062006100740020548c002000410064006f00620065002000520065006100640065007200200035002e003000204ee553ca66f49ad87248672c676562535f00521b5efa768400200050004400460020658768633002gt CHT ltFEFF4f7f752890194e9b8a2d7f6e5efa7acb7684002000410064006f006200650020005000440046002065874ef653ef5728684c9762537088686a5f548c002000700072006f006f00660065007200204e0a73725f979ad854c18cea7684521753706548679c300260a853ef4ee54f7f75280020004100630072006f0062006100740020548c002000410064006f00620065002000520065006100640065007200200035002e003000204ee553ca66f49ad87248672c4f86958b555f5df25efa7acb76840020005000440046002065874ef63002gt CZE ltFEFF005400610074006f0020006e006100730074006100760065006e00ed00200070006f0075017e0069006a007400650020006b0020007600790074007600e101590065006e00ed00200064006f006b0075006d0065006e0074016f002000410064006f006200650020005000440046002000700072006f0020006b00760061006c00690074006e00ed0020007400690073006b0020006e0061002000730074006f006c006e00ed006300680020007400690073006b00e10072006e00e100630068002000610020006e00e1007400690073006b006f007600fd006300680020007a0061015900ed007a0065006e00ed00630068002e002000200056007900740076006f01590065006e00e900200064006f006b0075006d0065006e007400790020005000440046002000620075006400650020006d006f017e006e00e90020006f007400650076015900ed007400200076002000700072006f006700720061006d0065006300680020004100630072006f00620061007400200061002000410064006f00620065002000520065006100640065007200200035002e0030002000610020006e006f0076011b006a016100ed00630068002egt DAN ltFEFF004200720075006700200069006e0064007300740069006c006c0069006e006700650072006e0065002000740069006c0020006100740020006f007000720065007400740065002000410064006f006200650020005000440046002d0064006f006b0075006d0065006e007400650072002000740069006c0020006b00760061006c00690074006500740073007500640073006b007200690076006e0069006e006700200065006c006c006500720020006b006f007200720065006b007400750072006c00e60073006e0069006e0067002e0020004400650020006f007000720065007400740065006400650020005000440046002d0064006f006b0075006d0065006e0074006500720020006b0061006e002000e50062006e00650073002000690020004100630072006f00620061007400200065006c006c006500720020004100630072006f006200610074002000520065006100640065007200200035002e00300020006f00670020006e0079006500720065002egt DEU ltFEFF00560065007200770065006e00640065006e0020005300690065002000640069006500730065002000450069006e007300740065006c006c0075006e00670065006e0020007a0075006d002000450072007300740065006c006c0065006e00200076006f006e002000410064006f006200650020005000440046002d0044006f006b0075006d0065006e00740065006e002c00200076006f006e002000640065006e0065006e002000530069006500200068006f00630068007700650072007400690067006500200044007200750063006b006500200061007500660020004400650073006b0074006f0070002d0044007200750063006b00650072006e00200075006e0064002000500072006f006f0066002d00470065007200e400740065006e002000650072007a0065007500670065006e0020006d00f60063006800740065006e002e002000450072007300740065006c006c007400650020005000440046002d0044006f006b0075006d0065006e007400650020006b00f6006e006e0065006e0020006d006900740020004100630072006f00620061007400200075006e0064002000410064006f00620065002000520065006100640065007200200035002e00300020006f0064006500720020006800f600680065007200200067006500f600660066006e00650074002000770065007200640065006e002egt ESP ltFEFF005500740069006c0069006300650020006500730074006100200063006f006e0066006900670075007200610063006900f3006e0020007000610072006100200063007200650061007200200064006f00630075006d0065006e0074006f0073002000640065002000410064006f0062006500200050004400460020007000610072006100200063006f006e00730065006700750069007200200069006d0070007200650073006900f3006e002000640065002000630061006c006900640061006400200065006e00200069006d0070007200650073006f0072006100730020006400650020006500730063007200690074006f00720069006f00200079002000680065007200720061006d00690065006e00740061007300200064006500200063006f00720072006500630063006900f3006e002e002000530065002000700075006500640065006e00200061006200720069007200200064006f00630075006d0065006e0074006f00730020005000440046002000630072006500610064006f007300200063006f006e0020004100630072006f006200610074002c002000410064006f00620065002000520065006100640065007200200035002e003000200079002000760065007200730069006f006e0065007300200070006f00730074006500720069006f007200650073002egt ETI ltFEFF004b00610073007500740061006700650020006e0065006900640020007300e4007400740065006900640020006c006100750061002d0020006a00610020006b006f006e00740072006f006c006c007400f5006d006d006900730065007000720069006e0074006500720069007400650020006a0061006f006b00730020006b00760061006c006900740065006500740073006500740065002000410064006f006200650020005000440046002d0064006f006b0075006d0065006e00740069006400650020006c006f006f006d006900730065006b0073002e002e00200020004c006f006f0064007500640020005000440046002d0064006f006b0075006d0065006e00740065002000730061006100740065002000610076006100640061002000700072006f006700720061006d006d006900640065006700610020004100630072006f0062006100740020006e0069006e0067002000410064006f00620065002000520065006100640065007200200035002e00300020006a00610020007500750065006d006100740065002000760065007200730069006f006f006e00690064006500670061002e000d000agt FRA ltFEFF005500740069006c006900730065007a00200063006500730020006f007000740069006f006e00730020006100660069006e00200064006500200063007200e900650072002000640065007300200064006f00630075006d0065006e00740073002000410064006f00620065002000500044004600200070006f007500720020006400650073002000e90070007200650075007600650073002000650074002000640065007300200069006d007000720065007300730069006f006e00730020006400650020006800610075007400650020007100750061006c0069007400e90020007300750072002000640065007300200069006d007000720069006d0061006e0074006500730020006400650020006200750072006500610075002e0020004c0065007300200064006f00630075006d0065006e00740073002000500044004600200063007200e900e90073002000700065007500760065006e0074002000ea0074007200650020006f007500760065007200740073002000640061006e00730020004100630072006f006200610074002c002000610069006e00730069002000710075002700410064006f00620065002000520065006100640065007200200035002e0030002000650074002000760065007200730069006f006e007300200075006c007400e90072006900650075007200650073002egt GRE ltFEFF03a703c103b703c303b903bc03bf03c003bf03b903ae03c303c403b5002003b103c503c403ad03c2002003c403b903c2002003c103c503b803bc03af03c303b503b903c2002003b303b903b1002003bd03b1002003b403b703bc03b903bf03c503c103b303ae03c303b503c403b5002003ad03b303b303c103b103c603b1002000410064006f006200650020005000440046002003b303b903b1002003b503ba03c403cd03c003c903c303b7002003c003bf03b903cc03c403b703c403b103c2002003c303b5002003b503ba03c403c503c003c903c403ad03c2002003b303c103b103c603b503af03bf03c5002003ba03b103b9002003b403bf03ba03b903bc03b103c303c403ad03c2002e0020002003a403b10020005000440046002003ad03b303b303c103b103c603b1002003c003bf03c5002003ad03c703b503c403b5002003b403b703bc03b903bf03c503c103b303ae03c303b503b9002003bc03c003bf03c103bf03cd03bd002003bd03b1002003b103bd03bf03b903c703c403bf03cd03bd002003bc03b5002003c403bf0020004100630072006f006200610074002c002003c403bf002000410064006f006200650020005200650061006400650072002000200035002e0030002003ba03b103b9002003bc03b503c403b103b303b503bd03ad03c303c403b503c103b503c2002003b503ba03b403cc03c303b503b903c2002egt HEB ltFEFF05D405E905EA05DE05E905D5002005D105D405D205D305E805D505EA002005D005DC05D4002005DB05D305D9002005DC05D905E605D505E8002005DE05E105DE05DB05D9002000410064006F006200650020005000440046002005E205D105D505E8002005D405D305E405E105D4002005D005D905DB05D505EA05D905EA002005D105DE05D305E405E105D505EA002005E905D505DC05D705E005D905D505EA002005D505DB05DC05D9002005D405D205D405D4002E002005DE05E105DE05DB05D9002005D4002D005000440046002005E905E005D505E605E805D905DD002005E005D905EA05E005D905DD002005DC05E405EA05D905D705D4002005D105D005DE05E605E205D505EA0020004100630072006F006200610074002005D5002D00410064006F00620065002000520065006100640065007200200035002E0030002005D505D205E805E105D005D505EA002005DE05EA05E705D305DE05D505EA002005D905D505EA05E8002Egt HRV ltFEFF005a00610020007300740076006100720061006e006a0065002000410064006f00620065002000500044004600200064006f006b0075006d0065006e0061007400610020007a00610020006b00760061006c00690074006500740061006e0020006900730070006900730020006e006100200070006900730061010d0069006d006100200069006c0069002000700072006f006f006600650072002000750072006501110061006a0069006d0061002e00200020005300740076006f00720065006e0069002000500044004600200064006f006b0075006d0065006e007400690020006d006f006700750020007300650020006f00740076006f00720069007400690020004100630072006f00620061007400200069002000410064006f00620065002000520065006100640065007200200035002e0030002000690020006b00610073006e0069006a0069006d0020007600650072007a0069006a0061006d0061002egt HUN ltFEFF004d0069006e0151007300e9006700690020006e0079006f006d00610074006f006b0020006b00e90073007a00ed007400e9007300e900680065007a002000610073007a00740061006c00690020006e0079006f006d00740061007400f3006b006f006e002000e9007300200070007200f300620061006e0079006f006d00f3006b006f006e00200065007a0065006b006b0065006c0020006100200062006500e1006c006c00ed007400e10073006f006b006b0061006c002c00200068006f007a007a006f006e0020006c00e9007400720065002000410064006f00620065002000500044004600200064006f006b0075006d0065006e00740075006d006f006b00610074002e0020002000410020006c00e90074007200650068006f007a006f00740074002000500044004600200064006f006b0075006d0065006e00740075006d006f006b00200061007a0020004100630072006f006200610074002c00200061007a002000410064006f00620065002000520065006100640065007200200035002e0030002000e9007300200061007a002000610074007400f3006c0020006b00e9007301510062006200690020007600650072007a006900f3006b006b0061006c00200020006e00790069007400680061007400f3006b0020006d00650067002egt ITA ltFEFF005500740069006c0069007a007a006100720065002000710075006500730074006500200069006d0070006f007300740061007a0069006f006e00690020007000650072002000630072006500610072006500200064006f00630075006d0065006e00740069002000410064006f006200650020005000440046002000700065007200200075006e00610020007300740061006d007000610020006400690020007100750061006c0069007400e00020007300750020007300740061006d00700061006e0074006900200065002000700072006f006f0066006500720020006400650073006b0074006f0070002e0020004900200064006f00630075006d0065006e007400690020005000440046002000630072006500610074006900200070006f00730073006f006e006f0020006500730073006500720065002000610070006500720074006900200063006f006e0020004100630072006f00620061007400200065002000410064006f00620065002000520065006100640065007200200035002e003000200065002000760065007200730069006f006e006900200073007500630063006500730073006900760065002egt JPN ltFEFF9ad854c18cea51fa529b7528002000410064006f0062006500200050004400460020658766f8306e4f5c6210306b4f7f75283057307e30593002537052376642306e753b8cea3092670059279650306b4fdd306430533068304c3067304d307e3059300230c730b930af30c830c330d730d730ea30f330bf3067306e53705237307e305f306f30d730eb30fc30d57528306b9069305730663044307e305930023053306e8a2d5b9a30674f5c62103055308c305f0020005000440046002030d530a130a430eb306f3001004100630072006f0062006100740020304a30883073002000410064006f00620065002000520065006100640065007200200035002e003000204ee5964d3067958b304f30533068304c3067304d307e30593002gt KOR ltFEFFc7740020c124c815c7440020c0acc6a9d558c5ec0020b370c2a4d06cd0d10020d504b9b0d1300020bc0f0020ad50c815ae30c5d0c11c0020ace0d488c9c8b85c0020c778c1c4d560002000410064006f0062006500200050004400460020bb38c11cb97c0020c791c131d569b2c8b2e4002e0020c774b807ac8c0020c791c131b41c00200050004400460020bb38c11cb2940020004100630072006f0062006100740020bc0f002000410064006f00620065002000520065006100640065007200200035002e00300020c774c0c1c5d0c11c0020c5f40020c2180020c788c2b5b2c8b2e4002egt LTH ltFEFF004e006100750064006f006b0069007400650020016100690075006f007300200070006100720061006d006500740072007500730020006e006f0072011700640061006d00690020006b0075007200740069002000410064006f00620065002000500044004600200064006f006b0075006d0065006e007400750073002c0020006b007500720069006500200073006b00690072007400690020006b006f006b0079006200690161006b0061006900200073007000610075007300640069006e007400690020007300740061006c0069006e0069006100690073002000690072002000620061006e00640079006d006f00200073007000610075007300640069006e007400750076006100690073002e0020002000530075006b0075007200740069002000500044004600200064006f006b0075006d0065006e007400610069002000670061006c006900200062016b007400690020006100740069006400610072006f006d00690020004100630072006f006200610074002000690072002000410064006f00620065002000520065006100640065007200200035002e0030002000610072002000760117006c00650073006e0117006d00690073002000760065007200730069006a006f006d00690073002egt LVI ltFEFF0049007a006d0061006e0074006f006a00690065007400200161006f00730020006900650073007400610074012b006a0075006d00750073002c0020006c0061006900200069007a0076006500690064006f00740075002000410064006f00620065002000500044004600200064006f006b0075006d0065006e0074007500730020006b00760061006c0069007400610074012b0076006100690020006400720075006b010101610061006e00610069002000610072002000670061006c006400610020007000720069006e00740065007200690065006d00200075006e0020007000610072006100750067006e006f00760069006c006b0075006d0075002000690065007300700069006500640113006a00690065006d002e00200049007a0076006500690064006f006a006900650074002000500044004600200064006f006b0075006d0065006e007400750073002c0020006b006f002000760061007200200061007400760113007200740020006100720020004100630072006f00620061007400200075006e002000410064006f00620065002000520065006100640065007200200035002e0030002c0020006b0101002000610072012b00200074006f0020006a00610075006e0101006b0101006d002000760065007200730069006a0101006d002egt NLD (Gebruik deze instellingen om Adobe PDF-documenten te maken voor kwaliteitsafdrukken op desktopprinters en proofers De gemaakte PDF-documenten kunnen worden geopend met Acrobat en Adobe Reader 50 en hoger) NOR ltFEFF004200720075006b00200064006900730073006500200069006e006e007300740069006c006c0069006e00670065006e0065002000740069006c002000e50020006f0070007000720065007400740065002000410064006f006200650020005000440046002d0064006f006b0075006d0065006e00740065007200200066006f00720020007500740073006b00720069006600740020006100760020006800f800790020006b00760061006c00690074006500740020007000e500200062006f007200640073006b0072006900760065007200200065006c006c00650072002000700072006f006f006600650072002e0020005000440046002d0064006f006b0075006d0065006e00740065006e00650020006b0061006e002000e50070006e00650073002000690020004100630072006f00620061007400200065006c006c00650072002000410064006f00620065002000520065006100640065007200200035002e003000200065006c006c00650072002000730065006e006500720065002egt POL ltFEFF0055007300740061007700690065006e0069006100200064006f002000740077006f0072007a0065006e0069006100200064006f006b0075006d0065006e007400f3007700200050004400460020007a002000770079017c0073007a010500200072006f007a0064007a00690065006c0063007a006f015b0063006901050020006f006200720061007a006b00f30077002c0020007a0061007000650077006e00690061006a0105006301050020006c006500700073007a01050020006a0061006b006f015b0107002000770079006400720075006b00f30077002e00200044006f006b0075006d0065006e0074007900200050004400460020006d006f017c006e00610020006f007400770069006500720061010700200077002000700072006f006700720061006d006900650020004100630072006f00620061007400200069002000410064006f00620065002000520065006100640065007200200035002e0030002000690020006e006f00770073007a0079006d002egt PTB ltFEFF005500740069006c0069007a006500200065007300730061007300200063006f006e00660069006700750072006100e700f50065007300200064006500200066006f0072006d00610020006100200063007200690061007200200064006f00630075006d0065006e0074006f0073002000410064006f0062006500200050004400460020007000610072006100200069006d0070007200650073007300f5006500730020006400650020007100750061006c0069006400610064006500200065006d00200069006d00700072006500730073006f0072006100730020006400650073006b0074006f00700020006500200064006900730070006f00730069007400690076006f0073002000640065002000700072006f00760061002e0020004f007300200064006f00630075006d0065006e0074006f00730020005000440046002000630072006900610064006f007300200070006f00640065006d0020007300650072002000610062006500720074006f007300200063006f006d0020006f0020004100630072006f006200610074002000650020006f002000410064006f00620065002000520065006100640065007200200035002e0030002000650020007600650072007300f50065007300200070006f00730074006500720069006f007200650073002egt RUM ltFEFF005500740069006c0069007a00610163006900200061006300650073007400650020007300650074010300720069002000700065006e007400720075002000610020006300720065006100200064006f00630075006d0065006e00740065002000410064006f006200650020005000440046002000700065006e007400720075002000740069007001030072006900720065002000640065002000630061006c006900740061007400650020006c006100200069006d007000720069006d0061006e007400650020006400650073006b0074006f00700020015f0069002000700065006e0074007200750020007600650072006900660069006300610074006f00720069002e002000200044006f00630075006d0065006e00740065006c00650020005000440046002000630072006500610074006500200070006f00740020006600690020006400650073006300680069007300650020006300750020004100630072006f006200610074002c002000410064006f00620065002000520065006100640065007200200035002e00300020015f00690020007600650072007300690075006e0069006c006500200075006c0074006500720069006f006100720065002egt RUS ltFEFF04180441043f043e043b044c04370443043904420435002004340430043d043d044b04350020043d0430044104420440043e0439043a043800200434043b044f00200441043e043704340430043d0438044f00200434043e043a0443043c0435043d0442043e0432002000410064006f006200650020005000440046002c0020043f044004350434043d04300437043d043004470435043d043d044b044500200434043b044f0020043a0430044704350441044204320435043d043d043e04390020043f043504470430044204380020043d04300020043d043004410442043e043b044c043d044b04450020043f04400438043d044204350440043004450020043800200443044104420440043e04390441044204320430044500200434043b044f0020043f043e043b044304470435043d0438044f0020043f0440043e0431043d044b04450020043e0442044204380441043a043e0432002e002000200421043e043704340430043d043d044b04350020005000440046002d0434043e043a0443043c0435043d0442044b0020043c043e0436043d043e00200020043e0442043a0440044b043204300442044c002004410020043f043e043c043e0449044c044e0020004100630072006f00620061007400200438002000410064006f00620065002000520065006100640065007200200035002e00300020043800200431043e043b043504350020043f043e04370434043d043804450020043204350440044104380439002egt SKY ltFEFF0054006900650074006f0020006e006100730074006100760065006e0069006100200070006f0075017e0069007400650020006e00610020007600790074007600e100720061006e0069006500200064006f006b0075006d0065006e0074006f0076002000410064006f00620065002000500044004600200070007200650020006b00760061006c00690074006e00fa00200074006c0061010d0020006e0061002000730074006f006c006e00fd0063006800200074006c0061010d00690061007201480061006300680020006100200074006c0061010d006f007600fd006300680020007a006100720069006100640065006e0069006100630068002e00200056007900740076006f00720065006e00e900200064006f006b0075006d0065006e007400790020005000440046002000620075006400650020006d006f017e006e00e90020006f00740076006f00720069016500200076002000700072006f006700720061006d006f006300680020004100630072006f00620061007400200061002000410064006f00620065002000520065006100640065007200200035002e0030002000610020006e006f0076016100ed00630068002e000d000agt SLV ltFEFF005400650020006e006100730074006100760069007400760065002000750070006f0072006100620069007400650020007a00610020007500730074007600610072006a0061006e006a006500200064006f006b0075006d0065006e0074006f0076002000410064006f0062006500200050004400460020007a00610020006b0061006b006f0076006f00730074006e006f0020007400690073006b0061006e006a00650020006e00610020006e0061006d0069007a006e006900680020007400690073006b0061006c006e0069006b0069006800200069006e0020007000720065007600650072006a0061006c006e0069006b00690068002e00200020005500730074007600610072006a0065006e006500200064006f006b0075006d0065006e0074006500200050004400460020006a00650020006d006f0067006f010d00650020006f0064007000720065007400690020007a0020004100630072006f00620061007400200069006e002000410064006f00620065002000520065006100640065007200200035002e003000200069006e0020006e006f00760065006a01610069006d002egt SUO ltFEFF004b00e40079007400e40020006e00e40069007400e4002000610073006500740075006b007300690061002c0020006b0075006e0020006c0075006f0074002000410064006f0062006500200050004400460020002d0064006f006b0075006d0065006e007400740065006a00610020006c0061006100640075006b006100730074006100200074007900f6007000f60079007400e400740075006c006f0073007400750073007400610020006a00610020007600650064006f007300740075007300740061002000760061007200740065006e002e00200020004c0075006f0064007500740020005000440046002d0064006f006b0075006d0065006e00740069007400200076006f0069006400610061006e0020006100760061007400610020004100630072006f0062006100740069006c006c00610020006a0061002000410064006f00620065002000520065006100640065007200200035002e0030003a006c006c00610020006a006100200075007500640065006d006d0069006c006c0061002egt SVE ltFEFF0041006e007600e4006e00640020006400650020006800e4007200200069006e0073007400e4006c006c006e0069006e006700610072006e00610020006f006d002000640075002000760069006c006c00200073006b006100700061002000410064006f006200650020005000440046002d0064006f006b0075006d0065006e00740020006600f600720020006b00760061006c00690074006500740073007500740073006b0072006900660074006500720020007000e5002000760061006e006c00690067006100200073006b0072006900760061007200650020006f006300680020006600f600720020006b006f007200720065006b007400750072002e002000200053006b006100700061006400650020005000440046002d0064006f006b0075006d0065006e00740020006b0061006e002000f600700070006e00610073002000690020004100630072006f0062006100740020006f00630068002000410064006f00620065002000520065006100640065007200200035002e00300020006f00630068002000730065006e006100720065002egt TUR ltFEFF004d00610073006100fc0073007400fc002000790061007a013100630131006c006100720020007600650020006200610073006b01310020006d0061006b0069006e0065006c006500720069006e006400650020006b0061006c006900740065006c00690020006200610073006b013100200061006d0061006301310079006c0061002000410064006f006200650020005000440046002000620065006c00670065006c0065007200690020006f006c0075015f007400750072006d0061006b0020006900e70069006e00200062007500200061007900610072006c0061007201310020006b0075006c006c0061006e0131006e002e00200020004f006c0075015f0074007500720075006c0061006e0020005000440046002000620065006c00670065006c0065007200690020004100630072006f006200610074002000760065002000410064006f00620065002000520065006100640065007200200035002e003000200076006500200073006f006e0072006100730131006e00640061006b00690020007300fc007200fc006d006c00650072006c00650020006100e70131006c006100620069006c00690072002egt UKR ltFEFF04120438043a043e0440043804410442043e043204430439044204350020044604560020043f043004400430043c043504420440043800200434043b044f0020044104420432043e04400435043d043d044f00200434043e043a0443043c0435043d044204560432002000410064006f006200650020005000440046002c0020044f043a04560020043d04300439043a04400430044904350020043f045604340445043e0434044f0442044c00200434043b044f0020043204380441043e043a043e044f043a04560441043d043e0433043e0020043404400443043a04430020043d04300020043d0430044104420456043b044c043d043804450020043f04400438043d044204350440043004450020044204300020043f04400438044104420440043e044f044500200434043b044f0020043e044204400438043c0430043d043d044f0020043f0440043e0431043d0438044500200437043e04310440043004360435043d044c002e00200020042104420432043e04400435043d045600200434043e043a0443043c0435043d0442043800200050004400460020043c043e0436043d04300020043204560434043a0440043804420438002004430020004100630072006f006200610074002004420430002000410064006f00620065002000520065006100640065007200200035002e0030002004300431043e0020043f04560437043d04560448043e04570020043204350440044104560457002egt ENU ltFEFF005500730065002000740068006500730065002000730065007400740069006e0067007300200074006f0020006300720065006100740065002000410064006f0062006500200050004400460020006200610073006500640020006f006e0020007400680065002000640065006600610075006c0074002000580069006c0069006e007800200070006100720061006d0065007400650072002000730065007400740069006e0067007300200064006500660069006e00650064002000620079002000500053003000300034002e002000460069006c0065006e0061006d0065003a002000780069006c0069006e0078002e006a006f0062006f007000740069006f006e0073002e000d0043006f006d007000610074006100620069006c006900740079003a0020004100630072006f00620061007400200037002e0030002000280050004400460031002e00360029002e0020005500730065003a00200046006f00720020006300720065006100740069006e0067002000460069006e0061006c002c0020005000750062006c0069007300680065006400200050004400460073002e000d0043007200650061007400650064002000310030002f00310032002f003100310020006200790020006a0066006f007200730074002egt gtgt Namespace [ (Adobe) (Common) (10) ] OtherNamespaces [ ltlt AsReaderSpreads false CropImagesToFrames true ErrorControl WarnAndContinue FlattenerIgnoreSpreadOverrides false IncludeGuidesGrids false IncludeNonPrinting false IncludeSlug false Namespace [ (Adobe) (InDesign) (40) ] OmitPlacedBitmaps false OmitPlacedEPS false OmitPlacedPDF false SimulateOverprint Legacy gtgt ltlt AddBleedMarks false AddColorBars false AddCropMarks false AddPageInfo false AddRegMarks false ConvertColors NoConversion DestinationProfileName () DestinationProfileSelector NA Downsample16BitImages true FlattenerPreset ltlt PresetSelector MediumResolution gtgt FormElements false GenerateStructure true IncludeBookmarks false IncludeHyperlinks false IncludeInteractive false IncludeLayers false IncludeProfiles true MultimediaHandling UseObjectSettings Namespace [ (Adobe) (CreativeSuite) (20) ] PDFXOutputIntentProfileSelector NA PreserveEditing true UntaggedCMYKHandling LeaveUntagged UntaggedRGBHandling LeaveUntagged UseDocumentBleed false gtgt ]gtgt setdistillerparamsltlt HWResolution [1200 1200] PageSize [612000 792000]gtgt setpagedevice

Page 36: Xilinx UG883 Kintex-7 FPGA KC705 Evaluation Kit Getting ...€¦ · Updated step 2 and step 3 in Requirements to Get Started, page 14. ... KC705 Getting Started Guide 9 UG883 (v3.0)

36 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Appendix A Additional Resources

UG798 Xilinx Design Tools Installation and Licensing Guide Vivado Design Suite and ISE Design Suite

UG908 Vivado Design Suite User Guide Programming and Debugging

UG882 Kintex-7 FPGA KC705 Base Targeted Reference Design User Guide (Vivado Design Suite 20124)

UG886 AMS101 Evaluation Card User Guide

UG477 7 Series FPGAs Integrated Block for PCI Express User Guide

ReferencesThe following websites provide supplemental material useful with this guide

1 LabVIEW 32-bit Run-Time Enginehttpjoulenicomniducdsviewpid2534langen

2 LabVIEW 64-bit Run-Time Engine httpjoulenicomniducdsviewpid2536langen

3 Tera Term Pro program httphpvectorcojpauthorsVA002416teratermhtml

4 Drivers on the Silicon Labs site httpwwwsilabscomSupport20DocumentsSoftwareCP210x_VCP_Win_XP_S2K3_Vista_7exe

5 Northwest Logic DMA back end core httpwwwnwlogiccompacketdma

6 Fedora project httpfedoraprojectorg

Fedora is a Linux-based operating system used in the development of this TRD

7 The GTK+ project API documentation httpwwwgtkorgdocumentationphp

GTK+ is a toolkit for creating graphical user interfaces (GUI)

KC705 Getting Started Guide wwwxilinxcom 37UG883 (v30) April 16 2013

Appendix B

Warranty

THIS LIMITED WARRANTY applies solely to standard hardware development boards and standard hardware programming cables manufactured by or on behalf of Xilinx (ldquoDevelopment Systemsrdquo) Subject to the limitations herein Xilinx warrants that Development Systems when delivered by Xilinx or its authorized distributor for ninety (90) days following the delivery date will be free from defects in material and workmanship and will substantially conform to Xilinx publicly available specifications for such products in effect at the time of delivery This limited warranty excludes (i) engineering samples or beta versions of Development Systems (which are provided ldquoAS ISrdquo without warranty) (ii) design defects or errors known as ldquoerratardquo (iii) Development Systems procured through unauthorized third parties and (iv) Development Systems that have been subject to misuse mishandling accident alteration neglect unauthorized repair or installation Furthermore this limited warranty shall not apply to the use of covered products in an application or environment that is not within Xilinx specifications or in the event of any act error neglect or default of Customer For any breach by Xilinx of this limited warranty the exclusive remedy of Customer and the sole liability of Xilinx shall be at the option of Xilinx to replace or repair the affected products or to refund to Customer the price of the affected products The availability of replacement products is subject to product discontinuation policies at Xilinx Customer may not return product without first obtaining a customer return material authorization (RMA) number from XilinxTHE WARRANTIES SET FORTH HEREIN ARE EXCLUSIVE XILINX DISCLAIMS ALL OTHER WARRANTIES WHETHER EXPRESS IMPLIED OR STATUTORY INCLUDING WITHOUT LIMITATION ANY WARRANTY OF MERCHANTABILITY FITNESS FOR A PARTICULAR PURPOSE OR NON-INFRINGEMENT AND ANY WARRANTY THAT MAY ARISE FROM COURSE OF DEALING COURSE OF PERFORMANCE OR USAGE OF TRADE (200810)

Do not throw Xilinx products marked with the ldquocrossed out wheeled binrdquo in the trash Directive 200296EC on waste electrical and electronic equipment (WEEE) requires the separate collection of WEEE Your cooperation is essential in ensuring the proper management of WEEE and the protection of the environment and human health from potential effects arising from the presence of hazardous substances in WEEE Return the marked products to Xilinx for proper disposal Further information and instructions for free-of-charge return available at httpwwwxilinxcomehsweeehtm

38 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Appendix B Warranty

  • Kintex-7 FPGA KC705 Evaluation Kit (Vivado Design Suite 20131)
    • Revision History
    • Table of Contents
    • Getting Started with the Kintex-7 FPGA KC705 Evaluation Kit (Vivado Design Suite 20131)
      • Introduction
      • Kit Contents
      • Basic Hardware Bring-up Using the BIST
        • Hardware Test Setup Requirements
          • Hardware Test Board Setup Requirements
            • Hardware Bring-Up
            • Run the BIST Application
              • AMS Bring-up with the AMS101 Evaluation Card
                • Requirements to Get Started
                • Evaluating AMS
                  • Advanced Bring-up Using the Base Targeted Reference Design
                    • Components
                    • Hardware Test Setup Requirements
                    • TRD Demonstration Setup
                    • Evaluating the Kintex-7 FPGA Base TRD
                        • Additional Resources
                          • Xilinx Resources
                          • Solution Centers
                          • Further Resources
                          • References
                            • Warranty
                                • ltlt ASCII85EncodePages false AllowTransparency false AutoPositionEPSFiles true AutoRotatePages All Binding Left CalGrayProfile (Dot Gain 20) CalRGBProfile (sRGB IEC61966-21) CalCMYKProfile (US Web Coated 050SWOP051 v2) sRGBProfile (sRGB IEC61966-21) CannotEmbedFontPolicy Warning CompatibilityLevel 16 CompressObjects Tags CompressPages true ConvertImagesToIndexed true PassThroughJPEGImages true CreateJobTicket false DefaultRenderingIntent Default DetectBlends true DetectCurves 00000 ColorConversionStrategy LeaveColorUnchanged DoThumbnails false EmbedAllFonts true EmbedOpenType false ParseICCProfilesInComments true EmbedJobOptions true DSCReportingLevel 0 EmitDSCWarnings false EndPage -1 ImageMemory 1048576 LockDistillerParams true MaxSubsetPct 100 Optimize true OPM 1 ParseDSCComments true ParseDSCCommentsForDocInfo true PreserveCopyPage true PreserveDICMYKValues true PreserveEPSInfo true PreserveFlatness true PreserveHalftoneInfo false PreserveOPIComments false PreserveOverprintSettings true StartPage 1 SubsetFonts true TransferFunctionInfo Apply UCRandBGInfo Preserve UsePrologue false ColorSettingsFile () AlwaysEmbed [ true ] NeverEmbed [ true ] AntiAliasColorImages false CropColorImages true ColorImageMinResolution 300 ColorImageMinResolutionPolicy OK DownsampleColorImages false ColorImageDownsampleType Bicubic ColorImageResolution 300 ColorImageDepth -1 ColorImageMinDownsampleDepth 1 ColorImageDownsampleThreshold 150000 EncodeColorImages true ColorImageFilter DCTEncode AutoFilterColorImages true ColorImageAutoFilterStrategy JPEG ColorACSImageDict ltlt QFactor 040 HSamples [1 1 1 1] VSamples [1 1 1 1] gtgt ColorImageDict ltlt QFactor 015 HSamples [1 1 1 1] VSamples [1 1 1 1] gtgt JPEG2000ColorACSImageDict ltlt TileWidth 256 TileHeight 256 Quality 30 gtgt JPEG2000ColorImageDict ltlt TileWidth 256 TileHeight 256 Quality 30 gtgt AntiAliasGrayImages false CropGrayImages true GrayImageMinResolution 300 GrayImageMinResolutionPolicy OK DownsampleGrayImages false GrayImageDownsampleType Bicubic GrayImageResolution 300 GrayImageDepth -1 GrayImageMinDownsampleDepth 2 GrayImageDownsampleThreshold 150000 EncodeGrayImages true GrayImageFilter DCTEncode AutoFilterGrayImages true GrayImageAutoFilterStrategy JPEG GrayACSImageDict ltlt QFactor 040 HSamples [1 1 1 1] VSamples [1 1 1 1] gtgt GrayImageDict ltlt QFactor 015 HSamples [1 1 1 1] VSamples [1 1 1 1] gtgt JPEG2000GrayACSImageDict ltlt TileWidth 256 TileHeight 256 Quality 30 gtgt JPEG2000GrayImageDict ltlt TileWidth 256 TileHeight 256 Quality 30 gtgt AntiAliasMonoImages false CropMonoImages true MonoImageMinResolution 1200 MonoImageMinResolutionPolicy OK DownsampleMonoImages false MonoImageDownsampleType Bicubic MonoImageResolution 1200 MonoImageDepth -1 MonoImageDownsampleThreshold 150000 EncodeMonoImages true MonoImageFilter CCITTFaxEncode MonoImageDict ltlt K -1 gtgt AllowPSXObjects false CheckCompliance [ None ] PDFX1aCheck false PDFX3Check false PDFXCompliantPDFOnly false PDFXNoTrimBoxError true PDFXTrimBoxToMediaBoxOffset [ 000000 000000 000000 000000 ] PDFXSetBleedBoxToMediaBox true PDFXBleedBoxToTrimBoxOffset [ 000000 000000 000000 000000 ] PDFXOutputIntentProfile (None) PDFXOutputConditionIdentifier () PDFXOutputCondition () PDFXRegistryName () PDFXTrapped False CreateJDFFile false Description ltlt ARA ltFEFF06270633062A062E062F0645002006470630064700200627064406250639062F0627062F0627062A002006440625064606340627062100200648062B062706260642002000410064006F00620065002000500044004600200645062A0648062706410642062900200644064406370628062706390629002006300627062A002006270644062C0648062F0629002006270644063906270644064A06290020064506460020062E06440627064400200627064406370627062806390627062A00200627064406450643062A0628064A062900200623064800200623062C06470632062900200625062C06310627062100200627064406280631064806410627062A061B0020064A06450643064600200641062A062D00200648062B0627062606420020005000440046002006270644064506460634062306290020062806270633062A062E062F062706450020004100630072006F0062006100740020064800410064006F006200650020005200650061006400650072002006250635062F0627063100200035002E0030002006480627064406250635062F062706310627062A0020062706440623062D062F062B002E0020064506390020005000440046002F0041060C0020062706440631062C062706210020064506310627062C063906290020062F0644064A0644002006450633062A062E062F06450020004100630072006F006200610074061B0020064A06450643064600200641062A062D00200648062B0627062606420020005000440046002006270644064506460634062306290020062806270633062A062E062F062706450020004100630072006F0062006100740020064800410064006F006200650020005200650061006400650072002006250635062F0627063100200035002E0030002006480627064406250635062F062706310627062A0020062706440623062D062F062B002Egt BGR ltFEFF04180437043f043e043b043704320430043904420435002004420435043704380020043d0430044104420440043e0439043a0438002c00200437043000200434043000200441044a0437043404300432043004420435002000410064006f00620065002000500044004600200434043e043a0443043c0435043d044204380020043704300020043a0430044704350441044204320435043d0020043f04350447043004420020043d04300020043d043004410442043e043b043d04380020043f04400438043d04420435044004380020043800200443044104420440043e043904410442043204300020043704300020043f04350447043004420020043d04300020043f0440043e0431043d04380020044004300437043f0435044704300442043a0438002e002000200421044a04370434043004340435043d043804420435002000500044004600200434043e043a0443043c0435043d044204380020043c043e0433043004420020043404300020044104350020043e0442043204300440044f0442002004410020004100630072006f00620061007400200438002000410064006f00620065002000520065006100640065007200200035002e00300020043800200441043b0435043404320430044904380020043204350440044104380438002egt CHS ltFEFF4f7f75288fd94e9b8bbe5b9a521b5efa7684002000500044004600206587686353ef901a8fc7684c976262535370673a548c002000700072006f006f00660065007200208fdb884c9ad88d2891cf62535370300260a853ef4ee54f7f75280020004100630072006f0062006100740020548c002000410064006f00620065002000520065006100640065007200200035002e003000204ee553ca66f49ad87248672c676562535f00521b5efa768400200050004400460020658768633002gt CHT ltFEFF4f7f752890194e9b8a2d7f6e5efa7acb7684002000410064006f006200650020005000440046002065874ef653ef5728684c9762537088686a5f548c002000700072006f006f00660065007200204e0a73725f979ad854c18cea7684521753706548679c300260a853ef4ee54f7f75280020004100630072006f0062006100740020548c002000410064006f00620065002000520065006100640065007200200035002e003000204ee553ca66f49ad87248672c4f86958b555f5df25efa7acb76840020005000440046002065874ef63002gt CZE ltFEFF005400610074006f0020006e006100730074006100760065006e00ed00200070006f0075017e0069006a007400650020006b0020007600790074007600e101590065006e00ed00200064006f006b0075006d0065006e0074016f002000410064006f006200650020005000440046002000700072006f0020006b00760061006c00690074006e00ed0020007400690073006b0020006e0061002000730074006f006c006e00ed006300680020007400690073006b00e10072006e00e100630068002000610020006e00e1007400690073006b006f007600fd006300680020007a0061015900ed007a0065006e00ed00630068002e002000200056007900740076006f01590065006e00e900200064006f006b0075006d0065006e007400790020005000440046002000620075006400650020006d006f017e006e00e90020006f007400650076015900ed007400200076002000700072006f006700720061006d0065006300680020004100630072006f00620061007400200061002000410064006f00620065002000520065006100640065007200200035002e0030002000610020006e006f0076011b006a016100ed00630068002egt DAN ltFEFF004200720075006700200069006e0064007300740069006c006c0069006e006700650072006e0065002000740069006c0020006100740020006f007000720065007400740065002000410064006f006200650020005000440046002d0064006f006b0075006d0065006e007400650072002000740069006c0020006b00760061006c00690074006500740073007500640073006b007200690076006e0069006e006700200065006c006c006500720020006b006f007200720065006b007400750072006c00e60073006e0069006e0067002e0020004400650020006f007000720065007400740065006400650020005000440046002d0064006f006b0075006d0065006e0074006500720020006b0061006e002000e50062006e00650073002000690020004100630072006f00620061007400200065006c006c006500720020004100630072006f006200610074002000520065006100640065007200200035002e00300020006f00670020006e0079006500720065002egt DEU ltFEFF00560065007200770065006e00640065006e0020005300690065002000640069006500730065002000450069006e007300740065006c006c0075006e00670065006e0020007a0075006d002000450072007300740065006c006c0065006e00200076006f006e002000410064006f006200650020005000440046002d0044006f006b0075006d0065006e00740065006e002c00200076006f006e002000640065006e0065006e002000530069006500200068006f00630068007700650072007400690067006500200044007200750063006b006500200061007500660020004400650073006b0074006f0070002d0044007200750063006b00650072006e00200075006e0064002000500072006f006f0066002d00470065007200e400740065006e002000650072007a0065007500670065006e0020006d00f60063006800740065006e002e002000450072007300740065006c006c007400650020005000440046002d0044006f006b0075006d0065006e007400650020006b00f6006e006e0065006e0020006d006900740020004100630072006f00620061007400200075006e0064002000410064006f00620065002000520065006100640065007200200035002e00300020006f0064006500720020006800f600680065007200200067006500f600660066006e00650074002000770065007200640065006e002egt ESP ltFEFF005500740069006c0069006300650020006500730074006100200063006f006e0066006900670075007200610063006900f3006e0020007000610072006100200063007200650061007200200064006f00630075006d0065006e0074006f0073002000640065002000410064006f0062006500200050004400460020007000610072006100200063006f006e00730065006700750069007200200069006d0070007200650073006900f3006e002000640065002000630061006c006900640061006400200065006e00200069006d0070007200650073006f0072006100730020006400650020006500730063007200690074006f00720069006f00200079002000680065007200720061006d00690065006e00740061007300200064006500200063006f00720072006500630063006900f3006e002e002000530065002000700075006500640065006e00200061006200720069007200200064006f00630075006d0065006e0074006f00730020005000440046002000630072006500610064006f007300200063006f006e0020004100630072006f006200610074002c002000410064006f00620065002000520065006100640065007200200035002e003000200079002000760065007200730069006f006e0065007300200070006f00730074006500720069006f007200650073002egt ETI ltFEFF004b00610073007500740061006700650020006e0065006900640020007300e4007400740065006900640020006c006100750061002d0020006a00610020006b006f006e00740072006f006c006c007400f5006d006d006900730065007000720069006e0074006500720069007400650020006a0061006f006b00730020006b00760061006c006900740065006500740073006500740065002000410064006f006200650020005000440046002d0064006f006b0075006d0065006e00740069006400650020006c006f006f006d006900730065006b0073002e002e00200020004c006f006f0064007500640020005000440046002d0064006f006b0075006d0065006e00740065002000730061006100740065002000610076006100640061002000700072006f006700720061006d006d006900640065006700610020004100630072006f0062006100740020006e0069006e0067002000410064006f00620065002000520065006100640065007200200035002e00300020006a00610020007500750065006d006100740065002000760065007200730069006f006f006e00690064006500670061002e000d000agt FRA ltFEFF005500740069006c006900730065007a00200063006500730020006f007000740069006f006e00730020006100660069006e00200064006500200063007200e900650072002000640065007300200064006f00630075006d0065006e00740073002000410064006f00620065002000500044004600200070006f007500720020006400650073002000e90070007200650075007600650073002000650074002000640065007300200069006d007000720065007300730069006f006e00730020006400650020006800610075007400650020007100750061006c0069007400e90020007300750072002000640065007300200069006d007000720069006d0061006e0074006500730020006400650020006200750072006500610075002e0020004c0065007300200064006f00630075006d0065006e00740073002000500044004600200063007200e900e90073002000700065007500760065006e0074002000ea0074007200650020006f007500760065007200740073002000640061006e00730020004100630072006f006200610074002c002000610069006e00730069002000710075002700410064006f00620065002000520065006100640065007200200035002e0030002000650074002000760065007200730069006f006e007300200075006c007400e90072006900650075007200650073002egt GRE ltFEFF03a703c103b703c303b903bc03bf03c003bf03b903ae03c303c403b5002003b103c503c403ad03c2002003c403b903c2002003c103c503b803bc03af03c303b503b903c2002003b303b903b1002003bd03b1002003b403b703bc03b903bf03c503c103b303ae03c303b503c403b5002003ad03b303b303c103b103c603b1002000410064006f006200650020005000440046002003b303b903b1002003b503ba03c403cd03c003c903c303b7002003c003bf03b903cc03c403b703c403b103c2002003c303b5002003b503ba03c403c503c003c903c403ad03c2002003b303c103b103c603b503af03bf03c5002003ba03b103b9002003b403bf03ba03b903bc03b103c303c403ad03c2002e0020002003a403b10020005000440046002003ad03b303b303c103b103c603b1002003c003bf03c5002003ad03c703b503c403b5002003b403b703bc03b903bf03c503c103b303ae03c303b503b9002003bc03c003bf03c103bf03cd03bd002003bd03b1002003b103bd03bf03b903c703c403bf03cd03bd002003bc03b5002003c403bf0020004100630072006f006200610074002c002003c403bf002000410064006f006200650020005200650061006400650072002000200035002e0030002003ba03b103b9002003bc03b503c403b103b303b503bd03ad03c303c403b503c103b503c2002003b503ba03b403cc03c303b503b903c2002egt HEB ltFEFF05D405E905EA05DE05E905D5002005D105D405D205D305E805D505EA002005D005DC05D4002005DB05D305D9002005DC05D905E605D505E8002005DE05E105DE05DB05D9002000410064006F006200650020005000440046002005E205D105D505E8002005D405D305E405E105D4002005D005D905DB05D505EA05D905EA002005D105DE05D305E405E105D505EA002005E905D505DC05D705E005D905D505EA002005D505DB05DC05D9002005D405D205D405D4002E002005DE05E105DE05DB05D9002005D4002D005000440046002005E905E005D505E605E805D905DD002005E005D905EA05E005D905DD002005DC05E405EA05D905D705D4002005D105D005DE05E605E205D505EA0020004100630072006F006200610074002005D5002D00410064006F00620065002000520065006100640065007200200035002E0030002005D505D205E805E105D005D505EA002005DE05EA05E705D305DE05D505EA002005D905D505EA05E8002Egt HRV ltFEFF005a00610020007300740076006100720061006e006a0065002000410064006f00620065002000500044004600200064006f006b0075006d0065006e0061007400610020007a00610020006b00760061006c00690074006500740061006e0020006900730070006900730020006e006100200070006900730061010d0069006d006100200069006c0069002000700072006f006f006600650072002000750072006501110061006a0069006d0061002e00200020005300740076006f00720065006e0069002000500044004600200064006f006b0075006d0065006e007400690020006d006f006700750020007300650020006f00740076006f00720069007400690020004100630072006f00620061007400200069002000410064006f00620065002000520065006100640065007200200035002e0030002000690020006b00610073006e0069006a0069006d0020007600650072007a0069006a0061006d0061002egt HUN ltFEFF004d0069006e0151007300e9006700690020006e0079006f006d00610074006f006b0020006b00e90073007a00ed007400e9007300e900680065007a002000610073007a00740061006c00690020006e0079006f006d00740061007400f3006b006f006e002000e9007300200070007200f300620061006e0079006f006d00f3006b006f006e00200065007a0065006b006b0065006c0020006100200062006500e1006c006c00ed007400e10073006f006b006b0061006c002c00200068006f007a007a006f006e0020006c00e9007400720065002000410064006f00620065002000500044004600200064006f006b0075006d0065006e00740075006d006f006b00610074002e0020002000410020006c00e90074007200650068006f007a006f00740074002000500044004600200064006f006b0075006d0065006e00740075006d006f006b00200061007a0020004100630072006f006200610074002c00200061007a002000410064006f00620065002000520065006100640065007200200035002e0030002000e9007300200061007a002000610074007400f3006c0020006b00e9007301510062006200690020007600650072007a006900f3006b006b0061006c00200020006e00790069007400680061007400f3006b0020006d00650067002egt ITA ltFEFF005500740069006c0069007a007a006100720065002000710075006500730074006500200069006d0070006f007300740061007a0069006f006e00690020007000650072002000630072006500610072006500200064006f00630075006d0065006e00740069002000410064006f006200650020005000440046002000700065007200200075006e00610020007300740061006d007000610020006400690020007100750061006c0069007400e00020007300750020007300740061006d00700061006e0074006900200065002000700072006f006f0066006500720020006400650073006b0074006f0070002e0020004900200064006f00630075006d0065006e007400690020005000440046002000630072006500610074006900200070006f00730073006f006e006f0020006500730073006500720065002000610070006500720074006900200063006f006e0020004100630072006f00620061007400200065002000410064006f00620065002000520065006100640065007200200035002e003000200065002000760065007200730069006f006e006900200073007500630063006500730073006900760065002egt JPN ltFEFF9ad854c18cea51fa529b7528002000410064006f0062006500200050004400460020658766f8306e4f5c6210306b4f7f75283057307e30593002537052376642306e753b8cea3092670059279650306b4fdd306430533068304c3067304d307e3059300230c730b930af30c830c330d730d730ea30f330bf3067306e53705237307e305f306f30d730eb30fc30d57528306b9069305730663044307e305930023053306e8a2d5b9a30674f5c62103055308c305f0020005000440046002030d530a130a430eb306f3001004100630072006f0062006100740020304a30883073002000410064006f00620065002000520065006100640065007200200035002e003000204ee5964d3067958b304f30533068304c3067304d307e30593002gt KOR ltFEFFc7740020c124c815c7440020c0acc6a9d558c5ec0020b370c2a4d06cd0d10020d504b9b0d1300020bc0f0020ad50c815ae30c5d0c11c0020ace0d488c9c8b85c0020c778c1c4d560002000410064006f0062006500200050004400460020bb38c11cb97c0020c791c131d569b2c8b2e4002e0020c774b807ac8c0020c791c131b41c00200050004400460020bb38c11cb2940020004100630072006f0062006100740020bc0f002000410064006f00620065002000520065006100640065007200200035002e00300020c774c0c1c5d0c11c0020c5f40020c2180020c788c2b5b2c8b2e4002egt LTH ltFEFF004e006100750064006f006b0069007400650020016100690075006f007300200070006100720061006d006500740072007500730020006e006f0072011700640061006d00690020006b0075007200740069002000410064006f00620065002000500044004600200064006f006b0075006d0065006e007400750073002c0020006b007500720069006500200073006b00690072007400690020006b006f006b0079006200690161006b0061006900200073007000610075007300640069006e007400690020007300740061006c0069006e0069006100690073002000690072002000620061006e00640079006d006f00200073007000610075007300640069006e007400750076006100690073002e0020002000530075006b0075007200740069002000500044004600200064006f006b0075006d0065006e007400610069002000670061006c006900200062016b007400690020006100740069006400610072006f006d00690020004100630072006f006200610074002000690072002000410064006f00620065002000520065006100640065007200200035002e0030002000610072002000760117006c00650073006e0117006d00690073002000760065007200730069006a006f006d00690073002egt LVI ltFEFF0049007a006d0061006e0074006f006a00690065007400200161006f00730020006900650073007400610074012b006a0075006d00750073002c0020006c0061006900200069007a0076006500690064006f00740075002000410064006f00620065002000500044004600200064006f006b0075006d0065006e0074007500730020006b00760061006c0069007400610074012b0076006100690020006400720075006b010101610061006e00610069002000610072002000670061006c006400610020007000720069006e00740065007200690065006d00200075006e0020007000610072006100750067006e006f00760069006c006b0075006d0075002000690065007300700069006500640113006a00690065006d002e00200049007a0076006500690064006f006a006900650074002000500044004600200064006f006b0075006d0065006e007400750073002c0020006b006f002000760061007200200061007400760113007200740020006100720020004100630072006f00620061007400200075006e002000410064006f00620065002000520065006100640065007200200035002e0030002c0020006b0101002000610072012b00200074006f0020006a00610075006e0101006b0101006d002000760065007200730069006a0101006d002egt NLD (Gebruik deze instellingen om Adobe PDF-documenten te maken voor kwaliteitsafdrukken op desktopprinters en proofers De gemaakte PDF-documenten kunnen worden geopend met Acrobat en Adobe Reader 50 en hoger) NOR ltFEFF004200720075006b00200064006900730073006500200069006e006e007300740069006c006c0069006e00670065006e0065002000740069006c002000e50020006f0070007000720065007400740065002000410064006f006200650020005000440046002d0064006f006b0075006d0065006e00740065007200200066006f00720020007500740073006b00720069006600740020006100760020006800f800790020006b00760061006c00690074006500740020007000e500200062006f007200640073006b0072006900760065007200200065006c006c00650072002000700072006f006f006600650072002e0020005000440046002d0064006f006b0075006d0065006e00740065006e00650020006b0061006e002000e50070006e00650073002000690020004100630072006f00620061007400200065006c006c00650072002000410064006f00620065002000520065006100640065007200200035002e003000200065006c006c00650072002000730065006e006500720065002egt POL ltFEFF0055007300740061007700690065006e0069006100200064006f002000740077006f0072007a0065006e0069006100200064006f006b0075006d0065006e007400f3007700200050004400460020007a002000770079017c0073007a010500200072006f007a0064007a00690065006c0063007a006f015b0063006901050020006f006200720061007a006b00f30077002c0020007a0061007000650077006e00690061006a0105006301050020006c006500700073007a01050020006a0061006b006f015b0107002000770079006400720075006b00f30077002e00200044006f006b0075006d0065006e0074007900200050004400460020006d006f017c006e00610020006f007400770069006500720061010700200077002000700072006f006700720061006d006900650020004100630072006f00620061007400200069002000410064006f00620065002000520065006100640065007200200035002e0030002000690020006e006f00770073007a0079006d002egt PTB ltFEFF005500740069006c0069007a006500200065007300730061007300200063006f006e00660069006700750072006100e700f50065007300200064006500200066006f0072006d00610020006100200063007200690061007200200064006f00630075006d0065006e0074006f0073002000410064006f0062006500200050004400460020007000610072006100200069006d0070007200650073007300f5006500730020006400650020007100750061006c0069006400610064006500200065006d00200069006d00700072006500730073006f0072006100730020006400650073006b0074006f00700020006500200064006900730070006f00730069007400690076006f0073002000640065002000700072006f00760061002e0020004f007300200064006f00630075006d0065006e0074006f00730020005000440046002000630072006900610064006f007300200070006f00640065006d0020007300650072002000610062006500720074006f007300200063006f006d0020006f0020004100630072006f006200610074002000650020006f002000410064006f00620065002000520065006100640065007200200035002e0030002000650020007600650072007300f50065007300200070006f00730074006500720069006f007200650073002egt RUM ltFEFF005500740069006c0069007a00610163006900200061006300650073007400650020007300650074010300720069002000700065006e007400720075002000610020006300720065006100200064006f00630075006d0065006e00740065002000410064006f006200650020005000440046002000700065006e007400720075002000740069007001030072006900720065002000640065002000630061006c006900740061007400650020006c006100200069006d007000720069006d0061006e007400650020006400650073006b0074006f00700020015f0069002000700065006e0074007200750020007600650072006900660069006300610074006f00720069002e002000200044006f00630075006d0065006e00740065006c00650020005000440046002000630072006500610074006500200070006f00740020006600690020006400650073006300680069007300650020006300750020004100630072006f006200610074002c002000410064006f00620065002000520065006100640065007200200035002e00300020015f00690020007600650072007300690075006e0069006c006500200075006c0074006500720069006f006100720065002egt RUS ltFEFF04180441043f043e043b044c04370443043904420435002004340430043d043d044b04350020043d0430044104420440043e0439043a043800200434043b044f00200441043e043704340430043d0438044f00200434043e043a0443043c0435043d0442043e0432002000410064006f006200650020005000440046002c0020043f044004350434043d04300437043d043004470435043d043d044b044500200434043b044f0020043a0430044704350441044204320435043d043d043e04390020043f043504470430044204380020043d04300020043d043004410442043e043b044c043d044b04450020043f04400438043d044204350440043004450020043800200443044104420440043e04390441044204320430044500200434043b044f0020043f043e043b044304470435043d0438044f0020043f0440043e0431043d044b04450020043e0442044204380441043a043e0432002e002000200421043e043704340430043d043d044b04350020005000440046002d0434043e043a0443043c0435043d0442044b0020043c043e0436043d043e00200020043e0442043a0440044b043204300442044c002004410020043f043e043c043e0449044c044e0020004100630072006f00620061007400200438002000410064006f00620065002000520065006100640065007200200035002e00300020043800200431043e043b043504350020043f043e04370434043d043804450020043204350440044104380439002egt SKY ltFEFF0054006900650074006f0020006e006100730074006100760065006e0069006100200070006f0075017e0069007400650020006e00610020007600790074007600e100720061006e0069006500200064006f006b0075006d0065006e0074006f0076002000410064006f00620065002000500044004600200070007200650020006b00760061006c00690074006e00fa00200074006c0061010d0020006e0061002000730074006f006c006e00fd0063006800200074006c0061010d00690061007201480061006300680020006100200074006c0061010d006f007600fd006300680020007a006100720069006100640065006e0069006100630068002e00200056007900740076006f00720065006e00e900200064006f006b0075006d0065006e007400790020005000440046002000620075006400650020006d006f017e006e00e90020006f00740076006f00720069016500200076002000700072006f006700720061006d006f006300680020004100630072006f00620061007400200061002000410064006f00620065002000520065006100640065007200200035002e0030002000610020006e006f0076016100ed00630068002e000d000agt SLV ltFEFF005400650020006e006100730074006100760069007400760065002000750070006f0072006100620069007400650020007a00610020007500730074007600610072006a0061006e006a006500200064006f006b0075006d0065006e0074006f0076002000410064006f0062006500200050004400460020007a00610020006b0061006b006f0076006f00730074006e006f0020007400690073006b0061006e006a00650020006e00610020006e0061006d0069007a006e006900680020007400690073006b0061006c006e0069006b0069006800200069006e0020007000720065007600650072006a0061006c006e0069006b00690068002e00200020005500730074007600610072006a0065006e006500200064006f006b0075006d0065006e0074006500200050004400460020006a00650020006d006f0067006f010d00650020006f0064007000720065007400690020007a0020004100630072006f00620061007400200069006e002000410064006f00620065002000520065006100640065007200200035002e003000200069006e0020006e006f00760065006a01610069006d002egt SUO ltFEFF004b00e40079007400e40020006e00e40069007400e4002000610073006500740075006b007300690061002c0020006b0075006e0020006c0075006f0074002000410064006f0062006500200050004400460020002d0064006f006b0075006d0065006e007400740065006a00610020006c0061006100640075006b006100730074006100200074007900f6007000f60079007400e400740075006c006f0073007400750073007400610020006a00610020007600650064006f007300740075007300740061002000760061007200740065006e002e00200020004c0075006f0064007500740020005000440046002d0064006f006b0075006d0065006e00740069007400200076006f0069006400610061006e0020006100760061007400610020004100630072006f0062006100740069006c006c00610020006a0061002000410064006f00620065002000520065006100640065007200200035002e0030003a006c006c00610020006a006100200075007500640065006d006d0069006c006c0061002egt SVE ltFEFF0041006e007600e4006e00640020006400650020006800e4007200200069006e0073007400e4006c006c006e0069006e006700610072006e00610020006f006d002000640075002000760069006c006c00200073006b006100700061002000410064006f006200650020005000440046002d0064006f006b0075006d0065006e00740020006600f600720020006b00760061006c00690074006500740073007500740073006b0072006900660074006500720020007000e5002000760061006e006c00690067006100200073006b0072006900760061007200650020006f006300680020006600f600720020006b006f007200720065006b007400750072002e002000200053006b006100700061006400650020005000440046002d0064006f006b0075006d0065006e00740020006b0061006e002000f600700070006e00610073002000690020004100630072006f0062006100740020006f00630068002000410064006f00620065002000520065006100640065007200200035002e00300020006f00630068002000730065006e006100720065002egt TUR ltFEFF004d00610073006100fc0073007400fc002000790061007a013100630131006c006100720020007600650020006200610073006b01310020006d0061006b0069006e0065006c006500720069006e006400650020006b0061006c006900740065006c00690020006200610073006b013100200061006d0061006301310079006c0061002000410064006f006200650020005000440046002000620065006c00670065006c0065007200690020006f006c0075015f007400750072006d0061006b0020006900e70069006e00200062007500200061007900610072006c0061007201310020006b0075006c006c0061006e0131006e002e00200020004f006c0075015f0074007500720075006c0061006e0020005000440046002000620065006c00670065006c0065007200690020004100630072006f006200610074002000760065002000410064006f00620065002000520065006100640065007200200035002e003000200076006500200073006f006e0072006100730131006e00640061006b00690020007300fc007200fc006d006c00650072006c00650020006100e70131006c006100620069006c00690072002egt UKR ltFEFF04120438043a043e0440043804410442043e043204430439044204350020044604560020043f043004400430043c043504420440043800200434043b044f0020044104420432043e04400435043d043d044f00200434043e043a0443043c0435043d044204560432002000410064006f006200650020005000440046002c0020044f043a04560020043d04300439043a04400430044904350020043f045604340445043e0434044f0442044c00200434043b044f0020043204380441043e043a043e044f043a04560441043d043e0433043e0020043404400443043a04430020043d04300020043d0430044104420456043b044c043d043804450020043f04400438043d044204350440043004450020044204300020043f04400438044104420440043e044f044500200434043b044f0020043e044204400438043c0430043d043d044f0020043f0440043e0431043d0438044500200437043e04310440043004360435043d044c002e00200020042104420432043e04400435043d045600200434043e043a0443043c0435043d0442043800200050004400460020043c043e0436043d04300020043204560434043a0440043804420438002004430020004100630072006f006200610074002004420430002000410064006f00620065002000520065006100640065007200200035002e0030002004300431043e0020043f04560437043d04560448043e04570020043204350440044104560457002egt ENU ltFEFF005500730065002000740068006500730065002000730065007400740069006e0067007300200074006f0020006300720065006100740065002000410064006f0062006500200050004400460020006200610073006500640020006f006e0020007400680065002000640065006600610075006c0074002000580069006c0069006e007800200070006100720061006d0065007400650072002000730065007400740069006e0067007300200064006500660069006e00650064002000620079002000500053003000300034002e002000460069006c0065006e0061006d0065003a002000780069006c0069006e0078002e006a006f0062006f007000740069006f006e0073002e000d0043006f006d007000610074006100620069006c006900740079003a0020004100630072006f00620061007400200037002e0030002000280050004400460031002e00360029002e0020005500730065003a00200046006f00720020006300720065006100740069006e0067002000460069006e0061006c002c0020005000750062006c0069007300680065006400200050004400460073002e000d0043007200650061007400650064002000310030002f00310032002f003100310020006200790020006a0066006f007200730074002egt gtgt Namespace [ (Adobe) (Common) (10) ] OtherNamespaces [ ltlt AsReaderSpreads false CropImagesToFrames true ErrorControl WarnAndContinue FlattenerIgnoreSpreadOverrides false IncludeGuidesGrids false IncludeNonPrinting false IncludeSlug false Namespace [ (Adobe) (InDesign) (40) ] OmitPlacedBitmaps false OmitPlacedEPS false OmitPlacedPDF false SimulateOverprint Legacy gtgt ltlt AddBleedMarks false AddColorBars false AddCropMarks false AddPageInfo false AddRegMarks false ConvertColors NoConversion DestinationProfileName () DestinationProfileSelector NA Downsample16BitImages true FlattenerPreset ltlt PresetSelector MediumResolution gtgt FormElements false GenerateStructure true IncludeBookmarks false IncludeHyperlinks false IncludeInteractive false IncludeLayers false IncludeProfiles true MultimediaHandling UseObjectSettings Namespace [ (Adobe) (CreativeSuite) (20) ] PDFXOutputIntentProfileSelector NA PreserveEditing true UntaggedCMYKHandling LeaveUntagged UntaggedRGBHandling LeaveUntagged UseDocumentBleed false gtgt ]gtgt setdistillerparamsltlt HWResolution [1200 1200] PageSize [612000 792000]gtgt setpagedevice

Page 37: Xilinx UG883 Kintex-7 FPGA KC705 Evaluation Kit Getting ...€¦ · Updated step 2 and step 3 in Requirements to Get Started, page 14. ... KC705 Getting Started Guide 9 UG883 (v3.0)

KC705 Getting Started Guide wwwxilinxcom 37UG883 (v30) April 16 2013

Appendix B

Warranty

THIS LIMITED WARRANTY applies solely to standard hardware development boards and standard hardware programming cables manufactured by or on behalf of Xilinx (ldquoDevelopment Systemsrdquo) Subject to the limitations herein Xilinx warrants that Development Systems when delivered by Xilinx or its authorized distributor for ninety (90) days following the delivery date will be free from defects in material and workmanship and will substantially conform to Xilinx publicly available specifications for such products in effect at the time of delivery This limited warranty excludes (i) engineering samples or beta versions of Development Systems (which are provided ldquoAS ISrdquo without warranty) (ii) design defects or errors known as ldquoerratardquo (iii) Development Systems procured through unauthorized third parties and (iv) Development Systems that have been subject to misuse mishandling accident alteration neglect unauthorized repair or installation Furthermore this limited warranty shall not apply to the use of covered products in an application or environment that is not within Xilinx specifications or in the event of any act error neglect or default of Customer For any breach by Xilinx of this limited warranty the exclusive remedy of Customer and the sole liability of Xilinx shall be at the option of Xilinx to replace or repair the affected products or to refund to Customer the price of the affected products The availability of replacement products is subject to product discontinuation policies at Xilinx Customer may not return product without first obtaining a customer return material authorization (RMA) number from XilinxTHE WARRANTIES SET FORTH HEREIN ARE EXCLUSIVE XILINX DISCLAIMS ALL OTHER WARRANTIES WHETHER EXPRESS IMPLIED OR STATUTORY INCLUDING WITHOUT LIMITATION ANY WARRANTY OF MERCHANTABILITY FITNESS FOR A PARTICULAR PURPOSE OR NON-INFRINGEMENT AND ANY WARRANTY THAT MAY ARISE FROM COURSE OF DEALING COURSE OF PERFORMANCE OR USAGE OF TRADE (200810)

Do not throw Xilinx products marked with the ldquocrossed out wheeled binrdquo in the trash Directive 200296EC on waste electrical and electronic equipment (WEEE) requires the separate collection of WEEE Your cooperation is essential in ensuring the proper management of WEEE and the protection of the environment and human health from potential effects arising from the presence of hazardous substances in WEEE Return the marked products to Xilinx for proper disposal Further information and instructions for free-of-charge return available at httpwwwxilinxcomehsweeehtm

38 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Appendix B Warranty

  • Kintex-7 FPGA KC705 Evaluation Kit (Vivado Design Suite 20131)
    • Revision History
    • Table of Contents
    • Getting Started with the Kintex-7 FPGA KC705 Evaluation Kit (Vivado Design Suite 20131)
      • Introduction
      • Kit Contents
      • Basic Hardware Bring-up Using the BIST
        • Hardware Test Setup Requirements
          • Hardware Test Board Setup Requirements
            • Hardware Bring-Up
            • Run the BIST Application
              • AMS Bring-up with the AMS101 Evaluation Card
                • Requirements to Get Started
                • Evaluating AMS
                  • Advanced Bring-up Using the Base Targeted Reference Design
                    • Components
                    • Hardware Test Setup Requirements
                    • TRD Demonstration Setup
                    • Evaluating the Kintex-7 FPGA Base TRD
                        • Additional Resources
                          • Xilinx Resources
                          • Solution Centers
                          • Further Resources
                          • References
                            • Warranty
                                • ltlt ASCII85EncodePages false AllowTransparency false AutoPositionEPSFiles true AutoRotatePages All Binding Left CalGrayProfile (Dot Gain 20) CalRGBProfile (sRGB IEC61966-21) CalCMYKProfile (US Web Coated 050SWOP051 v2) sRGBProfile (sRGB IEC61966-21) CannotEmbedFontPolicy Warning CompatibilityLevel 16 CompressObjects Tags CompressPages true ConvertImagesToIndexed true PassThroughJPEGImages true CreateJobTicket false DefaultRenderingIntent Default DetectBlends true DetectCurves 00000 ColorConversionStrategy LeaveColorUnchanged DoThumbnails false EmbedAllFonts true EmbedOpenType false ParseICCProfilesInComments true EmbedJobOptions true DSCReportingLevel 0 EmitDSCWarnings false EndPage -1 ImageMemory 1048576 LockDistillerParams true MaxSubsetPct 100 Optimize true OPM 1 ParseDSCComments true ParseDSCCommentsForDocInfo true PreserveCopyPage true PreserveDICMYKValues true PreserveEPSInfo true PreserveFlatness true PreserveHalftoneInfo false PreserveOPIComments false PreserveOverprintSettings true StartPage 1 SubsetFonts true TransferFunctionInfo Apply UCRandBGInfo Preserve UsePrologue false ColorSettingsFile () AlwaysEmbed [ true ] NeverEmbed [ true ] AntiAliasColorImages false CropColorImages true ColorImageMinResolution 300 ColorImageMinResolutionPolicy OK DownsampleColorImages false ColorImageDownsampleType Bicubic ColorImageResolution 300 ColorImageDepth -1 ColorImageMinDownsampleDepth 1 ColorImageDownsampleThreshold 150000 EncodeColorImages true ColorImageFilter DCTEncode AutoFilterColorImages true ColorImageAutoFilterStrategy JPEG ColorACSImageDict ltlt QFactor 040 HSamples [1 1 1 1] VSamples [1 1 1 1] gtgt ColorImageDict ltlt QFactor 015 HSamples [1 1 1 1] VSamples [1 1 1 1] gtgt JPEG2000ColorACSImageDict ltlt TileWidth 256 TileHeight 256 Quality 30 gtgt JPEG2000ColorImageDict ltlt TileWidth 256 TileHeight 256 Quality 30 gtgt AntiAliasGrayImages false CropGrayImages true GrayImageMinResolution 300 GrayImageMinResolutionPolicy OK DownsampleGrayImages false GrayImageDownsampleType Bicubic GrayImageResolution 300 GrayImageDepth -1 GrayImageMinDownsampleDepth 2 GrayImageDownsampleThreshold 150000 EncodeGrayImages true GrayImageFilter DCTEncode AutoFilterGrayImages true GrayImageAutoFilterStrategy JPEG GrayACSImageDict ltlt QFactor 040 HSamples [1 1 1 1] VSamples [1 1 1 1] gtgt GrayImageDict ltlt QFactor 015 HSamples [1 1 1 1] VSamples [1 1 1 1] gtgt JPEG2000GrayACSImageDict ltlt TileWidth 256 TileHeight 256 Quality 30 gtgt JPEG2000GrayImageDict ltlt TileWidth 256 TileHeight 256 Quality 30 gtgt AntiAliasMonoImages false CropMonoImages true MonoImageMinResolution 1200 MonoImageMinResolutionPolicy OK DownsampleMonoImages false MonoImageDownsampleType Bicubic MonoImageResolution 1200 MonoImageDepth -1 MonoImageDownsampleThreshold 150000 EncodeMonoImages true MonoImageFilter CCITTFaxEncode MonoImageDict ltlt K -1 gtgt AllowPSXObjects false CheckCompliance [ None ] PDFX1aCheck false PDFX3Check false PDFXCompliantPDFOnly false PDFXNoTrimBoxError true PDFXTrimBoxToMediaBoxOffset [ 000000 000000 000000 000000 ] PDFXSetBleedBoxToMediaBox true PDFXBleedBoxToTrimBoxOffset [ 000000 000000 000000 000000 ] PDFXOutputIntentProfile (None) PDFXOutputConditionIdentifier () PDFXOutputCondition () PDFXRegistryName () PDFXTrapped False CreateJDFFile false Description ltlt ARA ltFEFF06270633062A062E062F0645002006470630064700200627064406250639062F0627062F0627062A002006440625064606340627062100200648062B062706260642002000410064006F00620065002000500044004600200645062A0648062706410642062900200644064406370628062706390629002006300627062A002006270644062C0648062F0629002006270644063906270644064A06290020064506460020062E06440627064400200627064406370627062806390627062A00200627064406450643062A0628064A062900200623064800200623062C06470632062900200625062C06310627062100200627064406280631064806410627062A061B0020064A06450643064600200641062A062D00200648062B0627062606420020005000440046002006270644064506460634062306290020062806270633062A062E062F062706450020004100630072006F0062006100740020064800410064006F006200650020005200650061006400650072002006250635062F0627063100200035002E0030002006480627064406250635062F062706310627062A0020062706440623062D062F062B002E0020064506390020005000440046002F0041060C0020062706440631062C062706210020064506310627062C063906290020062F0644064A0644002006450633062A062E062F06450020004100630072006F006200610074061B0020064A06450643064600200641062A062D00200648062B0627062606420020005000440046002006270644064506460634062306290020062806270633062A062E062F062706450020004100630072006F0062006100740020064800410064006F006200650020005200650061006400650072002006250635062F0627063100200035002E0030002006480627064406250635062F062706310627062A0020062706440623062D062F062B002Egt BGR ltFEFF04180437043f043e043b043704320430043904420435002004420435043704380020043d0430044104420440043e0439043a0438002c00200437043000200434043000200441044a0437043404300432043004420435002000410064006f00620065002000500044004600200434043e043a0443043c0435043d044204380020043704300020043a0430044704350441044204320435043d0020043f04350447043004420020043d04300020043d043004410442043e043b043d04380020043f04400438043d04420435044004380020043800200443044104420440043e043904410442043204300020043704300020043f04350447043004420020043d04300020043f0440043e0431043d04380020044004300437043f0435044704300442043a0438002e002000200421044a04370434043004340435043d043804420435002000500044004600200434043e043a0443043c0435043d044204380020043c043e0433043004420020043404300020044104350020043e0442043204300440044f0442002004410020004100630072006f00620061007400200438002000410064006f00620065002000520065006100640065007200200035002e00300020043800200441043b0435043404320430044904380020043204350440044104380438002egt CHS ltFEFF4f7f75288fd94e9b8bbe5b9a521b5efa7684002000500044004600206587686353ef901a8fc7684c976262535370673a548c002000700072006f006f00660065007200208fdb884c9ad88d2891cf62535370300260a853ef4ee54f7f75280020004100630072006f0062006100740020548c002000410064006f00620065002000520065006100640065007200200035002e003000204ee553ca66f49ad87248672c676562535f00521b5efa768400200050004400460020658768633002gt CHT ltFEFF4f7f752890194e9b8a2d7f6e5efa7acb7684002000410064006f006200650020005000440046002065874ef653ef5728684c9762537088686a5f548c002000700072006f006f00660065007200204e0a73725f979ad854c18cea7684521753706548679c300260a853ef4ee54f7f75280020004100630072006f0062006100740020548c002000410064006f00620065002000520065006100640065007200200035002e003000204ee553ca66f49ad87248672c4f86958b555f5df25efa7acb76840020005000440046002065874ef63002gt CZE ltFEFF005400610074006f0020006e006100730074006100760065006e00ed00200070006f0075017e0069006a007400650020006b0020007600790074007600e101590065006e00ed00200064006f006b0075006d0065006e0074016f002000410064006f006200650020005000440046002000700072006f0020006b00760061006c00690074006e00ed0020007400690073006b0020006e0061002000730074006f006c006e00ed006300680020007400690073006b00e10072006e00e100630068002000610020006e00e1007400690073006b006f007600fd006300680020007a0061015900ed007a0065006e00ed00630068002e002000200056007900740076006f01590065006e00e900200064006f006b0075006d0065006e007400790020005000440046002000620075006400650020006d006f017e006e00e90020006f007400650076015900ed007400200076002000700072006f006700720061006d0065006300680020004100630072006f00620061007400200061002000410064006f00620065002000520065006100640065007200200035002e0030002000610020006e006f0076011b006a016100ed00630068002egt DAN ltFEFF004200720075006700200069006e0064007300740069006c006c0069006e006700650072006e0065002000740069006c0020006100740020006f007000720065007400740065002000410064006f006200650020005000440046002d0064006f006b0075006d0065006e007400650072002000740069006c0020006b00760061006c00690074006500740073007500640073006b007200690076006e0069006e006700200065006c006c006500720020006b006f007200720065006b007400750072006c00e60073006e0069006e0067002e0020004400650020006f007000720065007400740065006400650020005000440046002d0064006f006b0075006d0065006e0074006500720020006b0061006e002000e50062006e00650073002000690020004100630072006f00620061007400200065006c006c006500720020004100630072006f006200610074002000520065006100640065007200200035002e00300020006f00670020006e0079006500720065002egt DEU ltFEFF00560065007200770065006e00640065006e0020005300690065002000640069006500730065002000450069006e007300740065006c006c0075006e00670065006e0020007a0075006d002000450072007300740065006c006c0065006e00200076006f006e002000410064006f006200650020005000440046002d0044006f006b0075006d0065006e00740065006e002c00200076006f006e002000640065006e0065006e002000530069006500200068006f00630068007700650072007400690067006500200044007200750063006b006500200061007500660020004400650073006b0074006f0070002d0044007200750063006b00650072006e00200075006e0064002000500072006f006f0066002d00470065007200e400740065006e002000650072007a0065007500670065006e0020006d00f60063006800740065006e002e002000450072007300740065006c006c007400650020005000440046002d0044006f006b0075006d0065006e007400650020006b00f6006e006e0065006e0020006d006900740020004100630072006f00620061007400200075006e0064002000410064006f00620065002000520065006100640065007200200035002e00300020006f0064006500720020006800f600680065007200200067006500f600660066006e00650074002000770065007200640065006e002egt ESP ltFEFF005500740069006c0069006300650020006500730074006100200063006f006e0066006900670075007200610063006900f3006e0020007000610072006100200063007200650061007200200064006f00630075006d0065006e0074006f0073002000640065002000410064006f0062006500200050004400460020007000610072006100200063006f006e00730065006700750069007200200069006d0070007200650073006900f3006e002000640065002000630061006c006900640061006400200065006e00200069006d0070007200650073006f0072006100730020006400650020006500730063007200690074006f00720069006f00200079002000680065007200720061006d00690065006e00740061007300200064006500200063006f00720072006500630063006900f3006e002e002000530065002000700075006500640065006e00200061006200720069007200200064006f00630075006d0065006e0074006f00730020005000440046002000630072006500610064006f007300200063006f006e0020004100630072006f006200610074002c002000410064006f00620065002000520065006100640065007200200035002e003000200079002000760065007200730069006f006e0065007300200070006f00730074006500720069006f007200650073002egt ETI ltFEFF004b00610073007500740061006700650020006e0065006900640020007300e4007400740065006900640020006c006100750061002d0020006a00610020006b006f006e00740072006f006c006c007400f5006d006d006900730065007000720069006e0074006500720069007400650020006a0061006f006b00730020006b00760061006c006900740065006500740073006500740065002000410064006f006200650020005000440046002d0064006f006b0075006d0065006e00740069006400650020006c006f006f006d006900730065006b0073002e002e00200020004c006f006f0064007500640020005000440046002d0064006f006b0075006d0065006e00740065002000730061006100740065002000610076006100640061002000700072006f006700720061006d006d006900640065006700610020004100630072006f0062006100740020006e0069006e0067002000410064006f00620065002000520065006100640065007200200035002e00300020006a00610020007500750065006d006100740065002000760065007200730069006f006f006e00690064006500670061002e000d000agt FRA ltFEFF005500740069006c006900730065007a00200063006500730020006f007000740069006f006e00730020006100660069006e00200064006500200063007200e900650072002000640065007300200064006f00630075006d0065006e00740073002000410064006f00620065002000500044004600200070006f007500720020006400650073002000e90070007200650075007600650073002000650074002000640065007300200069006d007000720065007300730069006f006e00730020006400650020006800610075007400650020007100750061006c0069007400e90020007300750072002000640065007300200069006d007000720069006d0061006e0074006500730020006400650020006200750072006500610075002e0020004c0065007300200064006f00630075006d0065006e00740073002000500044004600200063007200e900e90073002000700065007500760065006e0074002000ea0074007200650020006f007500760065007200740073002000640061006e00730020004100630072006f006200610074002c002000610069006e00730069002000710075002700410064006f00620065002000520065006100640065007200200035002e0030002000650074002000760065007200730069006f006e007300200075006c007400e90072006900650075007200650073002egt GRE ltFEFF03a703c103b703c303b903bc03bf03c003bf03b903ae03c303c403b5002003b103c503c403ad03c2002003c403b903c2002003c103c503b803bc03af03c303b503b903c2002003b303b903b1002003bd03b1002003b403b703bc03b903bf03c503c103b303ae03c303b503c403b5002003ad03b303b303c103b103c603b1002000410064006f006200650020005000440046002003b303b903b1002003b503ba03c403cd03c003c903c303b7002003c003bf03b903cc03c403b703c403b103c2002003c303b5002003b503ba03c403c503c003c903c403ad03c2002003b303c103b103c603b503af03bf03c5002003ba03b103b9002003b403bf03ba03b903bc03b103c303c403ad03c2002e0020002003a403b10020005000440046002003ad03b303b303c103b103c603b1002003c003bf03c5002003ad03c703b503c403b5002003b403b703bc03b903bf03c503c103b303ae03c303b503b9002003bc03c003bf03c103bf03cd03bd002003bd03b1002003b103bd03bf03b903c703c403bf03cd03bd002003bc03b5002003c403bf0020004100630072006f006200610074002c002003c403bf002000410064006f006200650020005200650061006400650072002000200035002e0030002003ba03b103b9002003bc03b503c403b103b303b503bd03ad03c303c403b503c103b503c2002003b503ba03b403cc03c303b503b903c2002egt HEB ltFEFF05D405E905EA05DE05E905D5002005D105D405D205D305E805D505EA002005D005DC05D4002005DB05D305D9002005DC05D905E605D505E8002005DE05E105DE05DB05D9002000410064006F006200650020005000440046002005E205D105D505E8002005D405D305E405E105D4002005D005D905DB05D505EA05D905EA002005D105DE05D305E405E105D505EA002005E905D505DC05D705E005D905D505EA002005D505DB05DC05D9002005D405D205D405D4002E002005DE05E105DE05DB05D9002005D4002D005000440046002005E905E005D505E605E805D905DD002005E005D905EA05E005D905DD002005DC05E405EA05D905D705D4002005D105D005DE05E605E205D505EA0020004100630072006F006200610074002005D5002D00410064006F00620065002000520065006100640065007200200035002E0030002005D505D205E805E105D005D505EA002005DE05EA05E705D305DE05D505EA002005D905D505EA05E8002Egt HRV ltFEFF005a00610020007300740076006100720061006e006a0065002000410064006f00620065002000500044004600200064006f006b0075006d0065006e0061007400610020007a00610020006b00760061006c00690074006500740061006e0020006900730070006900730020006e006100200070006900730061010d0069006d006100200069006c0069002000700072006f006f006600650072002000750072006501110061006a0069006d0061002e00200020005300740076006f00720065006e0069002000500044004600200064006f006b0075006d0065006e007400690020006d006f006700750020007300650020006f00740076006f00720069007400690020004100630072006f00620061007400200069002000410064006f00620065002000520065006100640065007200200035002e0030002000690020006b00610073006e0069006a0069006d0020007600650072007a0069006a0061006d0061002egt HUN ltFEFF004d0069006e0151007300e9006700690020006e0079006f006d00610074006f006b0020006b00e90073007a00ed007400e9007300e900680065007a002000610073007a00740061006c00690020006e0079006f006d00740061007400f3006b006f006e002000e9007300200070007200f300620061006e0079006f006d00f3006b006f006e00200065007a0065006b006b0065006c0020006100200062006500e1006c006c00ed007400e10073006f006b006b0061006c002c00200068006f007a007a006f006e0020006c00e9007400720065002000410064006f00620065002000500044004600200064006f006b0075006d0065006e00740075006d006f006b00610074002e0020002000410020006c00e90074007200650068006f007a006f00740074002000500044004600200064006f006b0075006d0065006e00740075006d006f006b00200061007a0020004100630072006f006200610074002c00200061007a002000410064006f00620065002000520065006100640065007200200035002e0030002000e9007300200061007a002000610074007400f3006c0020006b00e9007301510062006200690020007600650072007a006900f3006b006b0061006c00200020006e00790069007400680061007400f3006b0020006d00650067002egt ITA ltFEFF005500740069006c0069007a007a006100720065002000710075006500730074006500200069006d0070006f007300740061007a0069006f006e00690020007000650072002000630072006500610072006500200064006f00630075006d0065006e00740069002000410064006f006200650020005000440046002000700065007200200075006e00610020007300740061006d007000610020006400690020007100750061006c0069007400e00020007300750020007300740061006d00700061006e0074006900200065002000700072006f006f0066006500720020006400650073006b0074006f0070002e0020004900200064006f00630075006d0065006e007400690020005000440046002000630072006500610074006900200070006f00730073006f006e006f0020006500730073006500720065002000610070006500720074006900200063006f006e0020004100630072006f00620061007400200065002000410064006f00620065002000520065006100640065007200200035002e003000200065002000760065007200730069006f006e006900200073007500630063006500730073006900760065002egt JPN ltFEFF9ad854c18cea51fa529b7528002000410064006f0062006500200050004400460020658766f8306e4f5c6210306b4f7f75283057307e30593002537052376642306e753b8cea3092670059279650306b4fdd306430533068304c3067304d307e3059300230c730b930af30c830c330d730d730ea30f330bf3067306e53705237307e305f306f30d730eb30fc30d57528306b9069305730663044307e305930023053306e8a2d5b9a30674f5c62103055308c305f0020005000440046002030d530a130a430eb306f3001004100630072006f0062006100740020304a30883073002000410064006f00620065002000520065006100640065007200200035002e003000204ee5964d3067958b304f30533068304c3067304d307e30593002gt KOR ltFEFFc7740020c124c815c7440020c0acc6a9d558c5ec0020b370c2a4d06cd0d10020d504b9b0d1300020bc0f0020ad50c815ae30c5d0c11c0020ace0d488c9c8b85c0020c778c1c4d560002000410064006f0062006500200050004400460020bb38c11cb97c0020c791c131d569b2c8b2e4002e0020c774b807ac8c0020c791c131b41c00200050004400460020bb38c11cb2940020004100630072006f0062006100740020bc0f002000410064006f00620065002000520065006100640065007200200035002e00300020c774c0c1c5d0c11c0020c5f40020c2180020c788c2b5b2c8b2e4002egt LTH ltFEFF004e006100750064006f006b0069007400650020016100690075006f007300200070006100720061006d006500740072007500730020006e006f0072011700640061006d00690020006b0075007200740069002000410064006f00620065002000500044004600200064006f006b0075006d0065006e007400750073002c0020006b007500720069006500200073006b00690072007400690020006b006f006b0079006200690161006b0061006900200073007000610075007300640069006e007400690020007300740061006c0069006e0069006100690073002000690072002000620061006e00640079006d006f00200073007000610075007300640069006e007400750076006100690073002e0020002000530075006b0075007200740069002000500044004600200064006f006b0075006d0065006e007400610069002000670061006c006900200062016b007400690020006100740069006400610072006f006d00690020004100630072006f006200610074002000690072002000410064006f00620065002000520065006100640065007200200035002e0030002000610072002000760117006c00650073006e0117006d00690073002000760065007200730069006a006f006d00690073002egt LVI ltFEFF0049007a006d0061006e0074006f006a00690065007400200161006f00730020006900650073007400610074012b006a0075006d00750073002c0020006c0061006900200069007a0076006500690064006f00740075002000410064006f00620065002000500044004600200064006f006b0075006d0065006e0074007500730020006b00760061006c0069007400610074012b0076006100690020006400720075006b010101610061006e00610069002000610072002000670061006c006400610020007000720069006e00740065007200690065006d00200075006e0020007000610072006100750067006e006f00760069006c006b0075006d0075002000690065007300700069006500640113006a00690065006d002e00200049007a0076006500690064006f006a006900650074002000500044004600200064006f006b0075006d0065006e007400750073002c0020006b006f002000760061007200200061007400760113007200740020006100720020004100630072006f00620061007400200075006e002000410064006f00620065002000520065006100640065007200200035002e0030002c0020006b0101002000610072012b00200074006f0020006a00610075006e0101006b0101006d002000760065007200730069006a0101006d002egt NLD (Gebruik deze instellingen om Adobe PDF-documenten te maken voor kwaliteitsafdrukken op desktopprinters en proofers De gemaakte PDF-documenten kunnen worden geopend met Acrobat en Adobe Reader 50 en hoger) NOR ltFEFF004200720075006b00200064006900730073006500200069006e006e007300740069006c006c0069006e00670065006e0065002000740069006c002000e50020006f0070007000720065007400740065002000410064006f006200650020005000440046002d0064006f006b0075006d0065006e00740065007200200066006f00720020007500740073006b00720069006600740020006100760020006800f800790020006b00760061006c00690074006500740020007000e500200062006f007200640073006b0072006900760065007200200065006c006c00650072002000700072006f006f006600650072002e0020005000440046002d0064006f006b0075006d0065006e00740065006e00650020006b0061006e002000e50070006e00650073002000690020004100630072006f00620061007400200065006c006c00650072002000410064006f00620065002000520065006100640065007200200035002e003000200065006c006c00650072002000730065006e006500720065002egt POL ltFEFF0055007300740061007700690065006e0069006100200064006f002000740077006f0072007a0065006e0069006100200064006f006b0075006d0065006e007400f3007700200050004400460020007a002000770079017c0073007a010500200072006f007a0064007a00690065006c0063007a006f015b0063006901050020006f006200720061007a006b00f30077002c0020007a0061007000650077006e00690061006a0105006301050020006c006500700073007a01050020006a0061006b006f015b0107002000770079006400720075006b00f30077002e00200044006f006b0075006d0065006e0074007900200050004400460020006d006f017c006e00610020006f007400770069006500720061010700200077002000700072006f006700720061006d006900650020004100630072006f00620061007400200069002000410064006f00620065002000520065006100640065007200200035002e0030002000690020006e006f00770073007a0079006d002egt PTB ltFEFF005500740069006c0069007a006500200065007300730061007300200063006f006e00660069006700750072006100e700f50065007300200064006500200066006f0072006d00610020006100200063007200690061007200200064006f00630075006d0065006e0074006f0073002000410064006f0062006500200050004400460020007000610072006100200069006d0070007200650073007300f5006500730020006400650020007100750061006c0069006400610064006500200065006d00200069006d00700072006500730073006f0072006100730020006400650073006b0074006f00700020006500200064006900730070006f00730069007400690076006f0073002000640065002000700072006f00760061002e0020004f007300200064006f00630075006d0065006e0074006f00730020005000440046002000630072006900610064006f007300200070006f00640065006d0020007300650072002000610062006500720074006f007300200063006f006d0020006f0020004100630072006f006200610074002000650020006f002000410064006f00620065002000520065006100640065007200200035002e0030002000650020007600650072007300f50065007300200070006f00730074006500720069006f007200650073002egt RUM ltFEFF005500740069006c0069007a00610163006900200061006300650073007400650020007300650074010300720069002000700065006e007400720075002000610020006300720065006100200064006f00630075006d0065006e00740065002000410064006f006200650020005000440046002000700065006e007400720075002000740069007001030072006900720065002000640065002000630061006c006900740061007400650020006c006100200069006d007000720069006d0061006e007400650020006400650073006b0074006f00700020015f0069002000700065006e0074007200750020007600650072006900660069006300610074006f00720069002e002000200044006f00630075006d0065006e00740065006c00650020005000440046002000630072006500610074006500200070006f00740020006600690020006400650073006300680069007300650020006300750020004100630072006f006200610074002c002000410064006f00620065002000520065006100640065007200200035002e00300020015f00690020007600650072007300690075006e0069006c006500200075006c0074006500720069006f006100720065002egt RUS ltFEFF04180441043f043e043b044c04370443043904420435002004340430043d043d044b04350020043d0430044104420440043e0439043a043800200434043b044f00200441043e043704340430043d0438044f00200434043e043a0443043c0435043d0442043e0432002000410064006f006200650020005000440046002c0020043f044004350434043d04300437043d043004470435043d043d044b044500200434043b044f0020043a0430044704350441044204320435043d043d043e04390020043f043504470430044204380020043d04300020043d043004410442043e043b044c043d044b04450020043f04400438043d044204350440043004450020043800200443044104420440043e04390441044204320430044500200434043b044f0020043f043e043b044304470435043d0438044f0020043f0440043e0431043d044b04450020043e0442044204380441043a043e0432002e002000200421043e043704340430043d043d044b04350020005000440046002d0434043e043a0443043c0435043d0442044b0020043c043e0436043d043e00200020043e0442043a0440044b043204300442044c002004410020043f043e043c043e0449044c044e0020004100630072006f00620061007400200438002000410064006f00620065002000520065006100640065007200200035002e00300020043800200431043e043b043504350020043f043e04370434043d043804450020043204350440044104380439002egt SKY ltFEFF0054006900650074006f0020006e006100730074006100760065006e0069006100200070006f0075017e0069007400650020006e00610020007600790074007600e100720061006e0069006500200064006f006b0075006d0065006e0074006f0076002000410064006f00620065002000500044004600200070007200650020006b00760061006c00690074006e00fa00200074006c0061010d0020006e0061002000730074006f006c006e00fd0063006800200074006c0061010d00690061007201480061006300680020006100200074006c0061010d006f007600fd006300680020007a006100720069006100640065006e0069006100630068002e00200056007900740076006f00720065006e00e900200064006f006b0075006d0065006e007400790020005000440046002000620075006400650020006d006f017e006e00e90020006f00740076006f00720069016500200076002000700072006f006700720061006d006f006300680020004100630072006f00620061007400200061002000410064006f00620065002000520065006100640065007200200035002e0030002000610020006e006f0076016100ed00630068002e000d000agt SLV ltFEFF005400650020006e006100730074006100760069007400760065002000750070006f0072006100620069007400650020007a00610020007500730074007600610072006a0061006e006a006500200064006f006b0075006d0065006e0074006f0076002000410064006f0062006500200050004400460020007a00610020006b0061006b006f0076006f00730074006e006f0020007400690073006b0061006e006a00650020006e00610020006e0061006d0069007a006e006900680020007400690073006b0061006c006e0069006b0069006800200069006e0020007000720065007600650072006a0061006c006e0069006b00690068002e00200020005500730074007600610072006a0065006e006500200064006f006b0075006d0065006e0074006500200050004400460020006a00650020006d006f0067006f010d00650020006f0064007000720065007400690020007a0020004100630072006f00620061007400200069006e002000410064006f00620065002000520065006100640065007200200035002e003000200069006e0020006e006f00760065006a01610069006d002egt SUO ltFEFF004b00e40079007400e40020006e00e40069007400e4002000610073006500740075006b007300690061002c0020006b0075006e0020006c0075006f0074002000410064006f0062006500200050004400460020002d0064006f006b0075006d0065006e007400740065006a00610020006c0061006100640075006b006100730074006100200074007900f6007000f60079007400e400740075006c006f0073007400750073007400610020006a00610020007600650064006f007300740075007300740061002000760061007200740065006e002e00200020004c0075006f0064007500740020005000440046002d0064006f006b0075006d0065006e00740069007400200076006f0069006400610061006e0020006100760061007400610020004100630072006f0062006100740069006c006c00610020006a0061002000410064006f00620065002000520065006100640065007200200035002e0030003a006c006c00610020006a006100200075007500640065006d006d0069006c006c0061002egt SVE ltFEFF0041006e007600e4006e00640020006400650020006800e4007200200069006e0073007400e4006c006c006e0069006e006700610072006e00610020006f006d002000640075002000760069006c006c00200073006b006100700061002000410064006f006200650020005000440046002d0064006f006b0075006d0065006e00740020006600f600720020006b00760061006c00690074006500740073007500740073006b0072006900660074006500720020007000e5002000760061006e006c00690067006100200073006b0072006900760061007200650020006f006300680020006600f600720020006b006f007200720065006b007400750072002e002000200053006b006100700061006400650020005000440046002d0064006f006b0075006d0065006e00740020006b0061006e002000f600700070006e00610073002000690020004100630072006f0062006100740020006f00630068002000410064006f00620065002000520065006100640065007200200035002e00300020006f00630068002000730065006e006100720065002egt TUR ltFEFF004d00610073006100fc0073007400fc002000790061007a013100630131006c006100720020007600650020006200610073006b01310020006d0061006b0069006e0065006c006500720069006e006400650020006b0061006c006900740065006c00690020006200610073006b013100200061006d0061006301310079006c0061002000410064006f006200650020005000440046002000620065006c00670065006c0065007200690020006f006c0075015f007400750072006d0061006b0020006900e70069006e00200062007500200061007900610072006c0061007201310020006b0075006c006c0061006e0131006e002e00200020004f006c0075015f0074007500720075006c0061006e0020005000440046002000620065006c00670065006c0065007200690020004100630072006f006200610074002000760065002000410064006f00620065002000520065006100640065007200200035002e003000200076006500200073006f006e0072006100730131006e00640061006b00690020007300fc007200fc006d006c00650072006c00650020006100e70131006c006100620069006c00690072002egt UKR ltFEFF04120438043a043e0440043804410442043e043204430439044204350020044604560020043f043004400430043c043504420440043800200434043b044f0020044104420432043e04400435043d043d044f00200434043e043a0443043c0435043d044204560432002000410064006f006200650020005000440046002c0020044f043a04560020043d04300439043a04400430044904350020043f045604340445043e0434044f0442044c00200434043b044f0020043204380441043e043a043e044f043a04560441043d043e0433043e0020043404400443043a04430020043d04300020043d0430044104420456043b044c043d043804450020043f04400438043d044204350440043004450020044204300020043f04400438044104420440043e044f044500200434043b044f0020043e044204400438043c0430043d043d044f0020043f0440043e0431043d0438044500200437043e04310440043004360435043d044c002e00200020042104420432043e04400435043d045600200434043e043a0443043c0435043d0442043800200050004400460020043c043e0436043d04300020043204560434043a0440043804420438002004430020004100630072006f006200610074002004420430002000410064006f00620065002000520065006100640065007200200035002e0030002004300431043e0020043f04560437043d04560448043e04570020043204350440044104560457002egt ENU ltFEFF005500730065002000740068006500730065002000730065007400740069006e0067007300200074006f0020006300720065006100740065002000410064006f0062006500200050004400460020006200610073006500640020006f006e0020007400680065002000640065006600610075006c0074002000580069006c0069006e007800200070006100720061006d0065007400650072002000730065007400740069006e0067007300200064006500660069006e00650064002000620079002000500053003000300034002e002000460069006c0065006e0061006d0065003a002000780069006c0069006e0078002e006a006f0062006f007000740069006f006e0073002e000d0043006f006d007000610074006100620069006c006900740079003a0020004100630072006f00620061007400200037002e0030002000280050004400460031002e00360029002e0020005500730065003a00200046006f00720020006300720065006100740069006e0067002000460069006e0061006c002c0020005000750062006c0069007300680065006400200050004400460073002e000d0043007200650061007400650064002000310030002f00310032002f003100310020006200790020006a0066006f007200730074002egt gtgt Namespace [ (Adobe) (Common) (10) ] OtherNamespaces [ ltlt AsReaderSpreads false CropImagesToFrames true ErrorControl WarnAndContinue FlattenerIgnoreSpreadOverrides false IncludeGuidesGrids false IncludeNonPrinting false IncludeSlug false Namespace [ (Adobe) (InDesign) (40) ] OmitPlacedBitmaps false OmitPlacedEPS false OmitPlacedPDF false SimulateOverprint Legacy gtgt ltlt AddBleedMarks false AddColorBars false AddCropMarks false AddPageInfo false AddRegMarks false ConvertColors NoConversion DestinationProfileName () DestinationProfileSelector NA Downsample16BitImages true FlattenerPreset ltlt PresetSelector MediumResolution gtgt FormElements false GenerateStructure true IncludeBookmarks false IncludeHyperlinks false IncludeInteractive false IncludeLayers false IncludeProfiles true MultimediaHandling UseObjectSettings Namespace [ (Adobe) (CreativeSuite) (20) ] PDFXOutputIntentProfileSelector NA PreserveEditing true UntaggedCMYKHandling LeaveUntagged UntaggedRGBHandling LeaveUntagged UseDocumentBleed false gtgt ]gtgt setdistillerparamsltlt HWResolution [1200 1200] PageSize [612000 792000]gtgt setpagedevice

Page 38: Xilinx UG883 Kintex-7 FPGA KC705 Evaluation Kit Getting ...€¦ · Updated step 2 and step 3 in Requirements to Get Started, page 14. ... KC705 Getting Started Guide 9 UG883 (v3.0)

38 wwwxilinxcom KC705 Getting Started GuideUG883 (v30) April 16 2013

Appendix B Warranty

  • Kintex-7 FPGA KC705 Evaluation Kit (Vivado Design Suite 20131)
    • Revision History
    • Table of Contents
    • Getting Started with the Kintex-7 FPGA KC705 Evaluation Kit (Vivado Design Suite 20131)
      • Introduction
      • Kit Contents
      • Basic Hardware Bring-up Using the BIST
        • Hardware Test Setup Requirements
          • Hardware Test Board Setup Requirements
            • Hardware Bring-Up
            • Run the BIST Application
              • AMS Bring-up with the AMS101 Evaluation Card
                • Requirements to Get Started
                • Evaluating AMS
                  • Advanced Bring-up Using the Base Targeted Reference Design
                    • Components
                    • Hardware Test Setup Requirements
                    • TRD Demonstration Setup
                    • Evaluating the Kintex-7 FPGA Base TRD
                        • Additional Resources
                          • Xilinx Resources
                          • Solution Centers
                          • Further Resources
                          • References
                            • Warranty
                                • ltlt ASCII85EncodePages false AllowTransparency false AutoPositionEPSFiles true AutoRotatePages All Binding Left CalGrayProfile (Dot Gain 20) CalRGBProfile (sRGB IEC61966-21) CalCMYKProfile (US Web Coated 050SWOP051 v2) sRGBProfile (sRGB IEC61966-21) CannotEmbedFontPolicy Warning CompatibilityLevel 16 CompressObjects Tags CompressPages true ConvertImagesToIndexed true PassThroughJPEGImages true CreateJobTicket false DefaultRenderingIntent Default DetectBlends true DetectCurves 00000 ColorConversionStrategy LeaveColorUnchanged DoThumbnails false EmbedAllFonts true EmbedOpenType false ParseICCProfilesInComments true EmbedJobOptions true DSCReportingLevel 0 EmitDSCWarnings false EndPage -1 ImageMemory 1048576 LockDistillerParams true MaxSubsetPct 100 Optimize true OPM 1 ParseDSCComments true ParseDSCCommentsForDocInfo true PreserveCopyPage true PreserveDICMYKValues true PreserveEPSInfo true PreserveFlatness true PreserveHalftoneInfo false PreserveOPIComments false PreserveOverprintSettings true StartPage 1 SubsetFonts true TransferFunctionInfo Apply UCRandBGInfo Preserve UsePrologue false ColorSettingsFile () AlwaysEmbed [ true ] NeverEmbed [ true ] AntiAliasColorImages false CropColorImages true ColorImageMinResolution 300 ColorImageMinResolutionPolicy OK DownsampleColorImages false ColorImageDownsampleType Bicubic ColorImageResolution 300 ColorImageDepth -1 ColorImageMinDownsampleDepth 1 ColorImageDownsampleThreshold 150000 EncodeColorImages true ColorImageFilter DCTEncode AutoFilterColorImages true ColorImageAutoFilterStrategy JPEG ColorACSImageDict ltlt QFactor 040 HSamples [1 1 1 1] VSamples [1 1 1 1] gtgt ColorImageDict ltlt QFactor 015 HSamples [1 1 1 1] VSamples [1 1 1 1] gtgt JPEG2000ColorACSImageDict ltlt TileWidth 256 TileHeight 256 Quality 30 gtgt JPEG2000ColorImageDict ltlt TileWidth 256 TileHeight 256 Quality 30 gtgt AntiAliasGrayImages false CropGrayImages true GrayImageMinResolution 300 GrayImageMinResolutionPolicy OK DownsampleGrayImages false GrayImageDownsampleType Bicubic GrayImageResolution 300 GrayImageDepth -1 GrayImageMinDownsampleDepth 2 GrayImageDownsampleThreshold 150000 EncodeGrayImages true GrayImageFilter DCTEncode AutoFilterGrayImages true GrayImageAutoFilterStrategy JPEG GrayACSImageDict ltlt QFactor 040 HSamples [1 1 1 1] VSamples [1 1 1 1] gtgt GrayImageDict ltlt QFactor 015 HSamples [1 1 1 1] VSamples [1 1 1 1] gtgt JPEG2000GrayACSImageDict ltlt TileWidth 256 TileHeight 256 Quality 30 gtgt JPEG2000GrayImageDict ltlt TileWidth 256 TileHeight 256 Quality 30 gtgt AntiAliasMonoImages false CropMonoImages true MonoImageMinResolution 1200 MonoImageMinResolutionPolicy OK DownsampleMonoImages false MonoImageDownsampleType Bicubic MonoImageResolution 1200 MonoImageDepth -1 MonoImageDownsampleThreshold 150000 EncodeMonoImages true MonoImageFilter CCITTFaxEncode MonoImageDict ltlt K -1 gtgt AllowPSXObjects false CheckCompliance [ None ] PDFX1aCheck false PDFX3Check false PDFXCompliantPDFOnly false PDFXNoTrimBoxError true PDFXTrimBoxToMediaBoxOffset [ 000000 000000 000000 000000 ] PDFXSetBleedBoxToMediaBox true PDFXBleedBoxToTrimBoxOffset [ 000000 000000 000000 000000 ] PDFXOutputIntentProfile (None) PDFXOutputConditionIdentifier () PDFXOutputCondition () PDFXRegistryName () PDFXTrapped False CreateJDFFile false Description ltlt ARA ltFEFF06270633062A062E062F0645002006470630064700200627064406250639062F0627062F0627062A002006440625064606340627062100200648062B062706260642002000410064006F00620065002000500044004600200645062A0648062706410642062900200644064406370628062706390629002006300627062A002006270644062C0648062F0629002006270644063906270644064A06290020064506460020062E06440627064400200627064406370627062806390627062A00200627064406450643062A0628064A062900200623064800200623062C06470632062900200625062C06310627062100200627064406280631064806410627062A061B0020064A06450643064600200641062A062D00200648062B0627062606420020005000440046002006270644064506460634062306290020062806270633062A062E062F062706450020004100630072006F0062006100740020064800410064006F006200650020005200650061006400650072002006250635062F0627063100200035002E0030002006480627064406250635062F062706310627062A0020062706440623062D062F062B002E0020064506390020005000440046002F0041060C0020062706440631062C062706210020064506310627062C063906290020062F0644064A0644002006450633062A062E062F06450020004100630072006F006200610074061B0020064A06450643064600200641062A062D00200648062B0627062606420020005000440046002006270644064506460634062306290020062806270633062A062E062F062706450020004100630072006F0062006100740020064800410064006F006200650020005200650061006400650072002006250635062F0627063100200035002E0030002006480627064406250635062F062706310627062A0020062706440623062D062F062B002Egt BGR ltFEFF04180437043f043e043b043704320430043904420435002004420435043704380020043d0430044104420440043e0439043a0438002c00200437043000200434043000200441044a0437043404300432043004420435002000410064006f00620065002000500044004600200434043e043a0443043c0435043d044204380020043704300020043a0430044704350441044204320435043d0020043f04350447043004420020043d04300020043d043004410442043e043b043d04380020043f04400438043d04420435044004380020043800200443044104420440043e043904410442043204300020043704300020043f04350447043004420020043d04300020043f0440043e0431043d04380020044004300437043f0435044704300442043a0438002e002000200421044a04370434043004340435043d043804420435002000500044004600200434043e043a0443043c0435043d044204380020043c043e0433043004420020043404300020044104350020043e0442043204300440044f0442002004410020004100630072006f00620061007400200438002000410064006f00620065002000520065006100640065007200200035002e00300020043800200441043b0435043404320430044904380020043204350440044104380438002egt CHS ltFEFF4f7f75288fd94e9b8bbe5b9a521b5efa7684002000500044004600206587686353ef901a8fc7684c976262535370673a548c002000700072006f006f00660065007200208fdb884c9ad88d2891cf62535370300260a853ef4ee54f7f75280020004100630072006f0062006100740020548c002000410064006f00620065002000520065006100640065007200200035002e003000204ee553ca66f49ad87248672c676562535f00521b5efa768400200050004400460020658768633002gt CHT ltFEFF4f7f752890194e9b8a2d7f6e5efa7acb7684002000410064006f006200650020005000440046002065874ef653ef5728684c9762537088686a5f548c002000700072006f006f00660065007200204e0a73725f979ad854c18cea7684521753706548679c300260a853ef4ee54f7f75280020004100630072006f0062006100740020548c002000410064006f00620065002000520065006100640065007200200035002e003000204ee553ca66f49ad87248672c4f86958b555f5df25efa7acb76840020005000440046002065874ef63002gt CZE ltFEFF005400610074006f0020006e006100730074006100760065006e00ed00200070006f0075017e0069006a007400650020006b0020007600790074007600e101590065006e00ed00200064006f006b0075006d0065006e0074016f002000410064006f006200650020005000440046002000700072006f0020006b00760061006c00690074006e00ed0020007400690073006b0020006e0061002000730074006f006c006e00ed006300680020007400690073006b00e10072006e00e100630068002000610020006e00e1007400690073006b006f007600fd006300680020007a0061015900ed007a0065006e00ed00630068002e002000200056007900740076006f01590065006e00e900200064006f006b0075006d0065006e007400790020005000440046002000620075006400650020006d006f017e006e00e90020006f007400650076015900ed007400200076002000700072006f006700720061006d0065006300680020004100630072006f00620061007400200061002000410064006f00620065002000520065006100640065007200200035002e0030002000610020006e006f0076011b006a016100ed00630068002egt DAN ltFEFF004200720075006700200069006e0064007300740069006c006c0069006e006700650072006e0065002000740069006c0020006100740020006f007000720065007400740065002000410064006f006200650020005000440046002d0064006f006b0075006d0065006e007400650072002000740069006c0020006b00760061006c00690074006500740073007500640073006b007200690076006e0069006e006700200065006c006c006500720020006b006f007200720065006b007400750072006c00e60073006e0069006e0067002e0020004400650020006f007000720065007400740065006400650020005000440046002d0064006f006b0075006d0065006e0074006500720020006b0061006e002000e50062006e00650073002000690020004100630072006f00620061007400200065006c006c006500720020004100630072006f006200610074002000520065006100640065007200200035002e00300020006f00670020006e0079006500720065002egt DEU ltFEFF00560065007200770065006e00640065006e0020005300690065002000640069006500730065002000450069006e007300740065006c006c0075006e00670065006e0020007a0075006d002000450072007300740065006c006c0065006e00200076006f006e002000410064006f006200650020005000440046002d0044006f006b0075006d0065006e00740065006e002c00200076006f006e002000640065006e0065006e002000530069006500200068006f00630068007700650072007400690067006500200044007200750063006b006500200061007500660020004400650073006b0074006f0070002d0044007200750063006b00650072006e00200075006e0064002000500072006f006f0066002d00470065007200e400740065006e002000650072007a0065007500670065006e0020006d00f60063006800740065006e002e002000450072007300740065006c006c007400650020005000440046002d0044006f006b0075006d0065006e007400650020006b00f6006e006e0065006e0020006d006900740020004100630072006f00620061007400200075006e0064002000410064006f00620065002000520065006100640065007200200035002e00300020006f0064006500720020006800f600680065007200200067006500f600660066006e00650074002000770065007200640065006e002egt ESP ltFEFF005500740069006c0069006300650020006500730074006100200063006f006e0066006900670075007200610063006900f3006e0020007000610072006100200063007200650061007200200064006f00630075006d0065006e0074006f0073002000640065002000410064006f0062006500200050004400460020007000610072006100200063006f006e00730065006700750069007200200069006d0070007200650073006900f3006e002000640065002000630061006c006900640061006400200065006e00200069006d0070007200650073006f0072006100730020006400650020006500730063007200690074006f00720069006f00200079002000680065007200720061006d00690065006e00740061007300200064006500200063006f00720072006500630063006900f3006e002e002000530065002000700075006500640065006e00200061006200720069007200200064006f00630075006d0065006e0074006f00730020005000440046002000630072006500610064006f007300200063006f006e0020004100630072006f006200610074002c002000410064006f00620065002000520065006100640065007200200035002e003000200079002000760065007200730069006f006e0065007300200070006f00730074006500720069006f007200650073002egt ETI ltFEFF004b00610073007500740061006700650020006e0065006900640020007300e4007400740065006900640020006c006100750061002d0020006a00610020006b006f006e00740072006f006c006c007400f5006d006d006900730065007000720069006e0074006500720069007400650020006a0061006f006b00730020006b00760061006c006900740065006500740073006500740065002000410064006f006200650020005000440046002d0064006f006b0075006d0065006e00740069006400650020006c006f006f006d006900730065006b0073002e002e00200020004c006f006f0064007500640020005000440046002d0064006f006b0075006d0065006e00740065002000730061006100740065002000610076006100640061002000700072006f006700720061006d006d006900640065006700610020004100630072006f0062006100740020006e0069006e0067002000410064006f00620065002000520065006100640065007200200035002e00300020006a00610020007500750065006d006100740065002000760065007200730069006f006f006e00690064006500670061002e000d000agt FRA ltFEFF005500740069006c006900730065007a00200063006500730020006f007000740069006f006e00730020006100660069006e00200064006500200063007200e900650072002000640065007300200064006f00630075006d0065006e00740073002000410064006f00620065002000500044004600200070006f007500720020006400650073002000e90070007200650075007600650073002000650074002000640065007300200069006d007000720065007300730069006f006e00730020006400650020006800610075007400650020007100750061006c0069007400e90020007300750072002000640065007300200069006d007000720069006d0061006e0074006500730020006400650020006200750072006500610075002e0020004c0065007300200064006f00630075006d0065006e00740073002000500044004600200063007200e900e90073002000700065007500760065006e0074002000ea0074007200650020006f007500760065007200740073002000640061006e00730020004100630072006f006200610074002c002000610069006e00730069002000710075002700410064006f00620065002000520065006100640065007200200035002e0030002000650074002000760065007200730069006f006e007300200075006c007400e90072006900650075007200650073002egt GRE ltFEFF03a703c103b703c303b903bc03bf03c003bf03b903ae03c303c403b5002003b103c503c403ad03c2002003c403b903c2002003c103c503b803bc03af03c303b503b903c2002003b303b903b1002003bd03b1002003b403b703bc03b903bf03c503c103b303ae03c303b503c403b5002003ad03b303b303c103b103c603b1002000410064006f006200650020005000440046002003b303b903b1002003b503ba03c403cd03c003c903c303b7002003c003bf03b903cc03c403b703c403b103c2002003c303b5002003b503ba03c403c503c003c903c403ad03c2002003b303c103b103c603b503af03bf03c5002003ba03b103b9002003b403bf03ba03b903bc03b103c303c403ad03c2002e0020002003a403b10020005000440046002003ad03b303b303c103b103c603b1002003c003bf03c5002003ad03c703b503c403b5002003b403b703bc03b903bf03c503c103b303ae03c303b503b9002003bc03c003bf03c103bf03cd03bd002003bd03b1002003b103bd03bf03b903c703c403bf03cd03bd002003bc03b5002003c403bf0020004100630072006f006200610074002c002003c403bf002000410064006f006200650020005200650061006400650072002000200035002e0030002003ba03b103b9002003bc03b503c403b103b303b503bd03ad03c303c403b503c103b503c2002003b503ba03b403cc03c303b503b903c2002egt HEB ltFEFF05D405E905EA05DE05E905D5002005D105D405D205D305E805D505EA002005D005DC05D4002005DB05D305D9002005DC05D905E605D505E8002005DE05E105DE05DB05D9002000410064006F006200650020005000440046002005E205D105D505E8002005D405D305E405E105D4002005D005D905DB05D505EA05D905EA002005D105DE05D305E405E105D505EA002005E905D505DC05D705E005D905D505EA002005D505DB05DC05D9002005D405D205D405D4002E002005DE05E105DE05DB05D9002005D4002D005000440046002005E905E005D505E605E805D905DD002005E005D905EA05E005D905DD002005DC05E405EA05D905D705D4002005D105D005DE05E605E205D505EA0020004100630072006F006200610074002005D5002D00410064006F00620065002000520065006100640065007200200035002E0030002005D505D205E805E105D005D505EA002005DE05EA05E705D305DE05D505EA002005D905D505EA05E8002Egt HRV ltFEFF005a00610020007300740076006100720061006e006a0065002000410064006f00620065002000500044004600200064006f006b0075006d0065006e0061007400610020007a00610020006b00760061006c00690074006500740061006e0020006900730070006900730020006e006100200070006900730061010d0069006d006100200069006c0069002000700072006f006f006600650072002000750072006501110061006a0069006d0061002e00200020005300740076006f00720065006e0069002000500044004600200064006f006b0075006d0065006e007400690020006d006f006700750020007300650020006f00740076006f00720069007400690020004100630072006f00620061007400200069002000410064006f00620065002000520065006100640065007200200035002e0030002000690020006b00610073006e0069006a0069006d0020007600650072007a0069006a0061006d0061002egt HUN ltFEFF004d0069006e0151007300e9006700690020006e0079006f006d00610074006f006b0020006b00e90073007a00ed007400e9007300e900680065007a002000610073007a00740061006c00690020006e0079006f006d00740061007400f3006b006f006e002000e9007300200070007200f300620061006e0079006f006d00f3006b006f006e00200065007a0065006b006b0065006c0020006100200062006500e1006c006c00ed007400e10073006f006b006b0061006c002c00200068006f007a007a006f006e0020006c00e9007400720065002000410064006f00620065002000500044004600200064006f006b0075006d0065006e00740075006d006f006b00610074002e0020002000410020006c00e90074007200650068006f007a006f00740074002000500044004600200064006f006b0075006d0065006e00740075006d006f006b00200061007a0020004100630072006f006200610074002c00200061007a002000410064006f00620065002000520065006100640065007200200035002e0030002000e9007300200061007a002000610074007400f3006c0020006b00e9007301510062006200690020007600650072007a006900f3006b006b0061006c00200020006e00790069007400680061007400f3006b0020006d00650067002egt ITA ltFEFF005500740069006c0069007a007a006100720065002000710075006500730074006500200069006d0070006f007300740061007a0069006f006e00690020007000650072002000630072006500610072006500200064006f00630075006d0065006e00740069002000410064006f006200650020005000440046002000700065007200200075006e00610020007300740061006d007000610020006400690020007100750061006c0069007400e00020007300750020007300740061006d00700061006e0074006900200065002000700072006f006f0066006500720020006400650073006b0074006f0070002e0020004900200064006f00630075006d0065006e007400690020005000440046002000630072006500610074006900200070006f00730073006f006e006f0020006500730073006500720065002000610070006500720074006900200063006f006e0020004100630072006f00620061007400200065002000410064006f00620065002000520065006100640065007200200035002e003000200065002000760065007200730069006f006e006900200073007500630063006500730073006900760065002egt JPN ltFEFF9ad854c18cea51fa529b7528002000410064006f0062006500200050004400460020658766f8306e4f5c6210306b4f7f75283057307e30593002537052376642306e753b8cea3092670059279650306b4fdd306430533068304c3067304d307e3059300230c730b930af30c830c330d730d730ea30f330bf3067306e53705237307e305f306f30d730eb30fc30d57528306b9069305730663044307e305930023053306e8a2d5b9a30674f5c62103055308c305f0020005000440046002030d530a130a430eb306f3001004100630072006f0062006100740020304a30883073002000410064006f00620065002000520065006100640065007200200035002e003000204ee5964d3067958b304f30533068304c3067304d307e30593002gt KOR ltFEFFc7740020c124c815c7440020c0acc6a9d558c5ec0020b370c2a4d06cd0d10020d504b9b0d1300020bc0f0020ad50c815ae30c5d0c11c0020ace0d488c9c8b85c0020c778c1c4d560002000410064006f0062006500200050004400460020bb38c11cb97c0020c791c131d569b2c8b2e4002e0020c774b807ac8c0020c791c131b41c00200050004400460020bb38c11cb2940020004100630072006f0062006100740020bc0f002000410064006f00620065002000520065006100640065007200200035002e00300020c774c0c1c5d0c11c0020c5f40020c2180020c788c2b5b2c8b2e4002egt LTH ltFEFF004e006100750064006f006b0069007400650020016100690075006f007300200070006100720061006d006500740072007500730020006e006f0072011700640061006d00690020006b0075007200740069002000410064006f00620065002000500044004600200064006f006b0075006d0065006e007400750073002c0020006b007500720069006500200073006b00690072007400690020006b006f006b0079006200690161006b0061006900200073007000610075007300640069006e007400690020007300740061006c0069006e0069006100690073002000690072002000620061006e00640079006d006f00200073007000610075007300640069006e007400750076006100690073002e0020002000530075006b0075007200740069002000500044004600200064006f006b0075006d0065006e007400610069002000670061006c006900200062016b007400690020006100740069006400610072006f006d00690020004100630072006f006200610074002000690072002000410064006f00620065002000520065006100640065007200200035002e0030002000610072002000760117006c00650073006e0117006d00690073002000760065007200730069006a006f006d00690073002egt LVI ltFEFF0049007a006d0061006e0074006f006a00690065007400200161006f00730020006900650073007400610074012b006a0075006d00750073002c0020006c0061006900200069007a0076006500690064006f00740075002000410064006f00620065002000500044004600200064006f006b0075006d0065006e0074007500730020006b00760061006c0069007400610074012b0076006100690020006400720075006b010101610061006e00610069002000610072002000670061006c006400610020007000720069006e00740065007200690065006d00200075006e0020007000610072006100750067006e006f00760069006c006b0075006d0075002000690065007300700069006500640113006a00690065006d002e00200049007a0076006500690064006f006a006900650074002000500044004600200064006f006b0075006d0065006e007400750073002c0020006b006f002000760061007200200061007400760113007200740020006100720020004100630072006f00620061007400200075006e002000410064006f00620065002000520065006100640065007200200035002e0030002c0020006b0101002000610072012b00200074006f0020006a00610075006e0101006b0101006d002000760065007200730069006a0101006d002egt NLD (Gebruik deze instellingen om Adobe PDF-documenten te maken voor kwaliteitsafdrukken op desktopprinters en proofers De gemaakte PDF-documenten kunnen worden geopend met Acrobat en Adobe Reader 50 en hoger) NOR ltFEFF004200720075006b00200064006900730073006500200069006e006e007300740069006c006c0069006e00670065006e0065002000740069006c002000e50020006f0070007000720065007400740065002000410064006f006200650020005000440046002d0064006f006b0075006d0065006e00740065007200200066006f00720020007500740073006b00720069006600740020006100760020006800f800790020006b00760061006c00690074006500740020007000e500200062006f007200640073006b0072006900760065007200200065006c006c00650072002000700072006f006f006600650072002e0020005000440046002d0064006f006b0075006d0065006e00740065006e00650020006b0061006e002000e50070006e00650073002000690020004100630072006f00620061007400200065006c006c00650072002000410064006f00620065002000520065006100640065007200200035002e003000200065006c006c00650072002000730065006e006500720065002egt POL ltFEFF0055007300740061007700690065006e0069006100200064006f002000740077006f0072007a0065006e0069006100200064006f006b0075006d0065006e007400f3007700200050004400460020007a002000770079017c0073007a010500200072006f007a0064007a00690065006c0063007a006f015b0063006901050020006f006200720061007a006b00f30077002c0020007a0061007000650077006e00690061006a0105006301050020006c006500700073007a01050020006a0061006b006f015b0107002000770079006400720075006b00f30077002e00200044006f006b0075006d0065006e0074007900200050004400460020006d006f017c006e00610020006f007400770069006500720061010700200077002000700072006f006700720061006d006900650020004100630072006f00620061007400200069002000410064006f00620065002000520065006100640065007200200035002e0030002000690020006e006f00770073007a0079006d002egt PTB ltFEFF005500740069006c0069007a006500200065007300730061007300200063006f006e00660069006700750072006100e700f50065007300200064006500200066006f0072006d00610020006100200063007200690061007200200064006f00630075006d0065006e0074006f0073002000410064006f0062006500200050004400460020007000610072006100200069006d0070007200650073007300f5006500730020006400650020007100750061006c0069006400610064006500200065006d00200069006d00700072006500730073006f0072006100730020006400650073006b0074006f00700020006500200064006900730070006f00730069007400690076006f0073002000640065002000700072006f00760061002e0020004f007300200064006f00630075006d0065006e0074006f00730020005000440046002000630072006900610064006f007300200070006f00640065006d0020007300650072002000610062006500720074006f007300200063006f006d0020006f0020004100630072006f006200610074002000650020006f002000410064006f00620065002000520065006100640065007200200035002e0030002000650020007600650072007300f50065007300200070006f00730074006500720069006f007200650073002egt RUM ltFEFF005500740069006c0069007a00610163006900200061006300650073007400650020007300650074010300720069002000700065006e007400720075002000610020006300720065006100200064006f00630075006d0065006e00740065002000410064006f006200650020005000440046002000700065006e007400720075002000740069007001030072006900720065002000640065002000630061006c006900740061007400650020006c006100200069006d007000720069006d0061006e007400650020006400650073006b0074006f00700020015f0069002000700065006e0074007200750020007600650072006900660069006300610074006f00720069002e002000200044006f00630075006d0065006e00740065006c00650020005000440046002000630072006500610074006500200070006f00740020006600690020006400650073006300680069007300650020006300750020004100630072006f006200610074002c002000410064006f00620065002000520065006100640065007200200035002e00300020015f00690020007600650072007300690075006e0069006c006500200075006c0074006500720069006f006100720065002egt RUS ltFEFF04180441043f043e043b044c04370443043904420435002004340430043d043d044b04350020043d0430044104420440043e0439043a043800200434043b044f00200441043e043704340430043d0438044f00200434043e043a0443043c0435043d0442043e0432002000410064006f006200650020005000440046002c0020043f044004350434043d04300437043d043004470435043d043d044b044500200434043b044f0020043a0430044704350441044204320435043d043d043e04390020043f043504470430044204380020043d04300020043d043004410442043e043b044c043d044b04450020043f04400438043d044204350440043004450020043800200443044104420440043e04390441044204320430044500200434043b044f0020043f043e043b044304470435043d0438044f0020043f0440043e0431043d044b04450020043e0442044204380441043a043e0432002e002000200421043e043704340430043d043d044b04350020005000440046002d0434043e043a0443043c0435043d0442044b0020043c043e0436043d043e00200020043e0442043a0440044b043204300442044c002004410020043f043e043c043e0449044c044e0020004100630072006f00620061007400200438002000410064006f00620065002000520065006100640065007200200035002e00300020043800200431043e043b043504350020043f043e04370434043d043804450020043204350440044104380439002egt SKY ltFEFF0054006900650074006f0020006e006100730074006100760065006e0069006100200070006f0075017e0069007400650020006e00610020007600790074007600e100720061006e0069006500200064006f006b0075006d0065006e0074006f0076002000410064006f00620065002000500044004600200070007200650020006b00760061006c00690074006e00fa00200074006c0061010d0020006e0061002000730074006f006c006e00fd0063006800200074006c0061010d00690061007201480061006300680020006100200074006c0061010d006f007600fd006300680020007a006100720069006100640065006e0069006100630068002e00200056007900740076006f00720065006e00e900200064006f006b0075006d0065006e007400790020005000440046002000620075006400650020006d006f017e006e00e90020006f00740076006f00720069016500200076002000700072006f006700720061006d006f006300680020004100630072006f00620061007400200061002000410064006f00620065002000520065006100640065007200200035002e0030002000610020006e006f0076016100ed00630068002e000d000agt SLV ltFEFF005400650020006e006100730074006100760069007400760065002000750070006f0072006100620069007400650020007a00610020007500730074007600610072006a0061006e006a006500200064006f006b0075006d0065006e0074006f0076002000410064006f0062006500200050004400460020007a00610020006b0061006b006f0076006f00730074006e006f0020007400690073006b0061006e006a00650020006e00610020006e0061006d0069007a006e006900680020007400690073006b0061006c006e0069006b0069006800200069006e0020007000720065007600650072006a0061006c006e0069006b00690068002e00200020005500730074007600610072006a0065006e006500200064006f006b0075006d0065006e0074006500200050004400460020006a00650020006d006f0067006f010d00650020006f0064007000720065007400690020007a0020004100630072006f00620061007400200069006e002000410064006f00620065002000520065006100640065007200200035002e003000200069006e0020006e006f00760065006a01610069006d002egt SUO ltFEFF004b00e40079007400e40020006e00e40069007400e4002000610073006500740075006b007300690061002c0020006b0075006e0020006c0075006f0074002000410064006f0062006500200050004400460020002d0064006f006b0075006d0065006e007400740065006a00610020006c0061006100640075006b006100730074006100200074007900f6007000f60079007400e400740075006c006f0073007400750073007400610020006a00610020007600650064006f007300740075007300740061002000760061007200740065006e002e00200020004c0075006f0064007500740020005000440046002d0064006f006b0075006d0065006e00740069007400200076006f0069006400610061006e0020006100760061007400610020004100630072006f0062006100740069006c006c00610020006a0061002000410064006f00620065002000520065006100640065007200200035002e0030003a006c006c00610020006a006100200075007500640065006d006d0069006c006c0061002egt SVE ltFEFF0041006e007600e4006e00640020006400650020006800e4007200200069006e0073007400e4006c006c006e0069006e006700610072006e00610020006f006d002000640075002000760069006c006c00200073006b006100700061002000410064006f006200650020005000440046002d0064006f006b0075006d0065006e00740020006600f600720020006b00760061006c00690074006500740073007500740073006b0072006900660074006500720020007000e5002000760061006e006c00690067006100200073006b0072006900760061007200650020006f006300680020006600f600720020006b006f007200720065006b007400750072002e002000200053006b006100700061006400650020005000440046002d0064006f006b0075006d0065006e00740020006b0061006e002000f600700070006e00610073002000690020004100630072006f0062006100740020006f00630068002000410064006f00620065002000520065006100640065007200200035002e00300020006f00630068002000730065006e006100720065002egt TUR ltFEFF004d00610073006100fc0073007400fc002000790061007a013100630131006c006100720020007600650020006200610073006b01310020006d0061006b0069006e0065006c006500720069006e006400650020006b0061006c006900740065006c00690020006200610073006b013100200061006d0061006301310079006c0061002000410064006f006200650020005000440046002000620065006c00670065006c0065007200690020006f006c0075015f007400750072006d0061006b0020006900e70069006e00200062007500200061007900610072006c0061007201310020006b0075006c006c0061006e0131006e002e00200020004f006c0075015f0074007500720075006c0061006e0020005000440046002000620065006c00670065006c0065007200690020004100630072006f006200610074002000760065002000410064006f00620065002000520065006100640065007200200035002e003000200076006500200073006f006e0072006100730131006e00640061006b00690020007300fc007200fc006d006c00650072006c00650020006100e70131006c006100620069006c00690072002egt UKR ltFEFF04120438043a043e0440043804410442043e043204430439044204350020044604560020043f043004400430043c043504420440043800200434043b044f0020044104420432043e04400435043d043d044f00200434043e043a0443043c0435043d044204560432002000410064006f006200650020005000440046002c0020044f043a04560020043d04300439043a04400430044904350020043f045604340445043e0434044f0442044c00200434043b044f0020043204380441043e043a043e044f043a04560441043d043e0433043e0020043404400443043a04430020043d04300020043d0430044104420456043b044c043d043804450020043f04400438043d044204350440043004450020044204300020043f04400438044104420440043e044f044500200434043b044f0020043e044204400438043c0430043d043d044f0020043f0440043e0431043d0438044500200437043e04310440043004360435043d044c002e00200020042104420432043e04400435043d045600200434043e043a0443043c0435043d0442043800200050004400460020043c043e0436043d04300020043204560434043a0440043804420438002004430020004100630072006f006200610074002004420430002000410064006f00620065002000520065006100640065007200200035002e0030002004300431043e0020043f04560437043d04560448043e04570020043204350440044104560457002egt ENU ltFEFF005500730065002000740068006500730065002000730065007400740069006e0067007300200074006f0020006300720065006100740065002000410064006f0062006500200050004400460020006200610073006500640020006f006e0020007400680065002000640065006600610075006c0074002000580069006c0069006e007800200070006100720061006d0065007400650072002000730065007400740069006e0067007300200064006500660069006e00650064002000620079002000500053003000300034002e002000460069006c0065006e0061006d0065003a002000780069006c0069006e0078002e006a006f0062006f007000740069006f006e0073002e000d0043006f006d007000610074006100620069006c006900740079003a0020004100630072006f00620061007400200037002e0030002000280050004400460031002e00360029002e0020005500730065003a00200046006f00720020006300720065006100740069006e0067002000460069006e0061006c002c0020005000750062006c0069007300680065006400200050004400460073002e000d0043007200650061007400650064002000310030002f00310032002f003100310020006200790020006a0066006f007200730074002egt gtgt Namespace [ (Adobe) (Common) (10) ] OtherNamespaces [ ltlt AsReaderSpreads false CropImagesToFrames true ErrorControl WarnAndContinue FlattenerIgnoreSpreadOverrides false IncludeGuidesGrids false IncludeNonPrinting false IncludeSlug false Namespace [ (Adobe) (InDesign) (40) ] OmitPlacedBitmaps false OmitPlacedEPS false OmitPlacedPDF false SimulateOverprint Legacy gtgt ltlt AddBleedMarks false AddColorBars false AddCropMarks false AddPageInfo false AddRegMarks false ConvertColors NoConversion DestinationProfileName () DestinationProfileSelector NA Downsample16BitImages true FlattenerPreset ltlt PresetSelector MediumResolution gtgt FormElements false GenerateStructure true IncludeBookmarks false IncludeHyperlinks false IncludeInteractive false IncludeLayers false IncludeProfiles true MultimediaHandling UseObjectSettings Namespace [ (Adobe) (CreativeSuite) (20) ] PDFXOutputIntentProfileSelector NA PreserveEditing true UntaggedCMYKHandling LeaveUntagged UntaggedRGBHandling LeaveUntagged UseDocumentBleed false gtgt ]gtgt setdistillerparamsltlt HWResolution [1200 1200] PageSize [612000 792000]gtgt setpagedevice