sandy bridge power management overview - hot … management architecture of the 2nd generation...

33
Power management architecture of the 2nd generation Intel® Core™ microarchitecture, formerly codenamed Sandy Bridge Efi Rotem - Sandy Bridge power architect Alon Naveh, Doron Rajwan, Avinash Ananthakrishnan, Eli Weissmann Hot Chips Aug-2011

Upload: tranduong

Post on 25-Mar-2019

219 views

Category:

Documents


0 download

TRANSCRIPT

Page 1: Sandy Bridge Power Management overview - Hot … management architecture of the 2nd generation Intel® Core microarchitecture , formerly codenamed Sandy Bridge Efi Rotem - Sandy Bridge

Power management architecture of the2nd generation Intel® Core™

microarchitecture , formerly codenamed Sandy Bridge

Efi Rotem - Sandy Bridge power architect

Alon Naveh, Doron Rajwan, Avinash Ananthakrishnan, Eli Weissmann

Hot Chips Aug -2011

Page 2: Sandy Bridge Power Management overview - Hot … management architecture of the 2nd generation Intel® Core microarchitecture , formerly codenamed Sandy Bridge Efi Rotem - Sandy Bridge

2Sandy Bridge - Hot Chips 2011

Agenda Power management overview Intel® Turbo Boost Technology 2.0 Thermal management Energy efficiency Average power management Platform view Summary

High CPU and PG performancePower and energy efficiency

Page 3: Sandy Bridge Power Management overview - Hot … management architecture of the 2nd generation Intel® Core microarchitecture , formerly codenamed Sandy Bridge Efi Rotem - Sandy Bridge

3Sandy Bridge - Hot Chips 2011

Power management overview

Page 4: Sandy Bridge Power Management overview - Hot … management architecture of the 2nd generation Intel® Core microarchitecture , formerly codenamed Sandy Bridge Efi Rotem - Sandy Bridge

4Sandy Bridge - Hot Chips 2011

Sandy Bridge power mgmt ID card Sandy Bridge is:

1-4 CPU cores + PG Integrated System Agent (SA) Sliced LLC shared by all cores/PG Ring interconnect + power management link

Package Control Unit (PCU) : On chip logic and embedded controller running power

management firmware Communicates internally with cores, ring and SA Monitors physical conditions

− Voltage, temperature, power consumption Controls power states

− CPU and PG voltage and frequency− Controls voltage regulators DDR and system

External power management interface Accepts external inputs

− System power management requests and limits− Power and temperature reading

MSR, MMIO and PECI system bus

Graphics

Core LLC

Core LLC

Core LLC

Core LLC

Display

DMI PCI Express*

IMC

System Agent

PCU

SVID

PECI

VR

EC

Page 5: Sandy Bridge Power Management overview - Hot … management architecture of the 2nd generation Intel® Core microarchitecture , formerly codenamed Sandy Bridge Efi Rotem - Sandy Bridge

5Sandy Bridge - Hot Chips 2011

VCC Core(Gated)

VCC Core(Gated)

VCC Core(Gated)

VCC Core(Gated)

VCC Core(ungated)

VCC SA

VCC Graphics

VCC Periphery

VCC Periphery

Em

bedd

ed p

ower

gat

esVoltage and frequency domains

Two Independent Variable Power Planes: CPU cores, ring and LLC

Embedded power gates - Each core can be turned off individually

Cache power gating - Turn off portions or all cache at deeper sleep states

Graphics processor Can be varied or turned off when not active

Shared frequency for all IA32 cores and ring Independent frequency for PG Fixed Programmable power plane for System Agent

Optimize SA power consumption System On Chip functionality and PCU logic Periphery: DDR, PCIe, Display

Page 6: Sandy Bridge Power Management overview - Hot … management architecture of the 2nd generation Intel® Core microarchitecture , formerly codenamed Sandy Bridge Efi Rotem - Sandy Bridge

6Sandy Bridge - Hot Chips 2011

Power performance fundamentals Maximize user experience under multiple constraints

User Experience (May have different preferences):− Throughput performance− Responsiveness - burst performance− CPU / PG performance− Battery life / Energy bills− Ergonomics (acoustic noise, heat)

Optimizing around Constraints to meet user preferences− Silicon capabilities− System Thermo-Mechanical capabilities− Power delivery capabilities− S/W and Operating system explicit control− Workload and usage

Rich set of control knobs for the user and system designer to tailor power - performance preferences

Page 7: Sandy Bridge Power Management overview - Hot … management architecture of the 2nd generation Intel® Core microarchitecture , formerly codenamed Sandy Bridge Efi Rotem - Sandy Bridge

7Sandy Bridge - Hot Chips 2011

Power management features topologyP

hysi

cal

Laye

rR

eal T

ime

even

ts

Pow

erP

erf

Opt

.

PCU “kernel” – mission critical power management eventsC-state control, P -states transitions and latency sensitive actions

Thermal sensing, Maximum current control, physical layer communication Platform control: DDR thermal, Voltage Regulator optimization, hot sensors etc.

Power/performance optimization algorithmsMilliseconds to seconds control algorithms

Operating system, PG driver, BIOS, Embedded Controller and user preferences

S/W

P

latfo

rmC

ontrolC

ontrolC

ontrol

Page 8: Sandy Bridge Power Management overview - Hot … management architecture of the 2nd generation Intel® Core microarchitecture , formerly codenamed Sandy Bridge Efi Rotem - Sandy Bridge

8Sandy Bridge - Hot Chips 2011

I nte l ® Turbo Boost Technology 2 .0

Page 9: Sandy Bridge Power Management overview - Hot … management architecture of the 2nd generation Intel® Core microarchitecture , formerly codenamed Sandy Bridge Efi Rotem - Sandy Bridge

9Sandy Bridge - Hot Chips 2011

Power metering Power management is based on power metering Sandy Bridge implements a digital power meter

3rd generation of power metering in Intel® products Active power - Event counters track main building blocks activities

− 100 Micro arch. event counters - apply active energy cost to each event− CPU, PG, Ring, Cache, and I/O

Static power – Leakage and idle as a function of voltage and temperature

Used for power management algorithms Architecturally exposed to software and system

For the use of S/W or system embedded controller

Average accuracy – 0.9%STDEV 0.6%

0

5

10

15

20

25

30

35

40

45

0 50 100 150 200 250

CPU - predicted

PG - predicted

Package - predicted

CPU - actual

PG - actual

Package - actual

Page 10: Sandy Bridge Power Management overview - Hot … management architecture of the 2nd generation Intel® Core microarchitecture , formerly codenamed Sandy Bridge Efi Rotem - Sandy Bridge

10Sandy Bridge - Hot Chips 2011

What is CPU Turbo

P-state: a voltage/frequency pair (ACPI terminology) P1 is guaranteed frequency

CPU and PG simultaneous heavy load at worst case conditions Actual power has high dynamic range

P0 is max possible frequency Pn is the energy efficient state

OS control Pn-P1 range

P1-P0 has significant frequency range (GHz) P1 to P0 range is fully H/W controlled User preferences and policies Single thread or lightly loaded applications GFX <>CPU balancing

“Turbo”H/W

Control

OS VisibleStates

ThermalControl

P1

Pn

P0 1C

freq

uenc

y

LFM

Page 11: Sandy Bridge Power Management overview - Hot … management architecture of the 2nd generation Intel® Core microarchitecture , formerly codenamed Sandy Bridge Efi Rotem - Sandy Bridge

11Sandy Bridge - Hot Chips 2011

What is Turbo Turbo enabled product specifications

P1 P0CPU

P1 P0PG TDP total package

sustained power

Source: http://www.intel.com/Assets/PDF/datasheet/324692.pdf

Page 12: Sandy Bridge Power Management overview - Hot … management architecture of the 2nd generation Intel® Core microarchitecture , formerly codenamed Sandy Bridge Efi Rotem - Sandy Bridge

12Sandy Bridge - Hot Chips 2011

Classic ModelSteady-State Thermal Resistance

Design guide for steady state

New ModelSteady-State Thermal Resistance

PG and CPU sharingAND

Dynamic Thermal Capacitance

New concept: thermal capacitance

Tem

pera

ture

Time

Tem

pera

ture

Time

More realistic response to

power changes

Classic model respond

CPU PG

Example:Cp_Al ~ 0.9 J/(gr*’K)100gr heat sink heated by 35W CPU 100Sec

Page 13: Sandy Bridge Power Management overview - Hot … management architecture of the 2nd generation Intel® Core microarchitecture , formerly codenamed Sandy Bridge Efi Rotem - Sandy Bridge

13Sandy Bridge - Hot Chips 2011

Classic ModelSteady-State Thermal Resistance

Design guide for steady state

New ModelSteady-State Thermal Resistance

PG and CPU sharingAND

Dynamic Thermal Capacitance

New concept: thermal capacitance

Tem

pera

ture

Time

Tem

pera

ture

Time

More realistic response to

power changes

PCU manages energy budgets over multiple time constantsAccumulated energy during idle period used when needed

Classic model respond

CPU PG• Managing of energy budget rolling average

• Heat sink capacity time constant – few sec.• Short time constants for power delivery

• Package energy sharing between CPU and PG• Multiple sources of controls

• Software or external embedded controller

nnnnn tPTDPEE ∆−−+=+ )(*)1(1 αα

Page 14: Sandy Bridge Power Management overview - Hot … management architecture of the 2nd generation Intel® Core microarchitecture , formerly codenamed Sandy Bridge Efi Rotem - Sandy Bridge

14Sandy Bridge - Hot Chips 2011

C0/P0 (Turbo)

After idle periods, the system accumulates “energy budget” and can accommodate high power/performance for up to a minute

Use accum ulated energy budget to

enhance user experience

Intel® Turbo Boost Technology 2.0 - Dynamic

Time

Power

Sleep orLow power

“TDP”

Buildup thermal budget during idle periods

Max power1.2-1.3X TDP

30-60 Sec exponential Average5 Sec /

30-60 Sec

In Steady State conditions the power stabilizes on TDP, possibly at higher then nominal frequency

Page 15: Sandy Bridge Power Management overview - Hot … management architecture of the 2nd generation Intel® Core microarchitecture , formerly codenamed Sandy Bridge Efi Rotem - Sandy Bridge

15Sandy Bridge - Hot Chips 2011Intel Top SecretIntel Restricted Secret – RSNDA

Usage Scenario: Responsive Behavior

User interact ive act ionsI m age open and process

Photo editing• Open image• Process• View• Balance colors• Red eye removal• Contrast • Filters• Etc.

• Interactive work benefits from Intel ® Turbo Boost 2.0• Idle periods intermixed with user actions

35

Page 16: Sandy Bridge Power Management overview - Hot … management architecture of the 2nd generation Intel® Core microarchitecture , formerly codenamed Sandy Bridge Efi Rotem - Sandy Bridge

16Sandy Bridge - Hot Chips 2011

Turbo controls in action

Time

P-statePower

Actual instantaneous power

Hard LimitMax Icc

Power limit 2Power delivery

Power limit 1Config TDP

PL1 time exp. average

C0 P0

Voltage Regulator reported capability

CURRENT_CONFIG_CONTROL MSR

TURBO_POWER_LIMIT Control MSR

Enables and locks

Package Power limit 2 – Instantaneous

Package Power limit 1 Time interval

Package Power limit 1 clamp bit

Package Power limit 1 - power

•Also:• Individual power controls available• Explicit frequency control

User / OEM / OS preference

Allow programmability

Page 17: Sandy Bridge Power Management overview - Hot … management architecture of the 2nd generation Intel® Core microarchitecture , formerly codenamed Sandy Bridge Efi Rotem - Sandy Bridge

17Sandy Bridge - Hot Chips 2011

Intel® Turbo Boost Technology 2.0 - Package Power specification is defined for the entire package

Monolithic die – power budget shared by CPU and PG Sum of component power at or below specifications

Core Pow er [ W ]

Tota l package pow erCPU+ PG= const

Heavy Graphicsw ork load

Heavy CPUw ork load

Applicat ions

PG Pow er [ W ]

Page 18: Sandy Bridge Power Management overview - Hot … management architecture of the 2nd generation Intel® Core microarchitecture , formerly codenamed Sandy Bridge Efi Rotem - Sandy Bridge

18Sandy Bridge - Hot Chips 2011

Power specification is defined for the entire package Monolithic die – power budget shared by CPU and PG Sum of component power at or below specifications

Energy budget spit dynamically according to user preference Control algorithm translates energy headroom to turbo bins

Core Pow er [ W ]

PG Pow er [ W ]

Applicat ion

CPU preferencePow er budget is assigned to the CPU

PG preferencePow er budget is assigned to the CPU

BalancedPow er budget split betw een CPU and PG

Intel® Turbo Boost Technology 2.0 - Package

IA preference MSR

GT preference MSR

Page 19: Sandy Bridge Power Management overview - Hot … management architecture of the 2nd generation Intel® Core microarchitecture , formerly codenamed Sandy Bridge Efi Rotem - Sandy Bridge

19Sandy Bridge - Hot Chips 2011

Turbo in action – measurements

After idle period turbo to 56W for

• Four core 45W 2.2 up to 3.5 GHz Sandy Bridge example• Running CPU and PG simultaneous workloads• Control power management knobs on the fly using a c ontrol utility

~20Sec - stabilize at TDP = 45WFrequency varies

Page 20: Sandy Bridge Power Management overview - Hot … management architecture of the 2nd generation Intel® Core microarchitecture , formerly codenamed Sandy Bridge Efi Rotem - Sandy Bridge

20Sandy Bridge - Hot Chips 2011

Energy Efficient P -State - optimizing MIPS / Watt

Frequency voltage scaling up is not energy efficient Cubic increase in power for linear increase in frequency and performance Used to get raw performance at the cost of increased energy consumption

Not all workloads gain performance from frequency For example – many memory accesses poor performance scalability “Wait slowly” lower frequency at memory bound intervals

− Save energy to be used for core bounded phases− Or just save energy with minimal performance impact

Continuously generate “scalability” metric Drop frequency if scalability is low

User preference control Max performance – ignore energy cost Balanced – lower frequency at

memory-bound intervals Max energy savings – limited turbo

Impacts active energy - Small impact on battery life

0.86

0.88

0.9

0.92

0.94

0.96

0.98

1

Pe

rfo

rma

nce

Sca

lin

g

Time

Workload Scalability over time

Page 21: Sandy Bridge Power Management overview - Hot … management architecture of the 2nd generation Intel® Core microarchitecture , formerly codenamed Sandy Bridge Efi Rotem - Sandy Bridge

21Sandy Bridge - Hot Chips 2011

Average Power Management

Page 22: Sandy Bridge Power Management overview - Hot … management architecture of the 2nd generation Intel® Core microarchitecture , formerly codenamed Sandy Bridge Efi Rotem - Sandy Bridge

22Sandy Bridge - Hot Chips 2011

Sandy Bridge average power control

HW coordinated per-thread interface

Only snoops supported

Core caches flushedVcc-gated

Core Level

Ring + LLC HW coordinated

Clock off + low-VCC

Retention voltage

LLC FlushedUsage based close/open

algorithms

System -AgentPop-up: DDR-Self

refresh

C3/6/7:DDR clock off, IO clock off

Display-Engine in energy efficient screen refresh mode

Thread level coordination

Core C0

Core C1

Core C3 Package C3

Package C6

Package C7

Core C1

Core C3

Thread level coordination

Core C0

Active statesP0 - P1 - Pn

Core C6 Core C6

Page 23: Sandy Bridge Power Management overview - Hot … management architecture of the 2nd generation Intel® Core microarchitecture , formerly codenamed Sandy Bridge Efi Rotem - Sandy Bridge

23Sandy Bridge - Hot Chips 2011

Improved C -state Latency and energy efficiency

C6C1/3C0

Time

High transition rate :Demote

Core/packageC- state

Low transition Rate:Stop-Demotion

Auto-demotion:8-15% perf (MM07,

Sysmark)

Auto-un-demotion:Aggressive Demotion-

enable!

45-200mW power savings measured on Sysmarkand media applications

Bapco* Mobile Mark 07 Pro

0

1000

2000

3000

4000

5000

6000

7000

8000

1 622 1243 1864 2485 3106 3727 4348 4969 5590 6211 6832

Cor

e le

vel C

6 E

ntre

s

Core 0

Core 1

Core 2

Core 3

Silence rangeC-state Breaks storm ranges

“Interrupt storms” seen on real systems Performance Impact

Entry and exit latency Energy Impact

transition power and energy overhead

Page 24: Sandy Bridge Power Management overview - Hot … management architecture of the 2nd generation Intel® Core microarchitecture , formerly codenamed Sandy Bridge Efi Rotem - Sandy Bridge

24Sandy Bridge - Hot Chips 2011

Thermal management

Page 25: Sandy Bridge Power Management overview - Hot … management architecture of the 2nd generation Intel® Core microarchitecture , formerly codenamed Sandy Bridge Efi Rotem - Sandy Bridge

25Sandy Bridge - Hot Chips 2011

Package thermal management

On die thermal sensors 12 sensors on each CPU core + PG, ring and

SA Operating range 50 -100’C

Temperature reporting Maximum reading of each functional block and

maximum reading of the total chip Used for:

Critical thermal protection Notification, throttle and shutdown Programmable throttle temperature

Leakage calculation of power meter PCU optimization algorithms External system controls (e.g. Fan control)

Page 26: Sandy Bridge Power Management overview - Hot … management architecture of the 2nd generation Intel® Core microarchitecture , formerly codenamed Sandy Bridge Efi Rotem - Sandy Bridge

26Sandy Bridge - Hot Chips 2011

System thermal management

Digital DDR power meter for thermal prediction Count DDR read and write and calculate power Maximum bandwidth control to prevent critical heating Initiates double DDR refresh rate at high temperature

Supports DDR thermal sensor For a more accurate DDR temperature reading

Voltage Regulator thermal sensing Hot and critical conditions using in and out of band communication

Digital package temperature reporting Used by external agent for system fan control

Page 27: Sandy Bridge Power Management overview - Hot … management architecture of the 2nd generation Intel® Core microarchitecture , formerly codenamed Sandy Bridge Efi Rotem - Sandy Bridge

27Sandy Bridge - Hot Chips 2011

Power efficient memory controller

DDR power management Aggressive DDR power savings policies, configurable by PCU

− Normal power down− Pre-charge Power down− PLL off

Self Refresh Configurable policies for entering Self Refresh, based on package

power states, controlled by PCU

IO clock controls – power down

Page 28: Sandy Bridge Power Management overview - Hot … management architecture of the 2nd generation Intel® Core microarchitecture , formerly codenamed Sandy Bridge Efi Rotem - Sandy Bridge

28Sandy Bridge - Hot Chips 2011

Platform power management

Page 29: Sandy Bridge Power Management overview - Hot … management architecture of the 2nd generation Intel® Core microarchitecture , formerly codenamed Sandy Bridge Efi Rotem - Sandy Bridge

29Sandy Bridge - Hot Chips 2011

Platform power management - SVID SVID – Serial Voltage ID

New serial bus to control external Voltage Regulators Three wires serial bus – control multiple VRs Control VR voltage – continues fine grain optimization

Optimize voltage for changing conditions Optimize VR power savings mode - minimize power losses

Power States to optimize VR efficiency A function of current consumption and sleep states

Read VR parameters for PCU algorithms use Load line resistance, max Icc and temperature

Page 30: Sandy Bridge Power Management overview - Hot … management architecture of the 2nd generation Intel® Core microarchitecture , formerly codenamed Sandy Bridge Efi Rotem - Sandy Bridge

30Sandy Bridge - Hot Chips 2011

Platform power management – PECI PECI – A new platform control interface

Connects the PCU to external embedded controller Report - PCU communicates out to the embedded controller:

Individual component and max package temperature Individual and total package energy consumption Other power management status information Used for fan control and plat

Control: Package power – instantaneous and sustain (PL 1-PL2) Other power management settings and preferences Used by Embedded Controller to manage total system power

management

Page 31: Sandy Bridge Power Management overview - Hot … management architecture of the 2nd generation Intel® Core microarchitecture , formerly codenamed Sandy Bridge Efi Rotem - Sandy Bridge

31Sandy Bridge - Hot Chips 2011

Summary and conclusionsSandy Bridge is built to maximize user experience under constraints Throughput performance – Turbo over long time window Responsiveness – Turbo dynamically for short duration User guided CPU / PG performance balancing Battery life / Energy bills – Tight control of active and idle power states Rich set of control available for S/W, operating system and system

embedded controller allow: User preferences where tradeoff exists Enables small form factor platforms Improved ergonomics - lower acoustic noise

and heat

Page 32: Sandy Bridge Power Management overview - Hot … management architecture of the 2nd generation Intel® Core microarchitecture , formerly codenamed Sandy Bridge Efi Rotem - Sandy Bridge

32Sandy Bridge - Hot Chips 2011

Page 33: Sandy Bridge Power Management overview - Hot … management architecture of the 2nd generation Intel® Core microarchitecture , formerly codenamed Sandy Bridge Efi Rotem - Sandy Bridge

33Sandy Bridge - Hot Chips 2011

Turbo roadmap evolution

MobileDesktop

Merom/ Penryn(Mobile only)

Nehalem / W estm ere

Sandy BridgeClarksfieldLynnfield/Clarkdale

Arrandale

Cont rol

• CPU Core C-state

•Digital power m eter

• CPU Core C-states

• CPU Power - Plat form iMon

• CPU Core C-states

• CPU Power- Plat form iMon

• PG Power- Plat form iMon

• Package Power

• CPU Core C-states

• CPU/ PG/ Package power

• Built - in power m onitoring

•Power Budget Managem ent

•Plat form Cont rol (EC / VR)

Key NewCapabilit ies

• 1-2 turbo bin when other core is asleep

• Turbo cont rolledwithin power lim it

• Mult i- core turbo

• More turbo if cores are asleep

• PG dynam ic frequency

• Driver cont rolled power sharing between CPU and PG (Mobile)

• HW cont rolled powersharing between CPU - PG

• Brief turbo above TDP dynam ic Turbo

•More plat form cont rol via PECI 3.0 and SVID

TurboBehavior

I llust ra t ive only. Does not

represent actua l num ber of turbo bins.

SingleCore Turbo

DualCore Turbo

QuadCore Turbo

SingleCore Turbo

DualCore Turbo

GraphicsTurbo

DualCore Die

QuadCore Die

Dual Core DieQuad Core Die

0 1 0 1 2 3 0 1 2 3 0 1 2 3 0 1 GT 0 1 GT 0 1 GT 0 1 GT 0 1 2 3 GT