recent activities of the euv resist research and ... · recent activities of the euv resist...

28
Recent Activities of the EUV Resist Research and Development at Center for EUVL Takeo Watanabe, Tetsuo Harada, and Hiroo Kinoshita Center for EUVL, University of Hyogo

Upload: phamdieu

Post on 13-Feb-2019

220 views

Category:

Documents


0 download

TRANSCRIPT

Recent Activities of the EUV Resist Research and Development at 

Center for EUVL

Takeo Watanabe, Tetsuo Harada, and Hiroo Kinoshita

Center for EUVL, University of Hyogo

Outline1. Introduction

2. Chemical reaction analysis for increasing acid generation efficiency of the EUV resist using SR absorption spectrocopy

3. EUV Interference Lithography

4. Contamination evaluation using high power EUV source

5. Summary

Research Activities at Center for EUVL, University of Hyogo1984 Extreme Ultraviolet Lithography research is started

at NTT Atsugi Lab. (Hiroo Kinoshita’s Group)1994 First International Workshop in Japan1996-1998 56 nm L/S pattern was demonstrated first in the world by the exposure

tool (ETS-1) at NewSUBARU BL3 beamline(Collaboration with Nikon and Hitachi)

1998-2002 60 nm L/S pattern and 40 nm isolated line in the full field exposure was demonstrated the first in the world utilizing the updated ETS-1 at NewSUBARU BL3 beamline (Collaboration with ASET, Resist and Mask Companies)

2001 Full field exposure was demonstrated first in the worldat NewSUBARU BL3 beamline

2004-2009 Resist and mask collaboration work with Selete

2010- Resist and mask collaboration work with EIDEC, Resist and Mask Companies, Universities

2002-2007 EUV Microscope for mask defect inspection, JST, CREST

2008-2013 EUV Microscope for mask defect inspection, JST, CREST2009-2012 EUV Interference Lithographic Tool for 1X nm, JSPS

2010- Center for EUVL was established at University of Hyogo, to accelerate EUVL technology for the practical usage.

2012- SR absorption spectroscopy method was applied with the more fundamentalwork using EUV exposure to develop the EUV resist for 1x nm node and below.

Issue of EUV Resist Development

Sensitivity

Resolution LER

Acid diffusion

Acid diffusion

Shot noise

Sensitivity ≦ 10 mJ/cm2

LWR ≦ 2 nm (3)

Total Outgas ≦ 5×1013molecules/cm2/s

Specification of 22 nm node

Development of LER reduction and high sensitive resistis most significant issue.

EUV Interference Lithography for the resist evaluation of 1X nm node and below

Takeo Watanabe, Kazuya Emura, Tetsuo Harada, and Hiroo Kinoshita

Center for EUVL, LASTI, University of Hyogo

EUV Interference Lithography

10‐5 Pa

Pattern is appeared

EUV

- 1st order0th order

0th order+ 1st order

±1st order

Resist/WaferT-Grating

Resist patternEUV light

Exposure tool

Clean roomClass 100

■The Interference fringes were created at the interference point of 1storder and ‐1st order diffracted lights.■ Replication pattern pitch of the resist pattern has a half pitch size of the diffraction grating. 

hp 15 nm50 μm

150μm

hp 45 nm

hp 40 nm

hp 35 nm

hp 30 nm

hp 22.5 nm

hp 20 nm

hp 17.5 nm

hp 15 nm

Two window transmissiongrating

Four window transmission grating 

hp 50 nmhp 35 nm

hp 40 nmhp 28 nm

hp 28 nmhp 15 nm

Resist replication results

Fabrication of the transmission diffraction grating

Back side

Resist evalutaion results using EUV‐IL at Center for EUVL (20 nm L/S)                  SEM images (@X200k )

Resist B

Resist D

Resist A

Resist E

Resist C

Resist F

Resist BResist A

Resist C

Resist E

Resist G

Resist evalutaion results using EUV‐IL at Center for EUVL (15 nm L/S)                  SEM images (@X200k )

Conclusions

1) The transmission grating with 30 nm L/S was succeeded with fabrication.2) The vibration displacement was reduced to be 5 nm in maximum.3) hp 15 nm L/S pattern and hp 28 nm hole pattern was replicated.4) The EUV-IL is to open use for evaluation of resist for 1X nm in EUVL to accelerate resist development.

Near future plan

1) In the near future, for hp 11 nm resist patterning, fabrication of the transmission gratings would be improved, and we will realize evaluation of EUV resist for 11 nm.

2) We will evaluate various type of EUV resists.

1) We would like to thank Dr. Andrew Grenville and Dr. Jason Stowers of InpriaCorporation for their support to provide the resist materials.

2) This research is supported by Research Fellow of the Japan Society for the Promotion of Science.

Acknowledgments

SR Absorption Spectroscopy for the Chemical Reaction Analysis 

of EUV Resist

Takeo Watanabe1) ,Kazuya Emura1) ,Yuichi Haruyama1) ,Daiju Shiono2) , Katsumi Ohmori2),

Kazufumi Satoh2),Yasuji Muramatsu1),Testuo Harada1) ,and Kinosita Hiroo1)

1)University of Hyogo2)Tokyo Ohka Kogyo

1.0E-08

1.0E-07

1.0E-06

1.0E-05

1.0E-04

1 8

15

22

29

36

43

50

57

64

71

78

85

92

99

106

113

120

127

134

141

148

155

162

169

176

183

190

197

Mass Number

Par

tial

Pre

ssure

[Pa]

(b) Partial pressure displacement(a) Fragments from GC‐mass

CHH2C

CH3

O

O

CH3

CH3

O

CHH2C

CH3

O

O

CH3

CH3

O

Mw: 43

Mw: 89CHH2C

CH3

O

O

CH3

CH3

O

CHH2C

CH3

O

O

CH3

CH3

O

Mw: 58

(c) Decomposition reaction of PGMEA in resist

PGMEA Decomposition Reaction under EUV exposure

Model resist employed in this studySample Resist A Resist B Resist C

Base polymer poly (vinylphenol-co-tert

butylacrylate)

PAG TPS-Imidate TPS-Nonaflate(10 wt% Ref.)

TPS-Cs

Solvent propylene glycol monomethylether acetate (PGMEA)

S+ C4F9SO3-

S+

O2S CF2

CF2

CF2O2S

-N

OH

O

O

m n

S

S

O

OO

O

0

0.2

0.4

0.6

0.8

1

1.2

0.1 1.0 10.0 100.0

Nor

mal

ized

Thi

ckne

ss

Dose (mJ/cm2)

Resist AResist B

0

0.2

0.4

0.6

0.8

1

1.2

0.1 1.0 10.0 100.0

Norm

arize

d Th

ickn

ess

Dose (uC/cm2)

Resist AResist B

Sensitivity difference under KrF, and EB exposures

KrF EB

Sensitivity difference under EUV exposures

0

0.2

0.4

0.6

0.8

1

1.2

0.1 1.0 10.0

Nor

mal

ized

Thi

ckne

ss

Dose (mJ/cm2)

Resist AResist BResist C

Sample E0 SensitivityResist A 1.1 mJ/cm2

Resist B 3.8 mJ/cm2

Resist C 6.0 mJ/cm2

OH

O

O

m n

OH

O

O

m n

+ e‐

S+

O2S CF2

CF2

CF2O2S

-N S+

O2S CF2

CF2

CF2O2S

-N S+

O2S CF2

CF2

CF2O2S

-NH+‐S‐ + +e‐ H+

EUV光( hν ) 

Single reaction by one photon( Ionization reaction)

Conventional reaction

Why the sensitivity different?

H+   ‐X2‐EUV light ( hν ) 

S+

O2S CF2

CF2

CF2O2S

-N

H+ X3‐

Multiple reaction by one photon( Direct excitation reaction)

Additional reacation?

H+  X1‐

+A1+ +  A2

+

SR absorption spectroscopyC    π* bondingF 1s orbit

BL‐7bWorld first analysis for chemical 

reaction of EUV resist!!

Energy ResolutionE/ΔE=~3000

Resist chemical reaction study by SR absorption spectroscopy for high sensitivity and low LWR

Decomposition reaction of cation in TPS-Imidateemployed as the PAG

π* bonding(C=C)285.5eVEUV exposure 

dose0 mJ/cm2

20 mJ/cm2

50 mJ/cm2

70 mJ/cm2

100mJ/cm2

Ionization (single reaction by one 

photon)

Imidate structure

EUV光の露光量0 mJ/cm2

30 mJ/cm2

50 mJ/cm2

70 mJ/cm2

π*結合(C=C)285.5eV

Nonaflate structure

Ionization

C4F9SO3‐ C4F9SO3‐

Decomposition reaction for cation in TPS-Nonaflateemployed as the PAG

Anion decompostion differences in Imidate andNonaflate

S+ C4F9SO3-

0 m S+

O2S CF2

CF2

CF2O2S

-N

Anion of Imidate is easier to decompose

than that of Nonaflate.

Imidate structure

Nonaflate structure

EUV exposreue dose0 mJ/cm2

30 mJ/cm2

50 mJ/cm2

70 mJ/cm2

100 mJ/cm2

0 mJ/cm2

30 mJ/cm2

50 mJ/cm2

100 mJ/cm2

EUV exposure dose

F 1s

FT‐IR spectra of Resists A and B

Resist B

Resist A4000.0 3000 2000 1500 1000 700.0

cm-1

A

4000.0 3000 2000 1500 1000 700.0cm-1

A

4000.0 3000 2000 1500 1000 700.0cm-1

A

S+ -NO2S CF2

CF2

CF2O2S

S+ C4F9SO3-

The computation results of infrared spectrum by the electron orbital perturbation theory using the software code Gaussian04

OH

O

O

m n

OH

O

O

m n

+ e‐

S+

O2S CF2

CF2

CF2O2S

-N S+

O2S CF2

CF2

CF2O2S

-N S+

O2S CF2

CF2

CF2O2S

-NH+‐S‐ + +e‐ H+

EUV light ( hν ) 

EUV light ( hν ) S+

O2S CF2

CF2

CF2O2S

-N

Ionization reaction

Direct excitation reaction

Conventional reaction

Additional reaction

+by‐product

Why 4 times high sensitive in Imidate type 

of PAG under EUV exposure??

H+ ‐F‐

H+  ‐N‐SO2

H+   ‐N‐SO2 ‐CF2

Assumption was proved!!

Conclusion

1) The CA resist which employed  TPS‐Imidate as PAG has higher sensitivity comparison to that of TPS‐Nonaflate.

2) The reaction SR absorption spectroscopy indicate that as for the absorption changes of fluorine 1s core level, the Imidate employed as the anion of PAG is decomposed under EUV exposure. This means that the additional acid is generated to increase the acid yield.

3) The reaction indicated above is agree with the outgassing analysis for the TPS‐Imidate.

Summary

1. EUV interference lithographyUsing EUV‐IL 15nm hp was replicated. And EUV‐IL lithographic tool has a capability to evaluate EUV resist material for 1x nm node.

2. SR absorption spectroscopy for the chemical reaction analysis for EUV resist1) The resist employed TPS‐Imidate as PAG is approximately four times higher than that as TPS‐Nonaflate.2) The reaction SR absorption spectroscopy indicate that as for the absorption changes of fluorine 1s core level, the Imidate employed as the anion of PAG is decomposed under EUV exposure. This means that the additional acid is generated to increase the acid yield.