pulsion® plasma implant update · pulsion® key technical advantages •small volume, remote...

74
PULSION® Plasma Implant Update JULY 2015 Semicon SFO

Upload: others

Post on 27-Jul-2020

12 views

Category:

Documents


0 download

TRANSCRIPT

Page 1: PULSION® Plasma Implant Update · PULSION® Key Technical Advantages •Small volume, remote plasma source –De-coupling of plasma source and process chamber –Tunable etching/deposition

PULSION®

Plasma Implant

Update

JULY 2015 – Semicon SFO

Page 2: PULSION® Plasma Implant Update · PULSION® Key Technical Advantages •Small volume, remote plasma source –De-coupling of plasma source and process chamber –Tunable etching/deposition

IBS PULSION®

A Differentiated Plasma Doping Technology

for Advanced Device Manufacturing

and High Productivity Applications

IBS Confidential

Page 3: PULSION® Plasma Implant Update · PULSION® Key Technical Advantages •Small volume, remote plasma source –De-coupling of plasma source and process chamber –Tunable etching/deposition

Agenda

• Advanced Technical Needs and Trends • PULSION™ Design Advantages and Validation • Safety Validation • New PULSION™ Developments

– Options – Marathon Performance – Manufacturability – Dose Calibration – 450mm

• Update On Applications and Species – FinFET – 3D Modeling Project – Hot As – FDSOI – III-V

IBS Confidential

Page 4: PULSION® Plasma Implant Update · PULSION® Key Technical Advantages •Small volume, remote plasma source –De-coupling of plasma source and process chamber –Tunable etching/deposition

1.E+11

1.E+12

1.E+13

1.E+14

1.E+15

1.E+16

1.E+17

0.1 1 10 100 1000 10000

Do

se (a

t/cm

²)

Energy (keV)

Twin WellB, P

D/WellP

PAI/Defect EngGe, C, N, Xe, Sb,

Si, F Halo/PocketB, BF2, P, As, In

Vt/ Channel EngB, P, BF2, As, In,

Sb

CCD

SDE EngineeringB, BF2, As

Gate Doping BF3

S/D BF2, P, As, B

Contact/Plug BF2, P

Wafer Bonding for SOI H, He

PULSION 0-10kV

PULSION 0-50kV

Why Plasma Immersion Ion Implantation (PIII)?

• New technology requirements – Lower Energy

– Higher Dose

• Material modification

• 3D structures

• Plasma Implantation (PIII) – No risk of high energy contamination

– Simultaneous implantation of the whole wafer • No scanning required

– Ultra Low Energy (down to eV)

– High implantation current even at low energies

– 3D doping

Page 5: PULSION® Plasma Implant Update · PULSION® Key Technical Advantages •Small volume, remote plasma source –De-coupling of plasma source and process chamber –Tunable etching/deposition

Rotating chuck for

better uniformity

Continuous or pulsed plasma Remote ICP plasma source

High Pressure, very high density plasma, low gas flow:

Low Pressure, high density plasma

O

- HV

ON

OFF

+ + +

Plasma Sheath

Longer distance to improve uniformity

Adjustable Wafer/Source Offset

Wafer

Features to Improve Uniformity

PULSION ® - Dual Region Chamber Design

PULSION® schematic: unique features enabling high performance process

Page 6: PULSION® Plasma Implant Update · PULSION® Key Technical Advantages •Small volume, remote plasma source –De-coupling of plasma source and process chamber –Tunable etching/deposition

PULSION® Configurations

PULSION nano PULSION nano Auto-loading

PULSION HP

Manual loading 1 chamber

Auto loading 1-2 chambers

Auto loading 1-4 chambers

Labs Device qualification Production

+ Substrate heating (up to 500°C on chuck)

IBS Confidential

Page 7: PULSION® Plasma Implant Update · PULSION® Key Technical Advantages •Small volume, remote plasma source –De-coupling of plasma source and process chamber –Tunable etching/deposition

PULSION™ Overview

• Plasma Immersion Ion Implantation

– 100V to 10kV (20kV option)

– 1e14 /cm² to 1e18/cm² Dose

– 200 or 300mm

– Up To 4 Chambers

– Up To 6 Gas Lines/Chamber

– In-situ Dosimetry

– Available Species

• Gas Precursor

• Liquid Precursor

– Passivation/ Outgassing Chamber

– High Temp Implant Option (up to 450°C)

IBS Confidential

Page 8: PULSION® Plasma Implant Update · PULSION® Key Technical Advantages •Small volume, remote plasma source –De-coupling of plasma source and process chamber –Tunable etching/deposition

PULSION ® - Available Species • Current Species Used

– B (BF3, B2H6) – P (PH3) – As (AsH3) – C (CH4, CF4) – N (N2) – O (O2) – F (several) – Si (SiH4, SiF4) – S (SF6) – H (H2) – He – Ge (GeH4, GeF4, under study) – Al

IBS Confidential

+ + +

Page 9: PULSION® Plasma Implant Update · PULSION® Key Technical Advantages •Small volume, remote plasma source –De-coupling of plasma source and process chamber –Tunable etching/deposition

Safety

• Customer Concerns Regarding As Outgassing

– As < detectable limits with normal PULSION configuration

– Past experience with other PIII systems drove OG chamber

– Also used for Passivation applications and others…..

• S2/S8 Certification Complete

• Fab Interlock interfaces complete and verified

IBS Confidential

Page 10: PULSION® Plasma Implant Update · PULSION® Key Technical Advantages •Small volume, remote plasma source –De-coupling of plasma source and process chamber –Tunable etching/deposition

IBS PULSION®

Technical Advantages

From Unique PULSION™ Design

IBS Confidential

Page 11: PULSION® Plasma Implant Update · PULSION® Key Technical Advantages •Small volume, remote plasma source –De-coupling of plasma source and process chamber –Tunable etching/deposition

PULSION® Key Technical Advantages • Small volume, remote plasma source

– De-coupling of plasma source and process chamber – Tunable etching/deposition ratio – Excellent conformal doping of 3D/Fin structures

• no erosion and residual damage

– Very low gas consumption and exhaust – Lower acquisition and installation costs – Best choice for low energy and/or high dose applications – Versatility for Materials Modification

• More process parameter tuning options than BL or

other Plasma tools – Multiple controls of ion behavior

IBS Confidential

Page 12: PULSION® Plasma Implant Update · PULSION® Key Technical Advantages •Small volume, remote plasma source –De-coupling of plasma source and process chamber –Tunable etching/deposition

PULSION® Key Productivity & Cost Advantages

• Throughput 2 to 4 times higher than BL – ~50% footprint area of BL tools

– High Dose/Low Energy Applications

• Low (2 to 10 sccm) gas consumption

• Cost per wafer is <50% of BL cost

• Lower Installation Costs (on board gas distribution)

• PULSION is the GREEN Plasma Doping Solution

IBS Confidential

Page 13: PULSION® Plasma Implant Update · PULSION® Key Technical Advantages •Small volume, remote plasma source –De-coupling of plasma source and process chamber –Tunable etching/deposition

DOSIMETRY

IBS Confidential

Page 14: PULSION® Plasma Implant Update · PULSION® Key Technical Advantages •Small volume, remote plasma source –De-coupling of plasma source and process chamber –Tunable etching/deposition

• Dose calibration examples for BF3

Mesure de la dose chimique de bore pour différentes

tensions de polarisation

1,00E+14

1,00E+15

1,00E+16

BF3 1E15at/cm²

Do

se

ch

imiq

ue

(a

t/c

m²)

6,5kV LPD-ICPMS

6,5kV PCOR-SIMS

4,5kV LPD-ICPMS

4,5kV TOF-SIMS

1kV LDP-ICPMS

1kV SIMS

Dosimetry

IBS Confidential

In PIII at low energy, retained dose of doping species can’t be precisely measured by a simple current integration (even using a Faraday cup system) , calibration is needed.

Page 15: PULSION® Plasma Implant Update · PULSION® Key Technical Advantages •Small volume, remote plasma source –De-coupling of plasma source and process chamber –Tunable etching/deposition

PULSION™ PERFORMANCE

IBS Confidential

Page 16: PULSION® Plasma Implant Update · PULSION® Key Technical Advantages •Small volume, remote plasma source –De-coupling of plasma source and process chamber –Tunable etching/deposition

LEXES Boron Dose mapping

s =0,73%

Sheet resistance mapping

s =0,802%LEXES depth mapping @5e18/cm3

s =1,86%

DRAM Poly Gate Counter-Doping

BF3 6.5kV 7E16 cm-2

HF strip before anneal

1000C, 10 sec anneal

Doping Uniformity (300 mm wafers)

USJ Application

BF3 1 kV 1E15/cm²

(implant depth 10nm @ 1E19/cm3)

Rs uniformity : 0.8% (1σ)

Dose uniformity : 0.73% (1σ)

Depth uniformity : 1.86% (1σ)

RTA Anneal

Rs uniformity : 0.98% (1σ)

IBS Confidential

Page 17: PULSION® Plasma Implant Update · PULSION® Key Technical Advantages •Small volume, remote plasma source –De-coupling of plasma source and process chamber –Tunable etching/deposition

Uniformity (1σ) < 1%

Repeatability (1σ) = 1.16%

Mean Rs

(ohms/sq.)

Uniformity

(%, 1σ)

162.55 0.828

161.19 0.780

160.17 0.787

157.96 0.777

158.66 0.840

Reproducibility

(BF3 1kV 1E15/cm² + RTA)

550

600

650

700

750

800

0 5 10 15 20 25

wafer n°

Sh

ee

t re

sis

tan

ce

(O

hm

/sq

)

DRAM Poly Gate Counter-Doping

BF3, 6.5 kV, 7E16 cm-2

HF strip before anneal

1000C, 10 sec anneal

USJ Application

BF3, 1 kV, 1E15 cm-2

RTA Anneal

Uniformity (1σ) < 1%

Repeatability (1σ) = 1.6%

Uniformity & Repeatability (300 mm wafers)

IBS Confidential

Page 18: PULSION® Plasma Implant Update · PULSION® Key Technical Advantages •Small volume, remote plasma source –De-coupling of plasma source and process chamber –Tunable etching/deposition

SIMS Profiles: Voltage Response for BF3

• Implant depth control proportional to wafer voltage

• USJ depths below 10nm can be achieved by reducing the wafer voltage

0

5

10

15

20

25

30

0 1 2 3 4 5 6

Xj @

5E

18 a

t/cm

3 (

nm

)

HV (kV)

Xj vs HV

IBS Confidential

Page 19: PULSION® Plasma Implant Update · PULSION® Key Technical Advantages •Small volume, remote plasma source –De-coupling of plasma source and process chamber –Tunable etching/deposition

Control of Si Etching with F containing species

Unimplanted Implanted

+~1 nm of

Oxide Growth

Si Substrate

Si (15 nm)

SiN (4 nm) Implanted structure

IBS Confidential

HRTEM : Implanted (BF3 4kV, 1.5E16 atoms/cm² ) / Unimplanted Samples

Page 20: PULSION® Plasma Implant Update · PULSION® Key Technical Advantages •Small volume, remote plasma source –De-coupling of plasma source and process chamber –Tunable etching/deposition

SIMS Profile of Arsenic USJ with Flash Anneal

1E+16

1E+17

1E+18

1E+19

1E+20

1E+21

1E+22

1E+23

0 10 20 30 40 50 60

Depth (nm)

As C

on

cen

trati

on

(ato

ms/c

m3)

1E+00

1E+01

1E+02

1E+03

1E+04

1E+05

1E+06

Si3

Co

un

ts/s

ec

As

Si3

1E+16

1E+17

1E+18

1E+19

1E+20

1E+21

1E+22

1E+23

0 1 2 3 4 5 6 7 8 9 10

Depth (nm)

As C

on

cen

trati

on

(ato

ms/c

m3)

1E+00

1E+01

1E+02

1E+03

1E+04

1E+05

1E+06

Si3

Co

un

ts/s

ec

As

Si3

AsH3, 0.3 kV, 2E14 cm-2

1200C flash anneal As depth at 1E18 cm-3 is 7 nm

Sematech Confidential with Permission IBS Confidential

Page 21: PULSION® Plasma Implant Update · PULSION® Key Technical Advantages •Small volume, remote plasma source –De-coupling of plasma source and process chamber –Tunable etching/deposition

Implant time (Implant current) stability (BF3 recipe)

Minimized impact of PM or species change on implant current and implant time

Stable process time

IBS CONFIDENTIAL

Effect of PM and Species Change

Page 22: PULSION® Plasma Implant Update · PULSION® Key Technical Advantages •Small volume, remote plasma source –De-coupling of plasma source and process chamber –Tunable etching/deposition

BF3 sheet resistance and non uniformity (BF3 5kV 4E15/cm² + RTA 1050°C)

25 to 50 wafers are needed to condition the tool after PM (total dose of 1.2E17/cm²)

Non uniformity : 1 to 2 %

Wafer to wafer reproducibility after 35 wafers : 1.5%

IBS CONFIDENTIAL

Tool Conditioning after PM for BF3

0.00%

1.00%

2.00%

3.00%

4.00%

5.00%

6.00%

7.00%

8.00%

9.00%

10.00%

200

300

400

500

600

700

800

900

1000

0 25 50 75

Shee

t re

sist

ance

(O

hm

/sq

)

Wafer number

Sheet resistance

Non Unformity %

PM + NF3 clean

Page 23: PULSION® Plasma Implant Update · PULSION® Key Technical Advantages •Small volume, remote plasma source –De-coupling of plasma source and process chamber –Tunable etching/deposition

AsH3 sheet resistance and non uniformity (AsH3 4kV 4E15/cm² + RTA 1050°C)

10 to 25 wafers are needed to condition the tool after PM (total dose of 1.2E17/cm²)

Non uniformity improves to < 2% after 25 wafers

Wafer to wafer reproducibility after 12 wafers : 1.2 %

0.00%

1.00%

2.00%

3.00%

4.00%

5.00%

6.00%

7.00%

8.00%

9.00%

10.00%

0

100

200

300

400

500

600

700

800

0 5 10 15 20 25

Shee

t re

sist

ance

(O

hm

/sq

)

Wafer number

Sheet resistance

Non Unformity %

IBS CONFIDENTIAL

Tool Conditioning after PM for AsH3

PM + NF3 clean

Page 24: PULSION® Plasma Implant Update · PULSION® Key Technical Advantages •Small volume, remote plasma source –De-coupling of plasma source and process chamber –Tunable etching/deposition

Handling : Front side : < 1 adder @ 65 nm

Backside :

• 700 to 1000 adders @ > 120 nm demonstrated

Argon implant : Front side particle : Ar 1kV 1E15/cm² and 1E16/cm²

IBS CONFIDENTIAL

Particles

Ar 1 kV 1E15 /cm² Ar 1 kV 1E16 /cm²

size > 65nm > 80nm

> 120nm

> 65nm

> 80nm

> 120nm

adders 6 5 3 7 6 5

Page 25: PULSION® Plasma Implant Update · PULSION® Key Technical Advantages •Small volume, remote plasma source –De-coupling of plasma source and process chamber –Tunable etching/deposition

IBS PULSION®

450 mm Scalaibility

IBS Confidential

Page 26: PULSION® Plasma Implant Update · PULSION® Key Technical Advantages •Small volume, remote plasma source –De-coupling of plasma source and process chamber –Tunable etching/deposition

450 mm PULSION® Scalability PULSION® advantages for 450 mm :

• Implant time is independent from the surface

• Doping efficiency proven on the technology which will be installed on 450 mm

(FinFET doping, material modification, memories)

450mm prototype : same design 300mm PULSION® tool

Roadmap for 450 mm :

• Phase 1 : demonstrate scalability : target < 4% non homogeneity

• Phase 2 : final design : < 1% non homogeneity

IBS Confidential

Page 27: PULSION® Plasma Implant Update · PULSION® Key Technical Advantages •Small volume, remote plasma source –De-coupling of plasma source and process chamber –Tunable etching/deposition

450 mm PULSION® Scalability BF3 6kV implant on n-type 450 mm wafers

Dose and implant depth uniformity meseared by CAMECA LEXES

=> Less than 4% non uniformity demonstrated

IBS Confidential

Page 28: PULSION® Plasma Implant Update · PULSION® Key Technical Advantages •Small volume, remote plasma source –De-coupling of plasma source and process chamber –Tunable etching/deposition

IBS PULSION®

Compatibility with CMOS devices

IBS Confidential

Page 29: PULSION® Plasma Implant Update · PULSION® Key Technical Advantages •Small volume, remote plasma source –De-coupling of plasma source and process chamber –Tunable etching/deposition

PULSION® General Performance: fully CMOS compatible

• Compatibility with resist • Compatibility with standard resist strip processes used for beam line implantation

IBS Confidential

PCOR-SIMS depth profiles of n-type wafers plasma doped with BF3 6kV 5E16/cm2

No resist damages No dose loss or excessive oxidation

after plasma strip resist process

Page 30: PULSION® Plasma Implant Update · PULSION® Key Technical Advantages •Small volume, remote plasma source –De-coupling of plasma source and process chamber –Tunable etching/deposition

IBS Confidential

Ar implantation : Measurement by VPD-ICPMS

Measured

Lower than

measurement

capability

PULSION® General Performance: metal contamination

Page 31: PULSION® Plasma Implant Update · PULSION® Key Technical Advantages •Small volume, remote plasma source –De-coupling of plasma source and process chamber –Tunable etching/deposition

PULSION® General Performances : fully CMOS compatible

• Customer need:

– Similar doping results than beamline implantation

– No process flow modification

– Higher throughput and performances

• Typical application

– S/D for CMOS devices

• PULSION benefits – LDD Doping of 120 nm CMOS Devices

– High throughput

– Die consumption below spec, comparable to beamline implant

– Relative yields comparable to or better than beamline implant

ST Rousset / IBS Collaboration (presented at IIT 2010)

IBS Confidential

Page 32: PULSION® Plasma Implant Update · PULSION® Key Technical Advantages •Small volume, remote plasma source –De-coupling of plasma source and process chamber –Tunable etching/deposition

• Application on SOI transistor : collaboration with CEA LETI, IIT2012

– Less amorphization than beam line for equivalent implant depth

– As efficient as beam line on electrical characteristics of devices

– Only solution for FD-SOI where < 300 eV implantation is needed

IBS Confidential

With courtesi of CEA LETI

USJ applications

Courbe Ioff en fonction du Ion pour differentes

longueurs de grilles (W=0,08µm)

-14

-12

-10

-8

-6

-4

-2

0 200 400 600 800 1000 1200

Ion (µA/µm) (Vd=Vg= -0.9V)

Ioff (A/µm) (Vd= -

0.9V)

Classique 1

Classique 2

Classique 3

Pulsion1

Pulsion 2

Pulsion3

Page 33: PULSION® Plasma Implant Update · PULSION® Key Technical Advantages •Small volume, remote plasma source –De-coupling of plasma source and process chamber –Tunable etching/deposition

ADVANCED PULSION™ IMPLANT PROCESSES

FINFET DOPING

IBS Confidential

Page 34: PULSION® Plasma Implant Update · PULSION® Key Technical Advantages •Small volume, remote plasma source –De-coupling of plasma source and process chamber –Tunable etching/deposition

FinFET BF3 Doping using PULSION® : conformal doping

• Conformal doping of as-implanted sample

– White regions on top of fins, along sidewalls, and between fins are B-doped, not B deposition on surfaces of fins.

– Equal thicknesses of all regions. No dimensional fin changes.

• Entire fin is light-colored after PMOS source/drain anneal

– Anneal caused B to diffuse toward center of fin

S. Felch et al. (Sematech, CNSE, IBS), IWJT11

IBS Confidential

Page 35: PULSION® Plasma Implant Update · PULSION® Key Technical Advantages •Small volume, remote plasma source –De-coupling of plasma source and process chamber –Tunable etching/deposition

FinFET BF3 Doping using PULSION® : defects

• HRTEM image of BF3, 0.5 kV, 1E15 cm-2 implant

• Thickness of amorphous layer ~2 nm (SiO2)

– Thin enough to leave crystalline Si region in interior of 16nm node fin and enable complete regrowth of fin Si

IBS Confidential

Page 36: PULSION® Plasma Implant Update · PULSION® Key Technical Advantages •Small volume, remote plasma source –De-coupling of plasma source and process chamber –Tunable etching/deposition

FinFET AsH3 Doping using PULSION®

FinFET doping requirements for < 10 nm nodes

• Ultra shallow junction (< 5 nm)

• Conformal doping

• No Fin erosion

• High retained dose

• Perfect crystal recovery after activation annealing

Tested on the following structure :

IBS Confidential

Page 37: PULSION® Plasma Implant Update · PULSION® Key Technical Advantages •Small volume, remote plasma source –De-coupling of plasma source and process chamber –Tunable etching/deposition

FinFET AsH3 Doping using PULSION®

planarization

SiO2

deposition,

RTA , SiO2

removal

LEXES LEXES SIMS Sample

cutting

HR-STEM + EDX + SSRM

Full characterization of

As implants on Fins

Experiment design (30 nm x 50 nm Fins)

56

Page 38: PULSION® Plasma Implant Update · PULSION® Key Technical Advantages •Small volume, remote plasma source –De-coupling of plasma source and process chamber –Tunable etching/deposition

FinFET AsH3 Doping using PULSION®

Main results : TEM-EDX

Very good conformality,

Efficiency of SiO2 cap layer to avoid dose loss

Not annealed

Annealed

No Fin etching

Perfect cristal regrowth

57

Page 39: PULSION® Plasma Implant Update · PULSION® Key Technical Advantages •Small volume, remote plasma source –De-coupling of plasma source and process chamber –Tunable etching/deposition

FinFET AsH3 Doping using PULSION®

Main results :

Sample SIMS (center) EDX (center)

Dose Top / Sidewall

(at/cm²) Conformality

Dose Top / Sidewall

(at/cm²) Conformality

Slot 4

(as implanted) 8.9E14 / 8.0E14 90 % 7.8E14 / 7.0E14 90 %

Slot 5

(annealed) 2.1E15 / 2.1E15 100 % 1.5E15 / 1.5E15 100 %

SIMS uses integral deconvolution to calculate As dose at fin top and fin sidewall.

EDX dose is calculated by the integral of the EDX profiles

Very good and coherent conformality were measured

58

Page 40: PULSION® Plasma Implant Update · PULSION® Key Technical Advantages •Small volume, remote plasma source –De-coupling of plasma source and process chamber –Tunable etching/deposition

FinFET AsH3 Doping using PULSION®

SSRM: (wider structures on the same wafers)

• Good conformality of active carriers on FIN structures

5.6E19/cm3

5.0E19/cm3 5.3E19/cm3

59

Page 41: PULSION® Plasma Implant Update · PULSION® Key Technical Advantages •Small volume, remote plasma source –De-coupling of plasma source and process chamber –Tunable etching/deposition

FinFET AsH3 Doping using PULSION®

Main results : LEXES dose non uniformity and dose loss

Good non uniformity

of PULSION

implantation

on Fin structured

wafers

(Non unif < 3%)

Dose loss (50%) and

non uniformity

(Non unif = 15%)

As implanted

After SiO2 cap dep. /

annealing /

SiO2 cap etching

During SiO2

cap deposition During annealing

After cap etching

SiO2 cap layer deposition,

annealing and cap etching

processes are the main

sources of dose loss and non

uniformity 60

Page 42: PULSION® Plasma Implant Update · PULSION® Key Technical Advantages •Small volume, remote plasma source –De-coupling of plasma source and process chamber –Tunable etching/deposition

FinFET AsH3 Doping Using PULSION® Summary :

• AsH3 PULSION® implantation shows a very good conformality on FIN

structures

• No Fin erosion nor crystal defect after annealing was observed

• SiO2 cap layer is effective to avoid dose loss during annealing and allow high

dose retention in silicon.

• SiO2 cap layer deposition and etching as well as RTA processes must be well

controlled not to degrade the good non-uniformity obtained after implantation

IBS Confidential

Page 43: PULSION® Plasma Implant Update · PULSION® Key Technical Advantages •Small volume, remote plasma source –De-coupling of plasma source and process chamber –Tunable etching/deposition

Approach n°1 (very simple) : use of SRIM to implant through a gas layer

3D PULSION Modeling

Voltage , plasma density and

Pressure

Density and thickness of target layer (the sheath)

SRIM Energy & angle distribution of

each ion

Example for AsH3 plasma : implantation of As at 500 eV through 1 mm of As gas

Pressure : P1 Pressure : P2 =10 x P1

• Scattering of transmitted ions

• Recoil atoms due to colisions

Page 44: PULSION® Plasma Implant Update · PULSION® Key Technical Advantages •Small volume, remote plasma source –De-coupling of plasma source and process chamber –Tunable etching/deposition

Some tendencies (AsH3) : Transmitted ions

3D Modeling

Low energy + high pressure conformal implantation (and deposition)

0

10

20

30

40

50

60

70

80

90

100

0 50 100 150 200 250 300 350 400 450 500

%

Effect of pressure on energy distribution

P1

P2 = 10 x P1

500 V 10 mm

Energy (eV)0

20

40

60

80

100

0 10 20 30 40 50 60 70 80 90

%

Angle (°)

Effect of pressure on angle distribution

P1

P2 = 10 x P1

500 V 10 mm

0

5

10

15

20

25

0 200 400 600 800 1000 1200

%

Energy (eV)

Effect of acceleration voltage on energy distribution at High Pressure

350 V

500V

1000V Scattering of transmitted ions

-Angle distribution (3D implant)

-Energy distribution

Page 45: PULSION® Plasma Implant Update · PULSION® Key Technical Advantages •Small volume, remote plasma source –De-coupling of plasma source and process chamber –Tunable etching/deposition

Some tendencies (AsH3) : Recoil atoms

500V, P2

3D Modeling

0

5

10

15

20

25

30

0 50 100 150 200 250 300 350 400 450 500

%

Energy (eV)

Energy distribution of recoil ions

-5

0

5

10

15

20

25

0 10 20 30 40 50 60 70 80 90

%

Tilt (°)

Angular distribution of recoil ions

Low energy + uniformaly tilted atoms conformal deposition

Page 46: PULSION® Plasma Implant Update · PULSION® Key Technical Advantages •Small volume, remote plasma source –De-coupling of plasma source and process chamber –Tunable etching/deposition

ADVANCED PULSION™ IMPLANT PROCESSES

HOT IMPLANTATION

IBS Confidential

Page 47: PULSION® Plasma Implant Update · PULSION® Key Technical Advantages •Small volume, remote plasma source –De-coupling of plasma source and process chamber –Tunable etching/deposition

PULSION® PIII Implanter

Schematic of PULSION® with high temp chuck option

O

- HV

ON

OFF

+ + + +

Heated chuck (T° control using real time feedback loop)

Continuous or pulsed plasma

Remote ICP plasma source

Low Pressure, high density plasma

Plasma Sheath

Longer distance to improve homogeneity

Dual Region Chamber

Design (DRC)

Wafer

• Heated chuck with realtime feedback loop to compensate heating by

plasma and implant.

• Up to 500°C

IBS Confidential

Page 48: PULSION® Plasma Implant Update · PULSION® Key Technical Advantages •Small volume, remote plasma source –De-coupling of plasma source and process chamber –Tunable etching/deposition

Hot Implantation

Advanced device requirements for Ion Implantation :

• FinFET : 3D conformal shallow doping + no amorphization of the FIN for good crystal regrowth

• FD-SOI : shallow doping + no amorphization of the Si-Top film to allow crystal Epi regrowth and Epi layer deposition (elevated S/D)

• III-V, SiGe, SiC, Diamond, or other exotic and thermally unstable semiconductor : need to reduce defects during implant to limit thermal budget of post implant annealing

• 3D integration : Need to reduce thermal budget for activation and crystal recovery after implantation

PIII + hot implantation

= PULSION® with high temp. option

L. A. Marqués et al., J. Appl. Phys. 111, 034302 (2012)

IBS Confidential

Page 49: PULSION® Plasma Implant Update · PULSION® Key Technical Advantages •Small volume, remote plasma source –De-coupling of plasma source and process chamber –Tunable etching/deposition

High Temp Implant Summary • Up to 500°C Plasma Immersion Ion Implantation is now available on

PULSION® and has been qualified using AsH3 plasma

• High temperature PIII implant allows drastic reduction of the thickness of

amorphous layer after Arsenic implantation, even at high dose.

• Hot implantation induces 10% deeper profile (also observed on beam line)

due to enhanced diffusion of interstitials and vacancies during implant and

channeling.

• Partial activation is observed after high temperature implantation even at

300°C, but after annealing sheet resistance does not depend on implant

temperature if the as-implanted retained dose is the same.

• No big differences are observed between 400°C and 500°C implantations.

Application for FINFET doping and FD-SOI is under study

(Places2Be European project)

IBS Confidential

Page 50: PULSION® Plasma Implant Update · PULSION® Key Technical Advantages •Small volume, remote plasma source –De-coupling of plasma source and process chamber –Tunable etching/deposition

Experiment 1 : AsH3 10 kV high dose

For the same as-implanted retained dose (~ 1.2 E16 /cm²)

• After implant :

• High temp implant are ~ 10% deeper

• Same “channeling” tail for samples implanted at high temp.

• After annealing :

• no big difference in profile above 1E19/cm3

• below 1E19/cm3 the tail increases with implant temperature

IBS Confidential

Page 51: PULSION® Plasma Implant Update · PULSION® Key Technical Advantages •Small volume, remote plasma source –De-coupling of plasma source and process chamber –Tunable etching/deposition

TEM images and thickness measurement of the amorphous layer on as

implanted samples as a function of implant temperature

Experiment 1 : AsH3 10 kV high dose

• Important reduction of the amorphous layer thickness is observed when

implanting above 400°C

24

17

11 9

0

5

10

15

20

25

30

20 300 400 500

a-S

i th

ickn

ess

(n

m)

Implant temperature (°C)

Amorphous (+ SiO2) layer thickness vs implantation temperature

IBS Confidential

Page 52: PULSION® Plasma Implant Update · PULSION® Key Technical Advantages •Small volume, remote plasma source –De-coupling of plasma source and process chamber –Tunable etching/deposition

AsH3 10 kV same as-implanted retained

dose

(~ 1.2 E16 /cm²)

Experiment 1 : AsH3 10 kV high dose

as implanted

• Partial activation is observed just after implantation when implanting at high

temperature

Non Uniformity : 3.5% (1 s)

=> Very good thermal non uniformity

230 250 245

• No significant change of the sheet resistance after annealing

~ (240 +/-10) W/sq regardless of implantation temperature

Possible to make high dose As implantation (~ 1.2 E16 /cm²) on 30 nm with

only 9 nm amorphous layer (without affecting final sheet resistance)

after annealing 235

RT

IBS Confidential

Page 53: PULSION® Plasma Implant Update · PULSION® Key Technical Advantages •Small volume, remote plasma source –De-coupling of plasma source and process chamber –Tunable etching/deposition

Experiment 2 : AsH3 10 kV lower dose (2E15/cm²)

TEM on as implanted wafers

RT 500°C

• Drastic reduction of the amorphous layer thickness

(20 nm implant depth)

IBS Confidential

Page 54: PULSION® Plasma Implant Update · PULSION® Key Technical Advantages •Small volume, remote plasma source –De-coupling of plasma source and process chamber –Tunable etching/deposition

Experiment 3 : AsH3 1 kV 2E15/cm²

TEM on as implanted wafers

RT 500°C

• Suppression of the amorphous layer

• Some remaining defects are visible

(7 nm implant depth)

IBS Confidential

Page 55: PULSION® Plasma Implant Update · PULSION® Key Technical Advantages •Small volume, remote plasma source –De-coupling of plasma source and process chamber –Tunable etching/deposition

ADVANCED PULSION™ IMPLANT PROCESSES

FDSOI

IBS Confidential

Page 56: PULSION® Plasma Implant Update · PULSION® Key Technical Advantages •Small volume, remote plasma source –De-coupling of plasma source and process chamber –Tunable etching/deposition

ADVANCED PULSION™ IMPLANT PROCESSES

III-V DOPING

IBS Confidential

Page 57: PULSION® Plasma Implant Update · PULSION® Key Technical Advantages •Small volume, remote plasma source –De-coupling of plasma source and process chamber –Tunable etching/deposition

76

III-V (InGaAs) doping

Challenges for III-V doping :

- Very sensitive to implant defects

- Limited thermal budget allowed

for post-implant annealing

- USJ and 3D doping needed

Study using PULSION® doping:

- Test of different Silicon containing plasmas

- Test of different plasma and implant conditions

Page 58: PULSION® Plasma Implant Update · PULSION® Key Technical Advantages •Small volume, remote plasma source –De-coupling of plasma source and process chamber –Tunable etching/deposition

III-V (InGaAs) doping

77

• Si PULSION® doping results in significantly shallower junction depth and

higher dopant incorporation compared to ion-implantation and in-situ

doping technique (MBE)

• High dependency of Rsq and mobility on Si precursors and process

conditions

Some results :

PULSION® Si

Presented at IIT 2012

Page 59: PULSION® Plasma Implant Update · PULSION® Key Technical Advantages •Small volume, remote plasma source –De-coupling of plasma source and process chamber –Tunable etching/deposition

CMOS IMAGER

IBS Confidential

Page 60: PULSION® Plasma Implant Update · PULSION® Key Technical Advantages •Small volume, remote plasma source –De-coupling of plasma source and process chamber –Tunable etching/deposition

Passivated layer by implant and laser annealing:

• Minimize recombination of electron-hole pairs at the

backside surface Reflect photo-electrons back to photodiodes to

increase charge collection efficiency

• Minimize dark current created by residual implant

damage after anneal Reduce number of hot pixels

• Temperature rise from anneal must be <400°C at

metal levels (--> laser anneal)

PULSIONTM Advantages:

1. Shallower implant profile keeps all dopants and

defects into melted area: few residual defects, and

lower dark current (leakage)

2. Ultra-low implant energy with zero high-energy

contamination: minimize dead layer

3. Lower energies available while keeping higher

throughput

CMOS imager – BSI back side doping

ST Microelectronics, H. Bourdon et al., RTP 2007 Conference (IEEE)

IBS Confidential

Page 61: PULSION® Plasma Implant Update · PULSION® Key Technical Advantages •Small volume, remote plasma source –De-coupling of plasma source and process chamber –Tunable etching/deposition

Applications :

-Doping processes for backside applications (Cmos imagers, thin substrates,

sensors, power devices, security...)

-Doping processes for advanced materials (SiC, III-V, SiGe…)

-Develop doping processes for advanced microelectronics (32-22nm nodes)

IBS Confidential

1,E+00

1,E+01

1,E+02

1,E+03

1,E+04

1,E+05

1,E+06

1,E+07

1,E+08

1,E+09

1,E+14

1,E+15

1,E+16

1,E+17

1,E+18

1,E+19

1,E+20

1,E+21

0 20 40 60 80 100

[cn

t/s]

[ato

m/c

m3]

[nm]

Cameca IMS 7f, Profil Bore ech 9F1

[10B]

[11B]

28Si

Pulsion + Laser annealing

Example :

SIMS profile of a CMOS BSI doping

PULSION BF3 + laser anneal

Page 62: PULSION® Plasma Implant Update · PULSION® Key Technical Advantages •Small volume, remote plasma source –De-coupling of plasma source and process chamber –Tunable etching/deposition

CMOS Imager Application : BSI

• Application to CMOS imager (BSI) : IBS / Customer A

• PULSION Boron implantation

• Laser anneal

Dark current reduction : 30%

This is due to the fact that the implant depth is ultra shallow and that PIII

creates less defects than beam line

=> All the Implant defects are suppressed by the laser annealing

IBS Confidential

Page 63: PULSION® Plasma Implant Update · PULSION® Key Technical Advantages •Small volume, remote plasma source –De-coupling of plasma source and process chamber –Tunable etching/deposition

STI doping requirements:

• Shallow, conformal doping around STI

(Shallow Trench Isolation)

• Screen defective sidewalls and edges of

STI from depletion region of photodiode

• Frontside CMOS imager application

PULSIONTM Advantages:

1. Conformal doping with single wafer placement

2. “Dual or Quad implants” and similar beamline

techniques are not effective

3. Higher dose capability for dark current

improvement, while keeping high throughput

CMOS imager - STI trench doping

IBS Confidential

Implant along STI

Real Devices

Conformal doping along STI

Page 64: PULSION® Plasma Implant Update · PULSION® Key Technical Advantages •Small volume, remote plasma source –De-coupling of plasma source and process chamber –Tunable etching/deposition

• Application to CMOS imager (DTI) : IBS / STM

CMOS Imager Application : DTI Trench doping

Test structures: 20/1 form factor

Uniform doping on the trench walls demonstrated

Possibility to adjust doping concentration along the wall

Atemox European R&D project

IBS Confidential

Several µm

Page 65: PULSION® Plasma Implant Update · PULSION® Key Technical Advantages •Small volume, remote plasma source –De-coupling of plasma source and process chamber –Tunable etching/deposition

CMOS Imager Doping Summary

• PULSION is an efficient solution for Boron USJ doping before laser

anneal for BSI Application

• PULSION can do uniform and conformal trench doping for DTI

application (20:1 form factor)

IBS Confidential

Page 66: PULSION® Plasma Implant Update · PULSION® Key Technical Advantages •Small volume, remote plasma source –De-coupling of plasma source and process chamber –Tunable etching/deposition

POWER DEVICES

IBS Confidential

Page 67: PULSION® Plasma Implant Update · PULSION® Key Technical Advantages •Small volume, remote plasma source –De-coupling of plasma source and process chamber –Tunable etching/deposition

Poly doping for Cool Mos Application

IBS Confidential

Studied application (with 2 different customers in Europe) • Poly doping for Cool Mos Application (Boron and Phosphorus)

• Super junction for Cool Mos (low dose, 3D with high aspect ratio)

• SFET plug contact doping (3D trench doping)

• IGBT : backside doping / trench doping

metal

metal

n+

poly-Si p+

p+

n-

Emitter

Collector

Page 68: PULSION® Plasma Implant Update · PULSION® Key Technical Advantages •Small volume, remote plasma source –De-coupling of plasma source and process chamber –Tunable etching/deposition

MATERIAL MODIFICATION

IBS Confidential

Page 69: PULSION® Plasma Implant Update · PULSION® Key Technical Advantages •Small volume, remote plasma source –De-coupling of plasma source and process chamber –Tunable etching/deposition

IBS Confidential

Substrate

• 300 mm P-type wafers

PULSION® Implantation

• Dose & Energy split (confidential)

Silicidation

• 6 nm Ni deposition

• Annealing 300°C to 750°C during 10 s.

• 96%N2+4%H2

Characterization

• Sheet resistance measurement

Experiment - Silicidation Improvement (NiSi)

• Improved thermal stability and lower sheet resistance on all PULSION® implanted

samples

• No differences between the conditions used : very stable process

Page 70: PULSION® Plasma Implant Update · PULSION® Key Technical Advantages •Small volume, remote plasma source –De-coupling of plasma source and process chamber –Tunable etching/deposition

89

Thermal stability : Sheet resistance measurement

• Enhanced of sheet resistance and thermal stability after CF4 PULSION®

• Suppression of surface agglomerates

• Improvement Si / NiSi Interface and NiSi thickness uniformity

REF CF4 implanted

Ni : 6nm ; NiSi: 12nm

Reference

CF4

implanted

Ni : 8nm ; NiSi :18nm

Reference

CF4

implanted

CF4 implanted REF

SEM pictures of the surface for 600°C TEM pictures of the interface for 600°C

Haitao Zhang1, Julian Duchaine2 at al. : IITC MAM 2015 :

Improved NiSi contacts on Si by CF4 Plasma Immersion Ion Implantation for 16nm node MOSFETs

Page 71: PULSION® Plasma Implant Update · PULSION® Key Technical Advantages •Small volume, remote plasma source –De-coupling of plasma source and process chamber –Tunable etching/deposition

90

Shotcky Barrier Height and Contact resistance

I-V characteristics of two back-to-back NiSi/p-Si

Schottky diodes at room temperature

Reference

SBH = 0.458 eV

CF4 implanted

SBH = 0.407 – 0.410 eV

N-type

P-type

Contact resistance on p and n type

implanted silicon (TLM)

• Lower SBH after CF4 PULSION® implantation

• Better contact resistance – -15% for N type

– -30% for P type

• But increase of Si sheet resistance – +21% for P and N type

REF

REF

Under optimization …

Haitao Zhang1, Julian Duchaine2 at al. : IITC MAM 2015 :

Improved NiSi contacts on Si by CF4 Plasma Immersion Ion Implantation for 16nm node MOSFETs

Page 72: PULSION® Plasma Implant Update · PULSION® Key Technical Advantages •Small volume, remote plasma source –De-coupling of plasma source and process chamber –Tunable etching/deposition

Al implantation using TMA : In progress

First demos have been done with 2 customers Up to 130 mV Vth shift observed

IBS CONFIDENTIAL

Vth Tuning for metal gate

Page 73: PULSION® Plasma Implant Update · PULSION® Key Technical Advantages •Small volume, remote plasma source –De-coupling of plasma source and process chamber –Tunable etching/deposition

THANK YOU FOR INVITING IBS TO PROVIDE THIS UPDATE

IBS Confidential

Page 74: PULSION® Plasma Implant Update · PULSION® Key Technical Advantages •Small volume, remote plasma source –De-coupling of plasma source and process chamber –Tunable etching/deposition

THANK YOU FOR INVITING IBS TO PROVIDE THIS UPDATE

IBS Confidential