principles of materials etching - institut für optoelektronik - …€¦ ·  ·...

13
Principles of Materials Etching R. Germann IBM ResearchDivision, Zurich Research Laboratory, 8803 Rüschlikon, Switzerland A standard approach for the fabrication of micro- and nanostructures is the definition of a mask pattern with lithography and the subsequent transfer of this pattern into the underlying substrate by means of etching. The etching process can be clone by wet chemical etching based on acidic cr basic solutions or by dry etching methods based on low-pressure plasmas of inert andfor reactive gases. This paper gives an overview of wet chemical etching of silicon and IIIfV materials and dry etching for the same material systems. Basic principles, etching chemistries and mechanisms fcr dry etching are covered. One of the major problems of ion-assisted etching is the etching-induced damage, which is mainly caused by ions with a small hut finite energy. This etching-induced damage will also be briefly overviewed.

Upload: dinhkhuong

Post on 24-May-2018

218 views

Category:

Documents


1 download

TRANSCRIPT

Principles of Materials Etching

R. Germann

IBM Research Division, Zurich Research Laboratory, 8803 Rüschlikon, Switzerland

A standard approach for the fabrication of micro- and nanostructures is the definition of a maskpattern with lithography and the subsequent transfer of this pattern into the underlying substrateby means of etching. The etching process can be clone by wet chemical etching based on acidic crbasic solutions or by dry etching methods based on low-pressure plasmas of inert andfor reactivegases. This paper gives an overview of wet chemical etching of silicon and IIIfV materials and dryetching for the same material systems. Basic principles, etching chemistries and mechanisms fcrdry etching are covered. One of the major problems of ion-assisted etching is the etching-induceddamage, which is mainly caused by ions with a small hut finite energy. This etching-induced damagewill also be briefly overviewed.

I. INTRODUCTION recipes and methods for new material systems and onthe optimization of current ones, e.g. for higher etchrates, lower material damage or environmentally gareretch chemistries. The down-scaling of VLSI devices andthe emergence of new device concepts with mesoscopicand nanoscale dimensions push the exploitation of fea-ture sizes down to the range of only a few nanometers.

As the need to etch many different materials with verydifferent requirements has led to the development of avariety of etching chemistries, methods and tools, it isimpossible to give a comprehensive overview within thescope of this article. Instead, I will attempt to describesome basic underlying principles illustrated by examplesfrom the area of optoelectronics.

This paper is organized in three main sections: Thefirst part gives an overview of wet chemical etching of sil-icon and IIIjV materials. The second part deals with dryetching for the same material systems and covers etchingchemistries and mechanisms. Thc etching of ridge waveg-uide structures with reactive ion etching (RIE) and theetching of laser facets with chemically assisted ion beametching (CAIBE) will be used for illustration. The thirdpart presents abrief overview of etching induced damage.

II. WET CHEMICAL ETCHING (WCE)

WCE uses solutions of acids or hages. For III/V semi-conductors a redox reaction is the dominating etchingmechanism. In some special cases, hydrolysis occurs. Areview of the reaction kinetics of WCE can be found in(Loewe, Keppel, Zach 1990), which gives also an overviewof useful etching recipes für Si, GaAs, GaP and InP.

In the beginning of semiconductor device technology,WCE was the only method für pattern transfer beforedry etching methods were introduced. WCE has certainadvantages: it is a very simple and cheap process and,due to its purely chemical nature, it can be very seiectivefür different materials, dopants ur doping levels and forcrystailographic planes. Its material and doping sensi-tivity aliows an etching process to be stopped with highprecision, whereas its crystaliographic sensitivity makesthe fabrication of structural features possible, für whichthe sidewalis are exactly defined by low-index crystalio-graphic planes. Silicon bulk micromachinillg is a weli-known example of this (Petersen 1982). WCE is also alow-damage process, because, in contrast, to dry etchingmethods, no energetic ions are involved.

I'iev~rthe!ess there :ir~ same ,-;ev~r'~ dra\\.bac:", :111(11im-itations of WCE. In general an isotropic side\vali profilewith circularly rounded edges is obtained (see Fig. 2).Typical for this isotropic etching is the lateral undercut-ting of the etch mask. The shape of the etch profile candepcnd vl'-ry strongly on the composition of the ~tcha.ntand temperature. Mask adhesion is sometimes difficuitto control owing to oxide or contamination layers andcan lead to enhanced etching below the m~k. These

Micro- and nanofabrication relies to a great extent onthe ability to elch various types of materials. A standardapproach for defining the topography on a substrate isshown schematically in Fig. la. After forming a layer ona substrate with epitaxy, evaporation or sputter depo-sition, a pattern is defined by lithography in a maskinglayer I typically in an organic resist. The mask patternis transferred into the underlying layer by etching a\vaythe material in the unmasked regions. The etching canbe clone by wet chemical etching (WCE) with solutionsof acids or bases, or by dry etching with reactive andforinert gases, using the physical sputtering effect of low-energy ions andfor the chemical assault of reactive gas

speCles.An alternative to this "subtractive" patterning method

is an "additive" approach, which is shown in Fig. Ib.In the additive approach, a T-shaped masking layer isformed by a combination of directional and isotropicetching techniques, followed by the evaporation of ma-terial, such as a metal. As the last step, the mask isremoved, which, in the case of an organic underlayer, isclone with solvent"" (lift-off). The pattern created maynow also serve as a mask for a subsequent etching pro-cess.

Both examples show the importance of etching tech-niques for micro- and nanofabrication. By repeating thedescribed patterning steps several times, complicated 3Dstructures can be formed, consisting of layers of semicon-ductors, metals, dielectric and organic materials.

The most important commercial applications of etch-ing techniques are in the area of silicon circuit fabrica-tion. Current complernentary metai-oxide semiconductorprocesses for very large-scale integration (VLSI) siliconcircuits require up to tell steps of reactive ion etching fordifferent types of materials like silicon, dielectric~ (SiO2.Si3N4). silicides, metals (alurninum, tungsten) and or-ganic layers like poly imide (Kaga et al. 1991). Minimumfeature sizes of 0.5 /l-m for 16-Mbit dynamic: random ac-cess memories are now in production, and feature sizesare expected to be as low as 0.35 /l-m and 0.25 /l-m inthe near future for 64 and 256-Mbit devices. respectively.Such issues as the controllability of the geometrical di-mension and the form of the etching profile, the repro-ducibility of the etching process and the ability to achievehigh etch rates, selectivity to underlying layers of variousmaterials, high aspect ratios (ratio between etch depthand feature size) and smooth etched sidewall and surfacesplay an important role in the miniaturization process.

Other important commercial applications are in thefield of optoelectronics, where the etching of such III/Vcompound semiconductors as the GaAs/ AIGaAs and theInP,iTnGaAs(P) material systems is the bMis for f;lbri-cating devices like detectors, lasers and light-emittingdiodes.

The scientific domain concentrates on finding new elch

C. Pattern Transfer - Wet Chemical Etching of

Microstructures

Advantages and disadvantages of WCE für patterntransfer have already been mentioned. The shape of theetched sidewalls is either isotropic or crystallographic asdepicted in Figs. 2 and 3. So me typical etchants für thefabrication of microstructures in silicon, in the GaAlAs-and in the InGaAs(P)-material system will be discussedbelow.

1. Silicon microstructures

For the fabrication of VLSI circuits, WCE is insignif-icant today, mainly due to controllability and repro-ducibility reasons. WCE is more important for the etch-ing ofbulk structures with dimensions ofup to a few hun-dred micrometers or even millimeters for applications inthe fjeld of micromechanics (Petersen 1982). The mostcommonly used crystallographic etchants for this pur-pose are KOH in water (KendaII1975), mixtures ofethy-lene diamine, pyrocatechol and water ("EDP") (Finne,Klein 1967) or mixtures of HF, HNO3 and CH3COOH(Schwarz, Robbins 1976). The etch rates for various crys-tallographic planes such as (100), (111) or (110) can dif-fer greatly. For a KOH-based etch, the etch rate ratiobetween the (110) and the (111) plane can reach 400:1(Kendall 197.5), allowing a very anisotropic etch. On(100) substrates, V-grooves are formed with an inclina-tion angle of54.7° to the (100) surface, whereas on (110)substrates vertical walls or sidewalls with an inclinationangle of 35.260 to the surface occur. Boron-doped lay-erg can be used for a highly selective etch stop (Bogh19; 1). Anisotropically etched structures in silicon areused for a variety of applications as nozzles, gratings, x-ray masks, free-standing cantilevers and fiber alignmentgrooves, among other things (Petersen 1982; Csepregi1985; Kaminsky 1985).

points together make the exact control of the linewidthand of the profile form very critical, especially for fea-tures with high aspect ratio or submicron structures.Crystallographic etching as depicted in Fig. 3 dependsstrongly on substrate and pattern orientation (Bassous1978). Whereas a defined profile shape can be obtainedin a certain direction, the etching of circular or arbitrar-ily shaped features with the same sidewall profile on ev-ery side is generally impossible. From a practical pointof view, the reproducibility of WCE is often questioned,because the etching result depends strongly on composi-tion, temperature and sampie or bath agitation and canbe difficult to control. Moreover, WCE is not very com-patible with highly automated wafer handling in VLSI

processing.Despite these disadvantages, WCE has its place in pro-

duction and research. Three main application areas arefor polishing substrates, für revealing defects and für pat-tern transfer.

A. Wet chemical polishing

The preparation of planar, high-quality semiconduc-tor substrates entail sawing and mechanical lapping asweIl as polishing steps. To remove crystal damage andto obtain a smooth, mirror-like surface, chemical ormechano-chemical polishing steps are used. Si substratescan be polished with alkali ne solutions combined withmild abrasives such as colloidally dispersed silicic acid(Loewe et al. 1990). For GaAs, alkaline NaOCl solu-tions (Rideout 19;2) or diluted solutions of bromine inmethanol (Br2/CH3OH) (Sullivan, Kolb 1963) are used.Brz/CH30H can also be used to polish InP (Aspnes,Studna 1981).

B. Revealing of structural defects

Substrates or epitaxially grown films can t::ontain var-ious structural defects such ~ dislocations or point de-fects. To characterize their number, density and local dis-tribution, it is necessary to make them visible. WCE is asuitable method to do this because the etch rate can belocally reduced or enhanced near a cluster of point defectsor at the point where a dislocation line penetrates thesample surface. This leads to the formation of so-calledetch pits with a diameter of a few micrometers which arevisible under an optical microscope. Typical etchantsfür this purpose are mixtures of HNO3/HF /CH3COOH(Dash 1956) forsiliconsubstrates, HNO3 für (lll)-GaAs,KOH for (lOO)-GaAs (Grabmaier, Watson 1969) and amixt ure of H3PO,,/HBr für InP (Huber, Linh 1975).

2. GaAsjGaAIAs material systen

The mechanism of WCE of GaAs is a redox re-action in so\utions with an oxidizing agent such asH'102 or Cr(VI). The most commonly used etchantsfor GaAs are acidic or basic so\utions containingH:!O'1, such as H2SO4/H:!O2;,H:!O (lida, Ito 1971),H3PO4/H'102/H'10 (Mori, Watanabe, 1978) or NH4OH(NaOH)/H2O2/H20 (Gannon, ~IJ~Se, lOi1) 1\.nc mix-tures of bromine in methanol (Br2/CH3OH) (Tarui,Komiya, Harada 1971). Cr(VI)-based chemistries suchas the HCl/CH3COOH/K2Cr20, system have also beenused (Adachi, Oe 1984). Control\able etch rates in theran.ge of 0.01 &0 1.0 IJmilmin have been achieved in thisca."e. More d;:\ta ~an also be found in (Ashby 1'J90).

Figure 2 shows a scanning electron microscopy (SEM)cross section of a stripe in a. GaAs/GaAlAs heterostruc-ture, etched with H2S04/H2O2/H20 (8:1:100). Etchrates for GaAs and AlGaAs are comparable in this case,and the etch profile is isotropic. Mask undercuttingcan also be clearly seen. This etch process has beenused for the fabrication of ridge waveguide lasers in the0.8 JJ.m wavelength region (Harder, Buchmann, Meier,1986). WCE has also been applied to etched laser facets(Bouadma, Riou, Bouley 1982), to the etching ofgroovesand mega structures for epitaxial growth on patternedsubstrates (Jaeckel et al. 1989) and the fabrication ofcorrugated periodic structures for distributed feedbackand distributed Bragg reflector (DBR) lasers (Comerford,Zory 19i4).

profile haß an inclination angle of ~26° in all cases.WCE of InP /InGaAs(P) materials haß been used, for

instance, for buried laser structures (Logan, van der Ziel,Temkin, Henry 1982), laser mirrors for 1.3 JLm lasers (Iga,Pollack, Miller, Martin 1980) and 1.5-JLm DBR lasers(Tanbun-Ek et al. 1984). These DBR gratings are submi-cron structures with a grating period of ~240 nm, etched80 nm deep. Much finer structures, such as barrier-modulated quantum wires with a width of as little as25 nm and a very shallow etch depth of ~20 Dm, havealso been demonstrated by WCE (Greus et al. 1993).

D. Summary

WCE still has important applications for substratepreparation and for pattern transfer with dimensions weilabove a few microns, such as silicon micromachining andstructures for optoelectonics. Advantages of WCE arethat it is low-cost, easy to process, has high possible ma-terial selectivity and incurs low damage. It should bepointed out, however, that there are also numerous dis-advantages for WCE, such as its poor controllability andreproducibility, that is undercuts the mask, and that itsetch profile depends strongly on the substrate and pat-tern orientation. These drawbacks restrict the applica-tion of WCE for the fabrication of submicron structuresand nanostructures to certain special cases. such as afew specific pattern orientations and shallow etch depths.These disadvantages can be overcome with dry etchingmethods presented in the next chapter.

3. InP/lnGaAs(P} material system

InP can be etched with halogen acids such as HCI bya hydrolysis mechanism, and with bromine-containingsolutions by a redox reaction. Basic solutions cannotbe used because of the insolubility of the indium reac-tion products. The etching of the ternary (InGaAs) orthe quaternary compounds (InGaAsP) is more compli-cated. Pure halogen acids etch selectively InP and notlnGaAs(P) , whereas bromine-containing etchants attackall of these materials unselectively. The addition of oxi-dizing agents (H2O2, HNO3) leads to transitions betweenthe two types of reactions (Loewe et al. 1990). For thesereasons, many different etchants are used to etch thelnP jInGaAs(P) material system.

Pure HCI or mixtures of HCI with CH3COOH orH3PO4 ~re used for the selective etching of lnP with acrystallographic profile. The addition of HNO3 to HCImakes the unselective etching of lnP and InGaAs(P) pos-sible (Adachi, :-loguchi, Kawaguchi 1982). Similar behav-ior can be found for HBr. Pure HBr or mixtures of HBrwith non-oxidizing acids (CH3COOH, H3PO4) etch onlylnP, whereas mixtures ofHBr with oxidizing agents (HCI,H202, HNO3, K2Cr207) also etch lnGaAs(P) (Adachi1982; Adachi et al. 1982). The solution of bromine inmethanol (Br2/H30H) etches lnP and lnGaAs(P) unse-lectively with similar etch rates (Turley, Greene 1982).

Figure 3 shows an SEM cross section of an lnP sam piethat has been etched with 'a mixt ure of HaPO4 and HCI(3:1). The stripes were oriented in the <')11> directionon a (100) substrate. The etch profile is formed by (111)planes in the lower part and by (100) planes in the nearlyvertical, upper part. The resist mask is still present onthe sam pie as weIl as a thin InGaAs layer below the resist,which has been patterned in a first step before etchingthe InP. The shape of the profile can be controlled bythe ratio between HCI and HaPO4. For pure HCI it isgiven by (111) planes without. .ny vertical part, whereasfor a HaPO4 proportion greater than 80%, the etch isnearly vertical (Buchmann, Houghton 198'2). For stripesoriented perpendil.'Ular to the shown orient3tion, the etch

III. DRY ETCHING

For the reproducible fabrication of submicron struc-tures and nanostructures it is necessary to find etchingprocesses that allow a mask pattern to be transferredwith high geometrical fidelity into the underlying sub-strate or film. As pointed out in the previous chapter,WCE generally cannot fulfill these requirements. Dryetching methods allow anisotropic etching of structuresdown to a width of a few nanometers, and feature choos-able material selectivity, high aspect ratios, arbitrarypattern orientations and forms, good reproducibility andautomatibility.

A. Classification of dry etching processes

Plasma-based etching wa." introduced 25 years aga asa new method für removing photoresists (Irving 19i1).Since then. many different dry etching processes havebeen developed. With the exception of photo(~nemicaletching, all of these processes ~re b,1Sed on low-pressureplasmas of inert andfor reactive gases and make use ofpositively charged ions, radicals and reactive neutrals.

3

8ased on different typPos of reactors, pressure ranges,gases, electrode configurations and excitation frequen-cies, various dry etching techniques have been developed,orten unsystematically and inconsistently named. A use-ful classification is possible through the underlying etch-ing mechanisms to distinguish among four cases (Fonash1985) .

1. Physical etching mechanism

etch profiles with a good control of the geometry. Typicaletching chemistries and the mechanisms for the interplaybetween the chemical and physical components will begiven in Sections III.B and III.C.

The most commonly used process in the semiconduc-tor industry is RIE (Gorowitz, Saia 1984), which will bediscussed in more detail in Section III.D. Another exam-pie of a combination of physical and chemical etching isCAIBE, covered in Section III.E.

These two etch methods rely in their original form onthe plasma excitation with rf at a frequency of 13.56MHz. Other plasma excitation methods have also gainedimportance, such as electron cyclotron resonance excita-tion at 2.45 GHz, magneticallyenhanced RIE (MERlE),inductively coupled plasmas (ICP) and transmission cou-pled plasmas (Singer 1991; ginger 1992). All these ap-proaches aim for higher plasma densities at lower pres-sures to achieve higher etch rates, lower damage and gooduniformity.

In sputter or ion beam etching (IBE) (Lee 1984), no-ble gas ions are accelerated with energies of up to a fewhundred electron volts towards the sampie and removematerial by physical sputtering. Physical etching is char-acterized by low etch rates (up to tens of nanometers perminute), paar material selectivity and secondary effectssuch as redeposition and trenching which affect the shapeof the etched sidewalls. Advantages of physical etchingare the anisotropic etching characteristic, which is due tothe directionality of the ions, and the ability to controlthe shape of the etch profile by the inclination and ro-tation of the sampie relative to the ion beam. Physicaletching is also used for materials ror which a satisfyingchemical etch cannot be found, such as certain metalsand alloys of magnetic materials.

4. Photochemical etching

This etch process uses gases but no plasma für theexcitation. Gas phase reactions and chemical reactionsbetween the adsorbed chemical species and the sub-strate material are driven by photons, normally with laserlight (photochemical mechanism). Other mechanisms arebased on photogeneration of carriers or on heating effects(Ashby 1990). This etching process can also be direc-tional and material selective. Typical applications arethe etching of deep via holes with high etch rates andmaskless etching (Ashby 1990).

2. Chemical etching mechanism

Pure chemical etching occurs in a plasma of reactivegases if the energy of the produced ions is negligible andthe etching is dominated by the chemically active gasspecies. This is true for a high plasma pressure wherethe mean free path of the ions is tao small to attain highenergies. The reactive gas species are adsorbed at thesam pIe surface and form volatile etch products which arepumped away. Chemical plasma etching is comparableto WCE and also yields isotropic or crystallographic pro-files. Figure 4 shows aT -shaped mask consisting of a thinSiO2 layer on top and a thick underlying layer of poly-imide. The undercut in the polyimide has been formedby isotropic dry etching in an oxygen plasma. Chemicaletching is characterized by high etch rates, high materialselectivity, low ion damage and paar profile control, andis therefore complementary to pure physical etching. Animportant process in semiconductor device fabrication isthe stripping of organic res ist material with high-press ureoxygen plasmas. More information on chemical etchingcan be found in (Smith 1984).

B. Plasmajsurface interactions in chemical-physicaletching

The inter action of gaseous fragments with the plasmaand the sampie surface can be described after (Oehrlein,Rembetski 1992) and (Ibbotson, Flamm 1988) by the fol-lowing steps:

a) etchant formation: the red-in g~ is cracked in theplasma into ions, radicals and excited fragments bymeans of electron impact reactions, while neutralsare also still present. The gas species are trans-ported to the sampie surface by electric fields orditfllsion.

b) adsorption: chemically active species are adsorbedat the sample surface...1. Chemical-physical etching

c) reaction: the etch prodllcts are formed by a chemicai ~e:}.ction in the surface layer.

For most applications, it is necessary to combine theadvantages of physical 'J.lld chemical etching ffil.!chanisms,By finding the proper balance between the physical andchemical component (this is the "art" of dry etching!) itis possible to achieve high ~tch rates and high materialselectivity while at the ~ilme time obtaining anisotropic

d) desorption: the etch products are desorbed fromthe ,;ample :!urface and pumped out of the system.

isotropic etching and making undercut control difficult(Smith 1984). lncreased ion energies and the sidewallpassivation mechanism can facilitate anisotropic etching.CF4, CF4/O2, SFs, C2Fs/02 and NF3 have been usedto etch silicon (Gorowitz, Saia 1984).

Chlorine-based etchants produce volatile etch prod-ucts of the form SiClr (x = 1 - 4). Anisotropic etch-ing is achieved with CC14, Cl2! HCl, BCl3 in differentmixtures and with the addition of O2, Ar, He or N2.Gas mixtures containing fluorine and chlorine, such asCC13F, CCl2F2 or C2Fs/C12, or bromine-containing plas-mas (CBrF3) have also been reported. References can befound in (Gorowitz, Saia 1984) and (Smith 1984).

2. III/V materials

Each of these steps can limit the etch process and theetch rate. This simplified process scheme is complicatedby several feedback mechanisms. The etch products canalso dissociate in the plasma and influence the plasmachemistry. Organic or organo-metallic films can be de-posited on chamber walls and electrodes, and thus changethe electrical characteristics of the system. Material fromthe chamber walls can be eroded and can influence theplasma chemistry or be redeposited on the sample.

A very important point for the etching result is the in-terplay between the energetic ions and the chemical sur-face reactions. For certain etch chemistries, e.g. oxygenplasmas for the etching of organic resist material, volatileetch products are formed and desorbed spontaneously. Ingeneral some "ion enhancement" is necessary to facilitatereaction and desorption (steps c and d) and to achieveanisotropic etching. There are three models described inthe literature of how this ion enhancement works. Thefirst is the surface damage mechanism (Coburn, Win-ters 1979), in which ions are believed to damage surface-ne ar regions and enhance the rate of surface reactions,e.g. by creating free bonds. In the second model, calledchemically enhanced physical sputtering (Mauer, Logan,Zielinski, Schwartz 1978) adsorbed radicals change thechemical structure of the surface and make sputteringea.-sier. In the chemical sputtering model (Tu, Chuang,Winters 1981) energetic ions supply energy to the re-action layer, initiating chemical reaction or desorption.This model seems best to describe the etching of siliconwith a fluorine-based chemistry.

The anisotropy of the etch is gi yen by the directional-ity of the ions. Lowering the pressure and increasing theenergy of the ions increases the anisotropy of the etch. Inmany cases, sidewall passivation layers (Oehrlein, Rem-betski 1992) play an important role to achieye anisotropicetching. The growth of these inhibitor films can be con-trolled by an appropriate plasma chemistry and occursin principle on all surfaces. The role of the ions is toclear the horizontal (etched) surf~ces from the film whilethe remaining film on the sidewalls prevents lateral un-deretching. Etching of silicon \vith HCljO:!/BCl3 is anexample of this mechanism.

C. Etching chemistries

For chemical and chemical-physical etching it is essen-tial to find etch chemistries, from which volatile etchproducts are formed, otherwise the paar desorption ofthe etch products will limit the entire etch process.

Silicon

For the etching ur silicon, mi'linly fluorine and chlo-rine based etch~nts are used. With a tluorine-basedetch chemistry, volatile etch products such as SiF2 andSiF 4 are formed and desorbed spontaneously, leading to

Etchants based on halogenes, primarily chlorine, areused to etch III/V materials. The desorption of thegroup-1II halides is the most critical step. Figure 5shows the vapor pressure of various group-III and group-V halides as a function of temperature. For temperaturesbetween 300 and 400 K, as are normally used in RIE, thevapor press ure of the As-, P- and Ga-chlorides is weilabove the typical RIE working pressure of ~10-2 mbar,and these etch products desorb spontaneously. Al2Cl6needs only a small amount of additional energy, whereasInCl3 has a significantly lower vapor pressure and needsa greater energy supply for desorption, such as elevatedtemperatures or high ion energies.

InP and InGaAsP have been etched in chlorine-containing plasmas with Cl2, CCl4, BCl3, SiCl4 andCCl2F2. The poor volatility of the In-chlorides makesit necessary to use substrate temperatures in the rangeof 250 °C or ion energies of up to a few hundred elec-troll volts to achieve useful etch rates and a tolerablesurface quality. Bromine and iodine-based etchants suchas CH3I, Br~ or C~H4Br2 have also beeIl used becauseof the comparable or even higher vapor pressures forthe III/V reaction products (Flanders, Pressman, Pinelli1990; Takimoto, Ohnaka, Shibata 1989). RIE withCH4 and H2 has beeIl reported \vith anisotropic etching.:haracteristics and smooth surfaces (Niggebruegge, Klug,Garus 1985). This process can be simply viewed as thereverse process of metal-organic chemical-vapor deposi-tion with such metalorganics as In(CH3) and hydrides asPH3 as etch reaction products. An overview of dry etch-ing recipes and applications for InP and InGaAs(P) canbe found in (Matsushita, Hartnagel 1991).

GaAs and AIGaAs material is etched primarily inchlorine-based plasmas containing C\2, BCl3, CCl4 orSiCI4. Etching with CH4/H~ is also possible, hut withrat her low etch rates for Al-containing materials. Addi-tion of chlorine and argon can solve this problem (Vodj-d.mi, Parrens 1987).

Fluorine-based etchants are ge!lerally not. used becauseof ;"he very low vapor pressure of t.he rn/V-fluorides, asdepicted in Fig. -5 for AlF3. An ~xception is the ~elective

etching of GaAs over AIGaAs. RIE with CCl2F2 and Hewith a selectivity of greater than 200: 1 bet\veen GaAs andAIGaAs has been reported (Hikosaka, Mimura, Joshun1981). An summary of dry etching recipes and appli-cations für GaAs and AIGaAs can be found in (Ashby

1990).

3. Metals and organic materials

process. Elevated temperatures of greater than 250 °chave beeIl attempted, but lead to isotropic etching withsevere mask undercut. Anisotropic etching \vith nearlyvertical sidewalls and a smooth etched surface is obtainedwith high ion energies at a DC-bias of 750 V. Figure 7depicts aseries of InAIAs sampies etched under variousbias conditions. For a bias of 300 V, the etch rate islow (~20 nm/min), and the rough surface indicates paardesorption of the etch products. For increasing DC bias(Figs. 7b and c), the etch rate increases, and für a bias of750 V, the etched surface is as smooth as in the part thatwas masked during etching. Under these high bias con-ditions, all etch products are desorbed from the etchedsurface.

Low-threshold ridge waveguide lasers basedon InGaAs/InGaAs multiple-quantum-well, separation-confinement-heterostructure material have been pro-duced with this process (Germann et al., 1993).

Metals like Ti, Ta, Mo, Wand Nb can be etched influoriDe plasmas, whereas fluorine-based etching is diffi-cult für Cr, Au or Al because of their involatile fluorides.Etching of Al, Au and Cr has been reported in chloriDeand bromine-containing plasmas, which also give goodresults für the etching of Ti (Flamm, Donnelly, Ibbotson1984).

Organic materials such as photoresists, polyimides andother polymers can be etched in pure oxygen plasmasor in mixtures of oxygen and fluorine-containing gases(O2/CF4, 02/SF6). Photoresists are orten removed byisotropic high-pressure plasma etching, hut anisotropicetching of organics is also possible (Gorowitz, Saia 1984;Flamm, Donnelly, Ibbotson 1984).

E. Chemically assisted ion beam etching (CAIBE)for etched laser facets

D. Reactive ion etching (RIE) of InAIAs

The configuration of a typical RIE system is shownschematically in Fig. 6. A plasma is maintained in alow-pressure (typically 10-1-10-3 mbar) glow dischargebetween two parallel electrodes. The upper electrode isgrounded, the lower one is capacitively coupled to anrf generator (normally 13.56 MHz) via an impedancematching network. The asymmetry between the elec-trodes (the powered one is smaller than the groundedone) leads to a time-averaged potential between the elec-trodes as depicted also in Fig. 6. There is a plasma bodywith a constant positive potential of a few tens of voltsand two dark spaces near the t\VO electrodes. Positiveions produced in the plasma body are accelerated acrossthe dark spaces towards the electrodes. The acceleratingvoltage for the grounded anode is rat her small, whereasthe so-called DC-bias for the powered cathode, where theetch sam pies are placed, can reach up to a re\v hund redvolts. A detailed description of glow discharges can befound in (Chapman 1980). Reactive gas "pecies (radicals,neutrals) diffuse from the plasma to the "am pie and, to-gether with the ions, lead to chemical-physical etching 3Sexplained in Sections 3.1 and 3.2.

The anisotropic etching of InAIAs is shown as an ex-ample. Anisotropic etching is necessary for the fab-rication of In(Ga)AIAsjlnGaAs ridge \vaveguide lasers(Hausser, Meier, Germann, Harder 199:3). RIE of ln-AIAs has been reported with mixtures of CH4, H2 andAr yielding rat her lo\v elch r:\tes (PeartaQ et al. 1991).We have obtained etch rates uf up to a ie\v 100 nmjminwith ArjCl2 mixtures (Germann, H'Iousser, Reithmaier1903). The low volatility of the In-chlorides limits the

With RIE it is not possible to physical component(energy and density of the ions) independently, becauseions as weIl as reactive species are generated in the sameplasma. With CAIBE, the production of the ions is sep-arated from the injection of the reactive components.which allows better control of the etching process.

Dry etching of semiconductor laser facets is a very chal-lenging process to which CAIBE is weIl suited. The etch-ing of laser facets requires vertical sidewalls with a devia-tion of not more than a few degrees. a sidewall roughnessin the range of 100 Ä, an er..:h depth of up to 10 Ji;m andunselective etching for all different materials of the laserstructure.

Figure 8 shows a CAIBE system. An argon plasmais excited in a Kaufman-type ion source. Ions are ex-tracted from the plasma, formed into a parallel beam.and accelerated with an energy of 500 eV towards thesampie by applying appropriate potentials to the gridsin front of the ion source. In the CAIBE mode, Cl:!molecules are injected via a ring feed in close proximityto the sam pie and are adsorbed on the sample surface.Surface reactions and the desorption of the etch prod-ucts is enhanced by the argon ions. A low partial waterpressure is maintained by a liquid nitrogen (LN:!) trap toachieve the same etl~h rates ftjr GaAs .'lnd GaAIAs. T.:)omuch residual water cau:s"s t.;le formation :Ji aiuminumoxide on the sample, which towers the etch rate of Al-GaAs significantly. The sample holder can be tilted toadjust the angle of the etched sidewalls and rotated toeliminate beam inhomogeneities.

Two other p()ssible mol.les of this type of etchingsystem are the IBE with noble gas ions (Lee 1984),or reactive ion beam etching (RillE), where rcactiveions are used through the ion source, resulting in

R

this damaged zone is surprisingly high: The observeddamage depths are roughly a factor of tell higher thanthe predicted range of implanted ions as calculated byMonte Carlo simulations. Ion channeling can explain thisdifference as shown experimentally (Germann, Forchel,Bresch, Meier 1989) and confirmed theoretically (Stoffel1992). Dry etching methods are improved by using lowerion energies while still maintaining anisotropy, thus keep-ing the induced damage at aminimum. Damage can alsobe annealed in some cases.

In addition to damage on horizontal surfaces, sidewalldamage on surfaces parallel to the impinging ions has alsobeen observed by optical methods, showing an increaseof surface recombination and an optically "dead" layer(Maile, Forchel, Germann, Grützmacher 1989). Surfacerecombination has several reasons. First, low-energy ionshit the sidewall at shallow incidence, creating structuraldefects which can act as recombination centers. Second,the sidewall can be chemically modified by adsorbatesfrom the etching gas or by oxygen when exposed to air.Third, even an "ideal" undamaged surface has unfilledmidgap states. Minimization of sidewall damage is at-tempted with the following scheme: Point three requiresthat "open" surfaces be avoided by burying the sidewallwith epitaxial regrowth or by passivating the open sur-faces. Etching with lowest possible energies or two-stepetching with a high-energy anisotropic etch followed by ashort low- or zero-energy chemical etch step is an attemptto avoid or remove damaged layers. The contaminationproblem is addressed by in situ processing of all steps ina high vacuum environment. The fabrication of nanos-tructures and of reliable and stahle etched laser facetsrelies on the successful implementation of this scheme.

chemical sputtering (Heath, Mayer 1984). The de-scribed CAIBE process ha.s been used to fabricate 5-8-Jl.m-deep laser mirrars with a roughness of less than200 A and a deviation fra m verticality of less than2° in a GaAs/ AlGaAs single-quantum-well, graded-index, separate-confinement-heterostructure laser struc-ture (Buchmann, Dietrich, Sasso, Vettiger 1989). Ridgewaveguides with these etched facets have the same perfor-mance as lasers with cleaved facets (Vettiger et al. 1991).Etched laser facets for visible semiconductor lasers emit-ting at a wavelength of 690 nm have also been fabricatedwith the this CAIBE process (Unger, Boegli, Buchmann,Germann 1993; idem 1994). Aside from the AlGaAscladding layers, these laser structures contain additionallayers of GalnP and AlGaInP. The etched mirrars areslightly rougher than those of the pure GaAs/ AIGaAsstructure, mainly because of the low volatility of the In-containing etching products. Figure 9 shows a convexfacet region of a ridge waveguide laser with a dry-etchedmirrar.

Advantages of lasers with etched facets compared tocleaved Olles have been discussed in detail elsewhere (Vet-tiger et al. 1991).

Concerns exist about the lang-term stability of theetched facets, because of ion-induced damage and thechemically modified facet surface. Current researchaddresses these topics by developing etching methodsthat allow etching with low ion energies without losinganisotropy (Skidmore et al. 1992) and by developing insitu cleaning methods for removing damaged and con-taminated surface layers (Asakawa, Sugata 1986).

IV. DRY-ETCHING-INDUCED DAMAGE

ACKNOWLEDGMENTS

The.author thanks P. Buchmann für permission ta re-produce Fig. 8, K. Dätwyler far Figs. 2 and 3, and P.Unger für the program für calculating the vapor pressuresin Fig. 5.

Low-energy ions are necessary for anisotropic dry etch-ing. Unfortunately, these ions also induce damage tosurface-near regions of the etched sampies, degradingthe electrical and optical properties. A heavily damagedlayer with a thickness of a fe\v nanometers has been ob-served for dry-etched surfaces by R.utherford backscatter-ing, AES and XPS. This layer contains atoms from theetch gas. numerous structural defects, mayeven be amor-phous and can, in the case of compound materials, have astrongly disturbed stoichiometry. In addition. the surfacecan be covered by adsorbed species from the etch gas.Aside from this heavily damaged surface layer, a long-range damag~..llayer with ;). ,Jepth of IIP to a fe\v hundre'lnanometers below the ~urf1J.ce has been found, mainly byelectrical methods such as current-voltage measurementson Schottky contacts and by optical methods. Abriefoverview of results for In-based materials can be foundin (Hayes 1992).

An optical method b~ed on 'the lumin~scence ofsurface-near quantum \vells (Wong et al. 1988) is a par-ticularly sensitive tool für the depth-resolved character-ization of this long-range damage. The extension of

[1] Adachi, S. (1982). Chemical Etching af InP and In-{lilJ\.:$?jlnP. J. Electrochcm ::,or:., Va!. l'!.9. ")()~)-olJ.

(2] Adachi, S., Naguchi, Y., Kawaguchi, H. (1982), Chemi-ca! Etching of InGaAsPflnP DH Wafer. J. Electrachem.Sac., Val. 129, 1053-1062.

[3] Adachi. S., Oe, K. (1984), Chemica! Etching af GaAs, J.Electrar:hem. Soc., Val. 131, 126-130.

[4] As.1.ka\va, K., Sugata, S. (1986), Damage andContamination-free Ga,\s ,md AIGaAs Etching with aNavel Ultrahigh-vacuum Reactive Ion Beam Etching

Dry Etching-Induced Damage in III/V SemiconductorHeterostn.lctures, J. Vac. Sci. Technol. B, Val. 7, 1475-14iS.

[23] Germann, R. Hausser, S.; Reithmaier, J.P. (1993), Re-active Ion Etching of InAIAs with Ar/Cb Mixtures forRidge Waveguide Lasers, Microelectronic Engineering,Val. 21, 345-348.

[24] Gorowitz, B., Saia, R.J. (1984), Reactive Ion Etching, in:VLSI Electronics, Microstructure Science, Val. 8, PlasmaProcessing for VLSI, ed. by N.G. Einspmch and D.M.Brown, pp. 298-340. Orlando, Academic Press.

[25] Grabmaier, J.G., Watson, C.B. (1969), Dislocation EtchPits in Single Crystal GaAs, phys. stat. sol., Val. 32,K13-K15.

[26] Greus, C., Orth, A., Daiminger, F., Butov, L., Straka,J., Forchel, A. (1993), Optical Studies of Barrier Mod-ulated InGaAs/GaAs Quantum Wires, MicroelectronicEngineering, Val. 21, 39i-400.

[27] Harder, C., Buchmann, P., Meier, H. (1986), High-PowerRidge-Waveguide AIGaAs GRIN-SCH Laser Diode, Elec-tran. Lett., Val. 22, 1081-1082.

[28] Hausser, S., Meier, H.P., Germann, R., Harder, C.S.(1993), 1.3 IJm Multiquantum Weil Decoupled Confine-ment Heterostructure (MQW-OCH) Laser Diodes, IEEEJ. Quantum Electron., Val. 29, 1596-1600.

[29] Hayes, T.R. (1992), Dry Etching of In-Based Semicon-ductors, in: Indium Phosphide and Related Materials:Processing, Technology, and Devices, ed. A. Katz, pp.27i-306, Norwood (MA), Artech House.

[30] Heath, B.A., Mayer, T.M. (1984), Reactive Ion BeamEtclung, in: VLSI Electronics, Microstmcture Science.Val. S, Plasma Processing for VLSI, ed. by N.G. Ein-spruch and D.M. Brown, pp. 365-409. Orlando, AcademicPress.

[31] Hikosaka, K., Mimura, T., JOShWl, K. (1981), SelectiveDry Etching of Al<;aAs-GaAs Hcterojunction, Jpn. J.Appl. Phys., Val. 20, L847-LS50.

[32] Huber. A., Linh. N.T. (19i5), Revelation MetaIlo-graphique des Oefauts Cristallins dans In?, J. CrystalGrowt,h, Val. 29, 80-8-1.

[33] Ibbotson, D.E., Flamm, O.L. (1988), Plasma Etching for111- V Compound Devices: Part 1, Solid St,'lte Technoi.,October 1988, 77-i9.

[34] Iga, K., Pollack, M.A., Miller, B.I., Martin, R.J. (1980),GalnAsP /InP OH Lasers with a Chemically EtchedFacet. IEEE J. Quantum Electron., Val. QE-16, 1044-1047.

[35] Iida, S., Ito, K. (19i1), Selective Etching of Gallium Ar-senide Crystals in H2SO4-H2O2-H20 System, J. Elec-trochem. Soc., Val. 118, i68-77l.

[36] Irving (1971), Solid State Technol., Val. 14. 4i.(:37] Jae,::~{,~i. H., Meier. H.? Sofia. <:;.L.. '\\i;.Lltt~r. W., Webb,

D.J., Van Gieson, E. (1989), High-power FundamentalMode AlGaAs Quantum Weil Channeled Substrate LaserGrown by Molecular Beam Epitaxy, Appl. Phys. Lett.,Val. ,~'5. 1059-1061.

[38] Kaga. T., Shinriki, H., ~lllfai, F., Kawamoto, Y., Nak-agame. Y.. TaKeda. E., Itoh, K. (1991), ORAM Manufac-turin~ in the '905 - Part 3: A Gase Study, SemiconductorInternational. May 1991, 98-101.

System with Etched Surface Monitoring. and CleaningMethod. J. Vac. Sci. Technol. A, Val. 4,6;7-680.

[5] Ashby, C.I.H. (1900), GaA~ Etcrung, in: Properties ofGaIIlium Arsenide, 2nd Ewtion, EMIS Datareviews Se-ries No. 2, pp. 653-681, London and New York, INSPEC,The Institution of Electrical Engineers.

[6] Aspnes, D.E., Studna, A.A. (1981), Chemical Etcrungand Cleaning Procedures for Si, Ge, and So me 111- VCompound Semiconductors, Appl. Phys. Lett., Val. 39,316-318.

[7] Bassous, E. (1978), Fabrication of Novel Three-Dimensional Microstructures by the Anisotropic Etchingof (100) and (110) Silicon, IEEE Trans. Electron Devices,Val. ED-25, 1178-1185.

[8] Bogh, A. (1971), Ethylene Diamine-Pyrocatechol-WaterMixture Shows Etcrung Anomaly in Boron-Doped Sili-con, J. Electrochem. Soc., Val. 118,401-402.

[9] Bouadma, N., Riou, J., Bouley, J.C. (1982), Short-CavityGaAlAs Laser by Wet Chemical Etcrung, Electron. Lett.,Vol. 18, 879-880.

[10] Buchmaru1, P., Dietrich, H.P., Sasso, G., Vettiger, P.(1989), Chemically Assisted Ion Beam Etcrung Processfor High Quality Laser Mirrors, Microelectronic Engi-neering, Vol. 9, 485-489.

[11] Buchmaru1, P., Houghton, A.J.N. (1982), Optical Y-junctions and S-bends Formed by Preferentially EtchedSingle-mode Rib Waveguides in InP, Electron. Lett., Vol.18, 850-852.

[12] Chapman, B. (1980), Glow Discharge Processes,Sputter-ing and Plasma Etcrung, Wiley Interscience, New York.

[13] Cobum, J. W., Winters, H.F. (19i9), Ion- and Electron-assisted Gas-surface Chemistry - An Important Effect inPlasma Etcrung, J. Appl. Phys., v'ol. ,50. 3189-3196.

[14] Comerford, L.. Zory, P. (1974), Selectively EtchedDiifr3ction Gratings in GaAs, Appl. Phys. Lett.. Vol. 25,20~210.

[15] Csepregi, L. (1985), Micromechanics: A Silicon Microfalrric3tion Technology, Microelectronics Engineering, Val.3 )') 1-') 33,-- - .

[16] Dash, W.C. (1956), Copper Precipitation on Dislocationsin Silicon, J. Appl. Phys., Val. 2i, 1193-1195.

[li] Finne, R.M., Klein. D.L. (196;), A Water-Amine-Complexing Agent System for Etching Silicon, J. Elec-trochem. Soc., Val. 114,965-9;0.

[18] Flamm, D.L., Donnelly, V.M., Ibbotson, D.E. (1984), Ba-sic Principles of Plasma Etcrung for Silicon Devices, in:VLSI Electronics. Microstructure Science, Val. 8, PlasmaProcessing for VLSI, ed. by N.G. Ein,;pruch and D.~{.Brown, pp. 189-251. 0 rlando , Academic Press.

[19] Flanders, D.C., Pressman, L.D., Pinelli. G. (1990), Re-active {on Etcrung of Indium Compounds Using lodineCont3ining Plasmas. J. v.ac, Sci. T,:clll1bl. B. Val. ,'3 :!)~)O-1993.

[20] Fonash, S.J. (1985), Advances in Dry Etcrung Processes- A Review, Solid State Technoi., Val. 28, no. I, 150-158.

[21] G,lllnon, J.J., Nuese, C.J. (19;4), .-\ Chemic.J1 Etch.ultfar the Selective Removal of GaAs thrOl.lgh SiO'2 Masks,J. Electrochem. Soc., Vol. 121, 1215-1219.

[22] Germaru1, R., Forchel, A., Bresch, M., Meier, H.P.(1989), Energy Dependence and Depth Distribution of

8

[5i] Skidmore, J.A., Lishan, D.G., Young, D.B., Hu, E.L.,Coldren, L.A. (1992), HCl, H2, and Cl2 Radical-beamlon-beam Etching of AlzGal-zAs Substrates with Vary-ing Al Mole Fraction, J. Vac. Sci. Technol. B, Val. 10,2720-2724.

[58] Smith, D.L. (1984), High-Pressure Etching, in: VLSIElectronics, Microstructure Science, Val. 8, Plasma Pro-cessing for VLSI, ed. by N .G. Einspruch and D.M. Brown,pp. 253-297. Orlando, Academic Press.

[59] Stoffel, N.G. (1992), Molecular Dynamics Simulations ofDeep Penetration by Channeled Ions during Low-energyIon Bombardment of III/V Semiconductors, J. Vac. Sci.Technol. B, Val. 10, 651-658.

[60] Sullivan, M. V., Kolb, G.A. (1963), The Chemical Polish-ing of Gallium Arsenide in Bromine-Methanol, J. Elec-trochem. Soc., Val. 110, .585.

[61] Takimoto, K., Ohnaka, K., Shibata, J. (1989), Reac-tive Ion Etching of InP with Br2-containing Gases toProduce Smooth, Vertical Walls: Fabrication of Etch-Faceted Lasers, Appl. Phys. Lett., Val. 54, 1947-1949.

[62] Tanbun-Ek, T., Suzaki, S., Min, W.S., Sueinatsu, Y.,Koyama, F., Arai, S. (1984), Static Characteristics of1.5-1.6 ,lJm GaInAsP /InP Buried Heterostructure Butt-jointed Built-in Integrated Lasers, IEEE J. QuantumElectron., Val. QE-20, 131-140.

[63] Tarui, Y., Komiya, Y., Harada, Y. (1971), PreferentialEtching and Etched Profile of GaAs, J. Electrochem.Soc., Val. 118, 118-122.

[64] Tu, Y.-Y., Chuang, T.J., Winters, H.F. (1981), ChemicalSputtering of Fluorinated Silicon, Phys. Rev. B, Val. 23,8:!3-835.

[65] Turley, S.E.H., Greene, P.D. (1982), LPE Growth onStructured (100) InP Substrates and Their Fabricationby Preferential Etching, J. Crystal Growth, Val. 58, 409-416.

[66] Unger, P., Boegli, V., Buchmann, P., Germann, R.(1993), Fabrication of Curved Mirrors for Visible Semi-conductor Lasers Using Electron-Beam Lithography andChemic.:illy Assisted Ion-Beam Etching, J. Vac. Sci. Tech-nol. B, Val. 11,2514-2518.

[67] Unger, P., Boegli, V., Buchmann. P., Germann, R.(1994), High-resolution Electron-beam Lithography forFabricating Visible Semiconductor Lasers with CurvedMirrors and Integrated Holograms, Microelectronic En-gineering, Val. 23, 461-464.

[68] Vettiger, P., Benedict, M.K., Bona, G.L., Buchmann, P.,Cahoon, E.C., Dätwyler, K., Dietrich, H.P., Moser, A.,Seitz, H.K., Voegeli, 0., Webb, D.J., Wolf, P. (1991),Full- Wafer Technology - A New Approach to Large-Scaie

La."~~ '7'OI';r::1t:on ,UIJ fllr,,";;ration. IEEE J. Qu(.nl1lmE' v.. ,~ 1"'1" , ,..,iecLron., 1)1 , ':';;-lv..)1.

[69] Vodjdani, N., Parrens, P. (1987), Reactive Ion Etchingof GaAs with High Aspect Ratios with CI2-CH4-H2-ArMixtures, J. Vac. Sci. Techno!. B, Val. 5, 1591-1598.

[70] Wong, H.F., Green, D.L., Liu, T.Y., Lishan, D.G., Bel-lis, \f., Hu, E.L., Petrotf, P.;\1.. Holtz. P.O., :.1erz, J.L.(1988), Investigation of Reactive Ion Etching InducedDamage in G,lr\s-AlGaAs Q11alltum Weil Structures, J.\T;,r Sr; Tprhnnl R Vnl i'; 1!)Ofi-1Ql0

(39] Kaminsky, G. (1985), Micromachining of Silicon Mechan-ic.:l! Structures, J. Vac. Sci. Technol. B, Vol. 3,1015-1024.

(40] Kendall, D.L. (1975), On Etching Very NarrowGrooves in Silicon, Appl. Phys. Lett., Vol. 26 195-198GaAs/Alo.16 Gao.84 As, J. Electrochem. Soc., Vol. 129,2380-2382.

(41] Landolt-Boernstein (1960), Zahlenwerte und Tabellen, 6.Auflage, Vol. II/2a, Berlin, Springer-Verlag, pp. 1-3 and31-63.

[42] Lee, R.E. (1984), Ion-Bearn Etching (Milling), in: VLSIElectronics, Microstructure Science, Vol. 8, Plasma Pro-cessing for VLSI, ed. by N.G. Einspruch and D.M. Brown,pp. 341-364. Orlando, Academic Press.

[43] Loewe, H., Keppel, P., Zach, D. (1990), Hal-bleiterätzverfahren, Akademie-Verlag, Berlin.

(44] Logan, R.A., van der Ziel, J.P., Temkin, H., Henry, C.H.(1982), InGaAsP /InP (1.3 JJm) Buried-crescent Laserswith Separate Optical Confinement, Electron. Lett., Vol.18, 895-896.

[45] Maile, B.E., Forchel, A., Germann, R., Grützmacher, D.(1989), Impact of Sidewall Recombination on the Quan-tum Efficiency of Dry Etched InGaAs/InP Semiconduc-tor Wires, Appl. Phys. Lett., Vol. 54, 1552-1554.

(46] Mauer, J.L., Logan, J.S., Zielinski, L.B., Schwartz, G.C.(1978), Mechanism of Silicon Etching by a CF4 Plasma,J. Vac. Sci. TechnoI., Vol. 15,1734-1738.

[47] Matsushita, K., Hartnagel, H.L. (1991), Plasma Etchingof InP, Ion Beam Milling and Sputter Etching of InP, Re-active Ion and Ion-Bearn Etching of InP, in: Properties ofIndium Phosphide, EMIS Datareviews Series No. 6, 344-353, London and New York, The Institution of Electrical

Engineers.(48] Mori, Y., Watanabe, N. (1978), A New Etching Solution

System, H3PO4-H2O;:-H2O for GaAs and Its Kinetics, J.Electrochem. Soc., Vol. 125, 1510-151-1.

[49] Niggebruegge, U., Klug, M., Garus, G. (1985), A NovelProcess for Reactive Ion Etching on InP, Using CH4/H2,Inst. Phys. Corno Ser" Vol. 79, 367-372, (Proc. Int. Symp.Ga.-\s and Related Compounds, Karuizawa, Japan 1985),

[50J Oehrlein, G.S., Rembetski, J.F. (1992), Plasma-basedDry Etching Techniques in the Silicon Integrated CircuitTechnology, IBM J. Res, Develop., Vol. 36(2), 140-157,

[51] Pearton, S.J., Chakrabarti, U.K., Katz, A., Perley, A,P..Hobson. W.S. (1991), Comparison of CH4/fu/Ar Re-active Ion Etching and Electron Cyclotron ResonancePlasma Etching of In-Based 111- V Alloys, J. Vac, Sci.Technol. B, Vol. 9, 1421.

[52J Petersen, K.E. (1982), Silicon as a Mechalllc.:l! Material,Proceedings of the IEEE, Vol. 70, 420-457.

:5;1] :=1.ideoI1t, V. L. (1972), An :mpro\',~.l P)ushirtg T':(:!":!1iquefor GaAs, J. Electrochem. Soc., Vl)l. 119, 1778-177!).

(54] Schwarz, B., Robbins, H. (1976), Chemical Etching ofSilicon - IV. Etching Technology, J. Electrochem. Soc.,

Val. 123 1903-1909.(55] Singer, P. (1991), ECR: Is the Magic Gone'?, Semicon-

ductor Internation.:l!, .July 1991, 46-48,(56J 3inger, P. (1992), Trends in Plasma Sources: The Search

Continues, Semiconductor lnternation.:l!, July 1992, 52-56.

~

Film

Substrate Substrate

} Two-LayerMask

) Evaporation) Etching

~r:::I

[=~~~~:~FIG. 3. Crystallograpruc wet etching. SEM cross section

of a stripe in InP etched in H3PO4:HCl (3:1).I UIt-off

~

[=~~~~~~~~~

I~\

[==~~~~~~~

(b)FIG. 1. Typical processing sequences in microfabrication:

(a) subtractive method, (b) additive approach (lift-off).

FIG. 4. T-shaped lift-off mask formed by isotropic dryetching in an oxygen plasma.

FIG. 2. Isotropic wet etching. GaAsj AIGaAs heterostruc-ture etched with H2SO4:H202:H20 (8:1:100). Photoresistmask is still present on top of the etched stripe.

10

PCI3 AsCI3 GaG!;' AI2CI6

(a)

FIG. 5. Vapor pressure for different III/V halides as afunction of temperature. CaIculated using data from (Lan-dolt-Boernstein 1960). Note the logarithmic scaIe of the

y-aXlS.(b)

Anode=-

(c)

FIG.7. Dry etching of InAIAs with Ar/C12-RIE (8 sccmAr, 2 sccm Cb, 10 J.lbar). Sampies were etched for the sametime with three different conditions for the DC-bias: (a) 300V, (b) 480 V, (c) 750 V. Reproduced with permission from((}ermann, H(~usser, Reithmaier 1993).

FIG.9. Ory-etched laser facet region of a red emitting vis-ible (Al)GalnP ridge waveguide laser. Conditions for theAr/CI2-CAIBE are: 500 eV Ar ions, current density 0.23mA/cm2, 15 sccm C12. Reproduced with permission from(Unger, Boegli, Buchmann, Germarm. 1994).

12