power aware testing strategies (slides).pdf

Upload: limmg

Post on 02-Jun-2018

220 views

Category:

Documents


0 download

TRANSCRIPT

  • 8/10/2019 Power Aware Testing Strategies (Slides).pdf

    1/55

    Power-Aware Testing and Test Strategies for Low Power

    Devices

    Patrick Girard, Nicola Nicolici, Xiaoqing Wen

    To cite this version:

    Patrick Girard, Nicola Nicolici, Xiaoqing Wen. Power-Aware Testing and Test Strategies forLow Power Devices. ATS2012: Asian Test Symposium, Nov 2012, Niigata, Japan. IEEE,.

    HAL Id: lirmm-00820737

    http://hal-lirmm.ccsd.cnrs.fr/lirmm-00820737

    Submitted on 6 May 2013

    HAL is a multi-disciplinary open access

    archive for the deposit and dissemination of sci-

    entific research documents, whether they are pub-

    lished or not. The documents may come from

    teaching and research institutions in France or

    abroad, or from public or private research centers.

    Larchive ouverte pluridisciplinaire HAL, est

    destinee au depot et a la diffusion de documents

    scientifiques de niveau recherche, publies ou non,

    emanant des etablissements denseignement et de

    recherche francais ou etrangers, des laboratoires

    publics ou prives.

    http://hal-lirmm.ccsd.cnrs.fr/lirmm-00820737http://hal-lirmm.ccsd.cnrs.fr/lirmm-00820737https://hal.archives-ouvertes.fr/
  • 8/10/2019 Power Aware Testing Strategies (Slides).pdf

    2/55

    1

    1

    Power-Aware Testing and Test Strategies

    for Low Power Devices

    LIRMM / CNRS

    France

    McMaster University

    Canada

    Kyushu Institute of Technology

    Japan

    2

    Power-Aware Testing and Test

    Strategies for Low Power Devices

    Springer web site:

    http://www.springer.com/engineering/circuits+%26+systems/book/978-

    1-4419-0927-5

  • 8/10/2019 Power Aware Testing Strategies (Slides).pdf

    3/55

    2

    3

    4

    MARKET

    Final Teston ATE

    Burn-In (4-24 hrs)

    Termal Cycling+ Final Test

    on ATEWhole population

    Sample population

    Wafer sorton ATE

    A

    NALYSIS

    Stress(up to 2000 hrs)

    Test onATE

    Selected products

    Known Good Dies (KGDs)

    Reliability measurements

    (courtesy: Bernardi et al., ETS, 2009)

  • 8/10/2019 Power Aware Testing Strategies (Slides).pdf

    4/55

    3

    5

    6

    CLK

    ScanENA

    CLK

    ScanENA

    Primary

    Inputs

    Primary

    Outputs

    D Q

    ScanEna

    D

    Qi-1

    Clk

    Qi

  • 8/10/2019 Power Aware Testing Strategies (Slides).pdf

    5/55

    4

    7

    CLK

    ScanENA

    FF1 FF2 FF3 FF4

    FF1 FF2 FF3 FF4

    Primary

    Inputs

    Primary

    Outputs

    8

    1.35 millions of flip-flops, all are scannable !!

  • 8/10/2019 Power Aware Testing Strategies (Slides).pdf

    6/55

    5

    9

    Switching (dynamic) Power

    Leakage (static) Power

    10

    Much higher than during functional operations

    determine

    Excessive

    Test PowerDuring

    Structural

    Testing

  • 8/10/2019 Power Aware Testing Strategies (Slides).pdf

    7/55

    6

    11

    Much higher than during functional operations

    Toggle activity under functional mode : 15%-20%

    Toggle activity under test mode : 35%-40%

    Power under test mode up to 3.8X power during functional mode

    12

    Main reasons for excessive test power

    For conventional (non low-power) designs, dynamic power

    is the main responsible for excessive test power !!

    Leakage power is a real issue during IDDQ test (reduced sensitivity) and

    during burn-in test (can result in thermal runaway condition and yield loss)

  • 8/10/2019 Power Aware Testing Strategies (Slides).pdf

    8/55

    7

    13

    Conventional (slow-speed) scan testing

    14

    At-speed scan testing with a LOC/LOS scheme

    Example

  • 8/10/2019 Power Aware Testing Strategies (Slides).pdf

    9/55

    8

    15

    16

    one peak is not relevant

  • 8/10/2019 Power Aware Testing Strategies (Slides).pdf

    10/55

    9

    17

    high average power

    problems may occur

    18

    one peak is highly relevant

  • 8/10/2019 Power Aware Testing Strategies (Slides).pdf

    11/55

    10

    19

    Elevated Average Power

    Reduced ReliabilityChip Damage

    Yield Loss

    20

    Elevated Average Power

    Low Test Throughput

  • 8/10/2019 Power Aware Testing Strategies (Slides).pdf

    12/55

    11

    21

    Elevated Peak Power

    Manufacturing Yield Loss

    As huge designs can be manufactured today, most power-related

    test issues (during scan) are due to excessive peak power

    22

    IR Drop

    I

    L(di/dt)

    i(t)

    L

    (courtesy: O. Sentieys, IRISA, France)

  • 8/10/2019 Power Aware Testing Strategies (Slides).pdf

    13/55

    12

    23

    (courtesy: A. Domic, Synopsys, USA)

    24

  • 8/10/2019 Power Aware Testing Strategies (Slides).pdf

    14/55

    13

    25

    (courtesy: K. Hatayama, STARC, Japan)

    activate all modules activate only one module

    26

    Costly or longer test time

    Straightforward Solutions

  • 8/10/2019 Power Aware Testing Strategies (Slides).pdf

    15/55

    14

    27

    Main classes of dedicated solutions

    Make test power dissipation comparable to functional power

    Objective

    28

    Main classes of dedicated solutions

  • 8/10/2019 Power Aware Testing Strategies (Slides).pdf

    16/55

    15

    29

    The Role of Test Data in Test Flow

    Comparison

    Design Data

    Tester

    ActualResponses

    LSI Chip

    Fabrication

    TestPatterns

    ExpectedResponses

    Passing Chips Falling Chips

    Probe Card

    Prober

    Socket Board

    Handler

    GO NG

    Test

    Data

    30

    Target of Scan Test Pattern Generation

    PI

    PPI

    PO

    PPO

    Scan-In

    Scan-Out

    Scan Chain

  • 8/10/2019 Power Aware Testing Strategies (Slides).pdf

    17/55

    16

    31

    Automatic Test Pattern Generation (ATPG)

    X

    1

    X

    0

    1

    1

    X

    X

    CUT

    32

    X-Bits in Test Cubes

    20

    30

    40

    50

    6070

    80

    90

    100

    0 50 100 150 200 250 300 Vec.

    X-bitsRatio(%)

    i.e., assigning logic values to X-bits

  • 8/10/2019 Power Aware Testing Strategies (Slides).pdf

    18/55

    17

    33

    Conventional X-Filling Technique: Random-Fill

    Small test pattern count due to fortuitous detection

    High test (shift and LTC) power

    34

    Various Low-Power X-Filling Techniques

    Low-Shift-Power X-Filling

    0-fill1-fill

    MT-filladjacent fill / repeat fill

    MTR-filloutput-justification-based X-

    filling

    Low-LTC-Power X-Filling

    PMF-fillLCP-fill

    preferred fillJP-fill

    CTX-fill

    CCT-fillCAT-fill

    PWT-fillstate-sensitive X-filling

    Low-Shift-and-LTC-Power X-Filling

    impact-oriented X-filling hybrid X-filling bounded adjacent fill

    Low-PowerX-Filling for Compressed Scan Testing

    0-fill PHS-fill CJP-fill

    (source: X. Wen and S. Wang, Low-Power Test Generation, Chapter 3 in Power-Aware Testing and

    Test Strategies for Low Power Devices, edited by P. Girard, N. Nicolici & X. Wen, Springer, 2009)

  • 8/10/2019 Power Aware Testing Strategies (Slides).pdf

    19/55

    18

    35

    Example 1: Low-Shift-Power X-filling

    Goal

    0-fill1-fill MT-fill adjacent-fill

    0-fill

    36

    Example 1 (contd): Low-Shift-Power X-filling

    X

    X

    X-

    X-

    X-

    0 0 0 0 0

    X

    1 1 1 1 1

    1 1 0 0 0

    0 0 1 0 0

    0 1

  • 8/10/2019 Power Aware Testing Strategies (Slides).pdf

    20/55

    19

    37

    Example 2: Low-LTC-Power X-Filling

    0

    1

    1

    0

    0 0

    Stimulus Response

    Combinational Circuit

    Launch-to-Capture (LTC) Power

    IR-Drop

    Delay Increase

    Malfunction

    VDD

    Timing

    38

    X0X

    X

    X0X1

    XX

    1

    Example 2 (contd): Low-LTC-Power X-Filling

    1

    01

    0

    0

    1

    Signal Probability Calculation

    Logic Value Determination

    Justify

    JP-Fill

    0-Prob

    1-Prob

    No DecisionNext Pass

    1

    Assign

    f

    Test Response

    (source: X. Wen et al., Proc. ITC, Paper 25.1, 2007)

    too close to call

  • 8/10/2019 Power Aware Testing Strategies (Slides).pdf

    21/55

    20

    39

    Example 2 (contd): Low-LTC-Power X-Filling

    0.00

    0.01

    0.02

    0.03

    0.04

    0.05

    0.06

    0 50 100 150 200 250 300

    Test Vectors.

    -

    OriginalJP-Fill

    LTC

    IR-Drop(V)

    Risky

    Safe

    Risky Safe

    JP-FillOriginalChip-Level

    IR-Drop Distribution

    40

    Example 3: Low-Shift-&-LTC-Power X-Filling

    Test Cube XXX Shift Direction

    0000 0 0 0 0 0 00000

    0 0 0 0 11111 0 0 0 0 0

    0 0 0

    0-Fill

    Adjacent Fill

    Bounded Adjacent Fill

    000

    1st0-Constraint Bit Bounding Interval = 6

    X adjacent fill

    The occurrence of 0 in the resulting fully-specified test vector is increased, which helps

    reduce shift-out and LTC power. making use of the benefit of 0-fill

    At the same time, applying adjacent fill helps reduce shift-in power.

    (source: A. Chandra et al., Proc. VTS, pp. 131-138, 2008)

  • 8/10/2019 Power Aware Testing Strategies (Slides).pdf

    22/55

    21

    41

    Summary - (1)

    Vector-Pinpoint

    Area-Pinpoint

    42

    Summary - (2)

    2012

    Current

    Low-Power Test

    Generation

    Coarse-Grained Fine-Grained

    Global

    20??

    Future

    Power-Safe Test

    Generation

    Regional

    (source: X. Wen, ETS, Invited Talk, 2012)

  • 8/10/2019 Power Aware Testing Strategies (Slides).pdf

    23/55

    22

    43

    Main classes of dedicated solutions

    Make test power dissipation comparable to functional power

    Objective

    44

    Shift Power Reduction LTC Power Reduction

    Partial Capture

    circuit modification- scan chain disable

    - one-hot clocking

    - capture-clock staggering Scan Chain Modification

    scan cell reordering

    - scan chain segmentation

    scan chain disable

    Shift Impact Blocking

    blocking gate special scan cell first-level power supply gating

    Scan Clock Manipulation

    splitting staggering

    - multi-duty clocking

  • 8/10/2019 Power Aware Testing Strategies (Slides).pdf

    24/55

    23

    45

    Example 1: Low power scan cell

    (source: A. Hertwig and H.-J. Wunderlich, Proc. ETW, pp. 49-53, 1998)

    46

    ENAB

    SISO

    Example 2: Scan chain segmentation

    ENAA

    ScanENA

  • 8/10/2019 Power Aware Testing Strategies (Slides).pdf

    25/55

    24

    47

    CK/2CK/2

    CK

    SISO

    Example 3: Staggered clocking

    48

    Example 4: Scan cell reordering

    (courtesy: H.-J. Wunderlich and C. Zoellin, Univ. Stuttgart)

  • 8/10/2019 Power Aware Testing Strategies (Slides).pdf

    26/55

    25

    49

    Example 5: Inserting logic into scan chains

    (courtesy: H.-J. Wunderlich and C. Zoellin, Univ. Stuttgart)

    50

    Example 6: Scan segment inversion

    (courtesy: H.-J. Wunderlich and C. Zoellin, Univ. Stuttgart)

  • 8/10/2019 Power Aware Testing Strategies (Slides).pdf

    27/55

    26

    51

    Main classes of dedicated solutions

    52(source: P. Girard et. al., Proc. VTS, pp. 407-412, 1999)

    Example 1: Masking logic insertion during BIST

    ViVj

    Vk

    Vl

    V0

  • 8/10/2019 Power Aware Testing Strategies (Slides).pdf

    28/55

    27

    53

    Example 2: Adaptation to Scan-Based BIST

    (source: F. Corno et. al., Proc. DFT, pp. 219-226, 1999)

    54

    (source: S. Wang and S. Gupta, Proc. ITC, pp. 848-857, 1997)

    Example 3: Dual-speed LFSR for BIST

  • 8/10/2019 Power Aware Testing Strategies (Slides).pdf

    29/55

    28

    55(source: S. Wang and S. Gupta, Proc. ITC, pp. 848-857, 1997)

    Example 3 (contd): Dual-speed LFSR for BIST

    56

    Example 4: Coding for compression and test power

    (courtesy: K. Chakrabarty and S.K. Goel, Duke Univ.)

  • 8/10/2019 Power Aware Testing Strategies (Slides).pdf

    30/55

    29

    57

    Example 5: Linear Finite State Machines

    (source: F. Czyusz et al., Proc. VTS, pp. 75-83, 2007)

    58

    Main classes of dedicated solutions

  • 8/10/2019 Power Aware Testing Strategies (Slides).pdf

    31/55

    30

    59

    Improve Test Throughput by Exploiting Design Modularity

    (source: Y. Zorian, Proc. VTS, pp. 4-9, 1993)

    60

    Example 1: Resource Allocation and Incompatibility Graphs

    (source: R. Chou et al., IEEE Trans. on VLSI, Vol. 5, No. 2, pp. 175-185, 1997)

  • 8/10/2019 Power Aware Testing Strategies (Slides).pdf

    32/55

    31

    61

    Example 1 (contd): Power Model and Test Schedule

    (source: R. Chou et al., IEEE Trans. on VLSI, Vol. 5, No. 2, pp. 175-185, 1997)

    62

    Example 2: Power Profile Manipulation

  • 8/10/2019 Power Aware Testing Strategies (Slides).pdf

    33/55

    32

    63

    Example 3: Thermal Considerations

    64

    Test Power Reduction Strategies

    High

    High

    Low

    Low

    Low

    Low

    Low

    Low

    Minimum

    Minimum

    (source: S. Ravi, TI, ITC07)

  • 8/10/2019 Power Aware Testing Strategies (Slides).pdf

    34/55

  • 8/10/2019 Power Aware Testing Strategies (Slides).pdf

    35/55

    34

    67

    The new power-performance paradigm:

    Adoption of low-power design and power management techniques

    Density 2X

    Performance 1.4X

    Power 1X

    Cost 0.5X

    Applications

    Units

    Users

    Revenue

    (courtesy: M. Hirech, Synopsys, USA)

    68

  • 8/10/2019 Power Aware Testing Strategies (Slides).pdf

    36/55

    35

    69

    Main LPD techniquesPower reduction

    These techniques are often combined together to

    achieve the maximum power optimization value

    70

    Even more critical for Low-Power Design !!

    PM structures

    often disabled

    during test

    application

    (courtesy: X. Wen, KIT)

  • 8/10/2019 Power Aware Testing Strategies (Slides).pdf

    37/55

    36

    71

    And still target:

    72

    Main classes of dedicated solutions

    Make test power dissipation comparable to functional power

    Objective (again)

  • 8/10/2019 Power Aware Testing Strategies (Slides).pdf

    38/55

    37

    73

    Main classes of dedicated solutions

    74

    Multi-Voltage Design Styles

    0.9V0.9V0.7V0.7V

    0.9V0.9V

    OFF0.7 0.9V0.7 0.9V

    PWR

    CTRL

    PWR

    CTRL

    0.7V0.7V0.9

    V

    OFF0.9V0.9V0.7V0.7V

    0.9V0.9V

    Dynamic Voltage

    Frequency Scaling

    (DVFS)

    Multi-Voltage

    with power gating

    Multi-Voltage

    Multi-supply

  • 8/10/2019 Power Aware Testing Strategies (Slides).pdf

    39/55

    38

    75

    Example 1: Multi-Voltage Aware Scan Cell Ordering

    L ogical Physical M ulti-

    Voltage

    1

    2

    3

    4

    5

    6

    7

    8

    9

    Design (1.2V)

    B1

    B3

    B2

    C2

    C1

    C3

    A1

    A2

    A3

    Block A

    0.9V

    Block B

    1.2V

    Block C

    0.9V

    Position

    Ordering

    76

    EncounterTM

    Example 2: Power-Aware Scan Chain Assembly

  • 8/10/2019 Power Aware Testing Strategies (Slides).pdf

    40/55

    39

    77

    Example 3: Voltage scaling in scan mode

    78

    Example 3 (contd): Voltage scaling in scan mode

  • 8/10/2019 Power Aware Testing Strategies (Slides).pdf

    41/55

    40

    79

    S

    OS

    I

    PD4

    SC1 SC4

    PD1

    Test

    Controller

    Power

    Controll

    Power

    Controller

    Test

    Controller

    PD2

    SC2

    PD3

    SC3

    Example 4: Power Domain Test Planning

    Objective:

    (Source: M. Hirech, Synopsys, DATE, 2008)

    80

    Main classes of dedicated solutions

  • 8/10/2019 Power Aware Testing Strategies (Slides).pdf

    42/55

    41

    81

    not

    only in logic portions but also

    in clock trees (> 50%)

    Basic Clock Gating Design

    GClk

    Clock Gator

    82

    Impact of Clock Gating on Test

    Impact on Shift ModeNegative

    Impact on Capture ModePositive

    Shift Operation Guarantee Needed

    Good for LTC Power Reduction

    Dynamic In-ATPG Techniques

    Static In-ATPG Techniques

    Post-ATPG X-Filling Techniques

    DfT for Clock Gating Logic

  • 8/10/2019 Power Aware Testing Strategies (Slides).pdf

    43/55

    42

    83

    Example 1: DfT for Clock Gating Logic

    Shift ModeSE

    SE

    Capture ModeSE

    Clock Gator

    SE

    84

    Example 1 (contd): DfT for Clock Gating Logic

    shift mode

    SE

    capture modeSE

    Shift Register Operation

    LTC Power Reduction

    1

    SE

    11

    SE

    0

  • 8/10/2019 Power Aware Testing Strategies (Slides).pdf

    44/55

    43

    85

    Example 2: LTC Power Reduction by Clock Gating

    (source: R. Illman et al., Proc. LPonTR, pp. 45-46, 2008)

    default value

    A preset value is to be used to fill an X-bit in a test cube

    Identify all clock gators

    For each clock gator, calculate a set of input settings that set the clock off

    The values in each input setting are default values..

    Generate a test cube for fault detection

    Assign default values to the X-bits in the test cube

    If there are multiple choices of default values, use the one that can turn-off more FFs.

    86

    Example 2 (contd): LTC Power Reduction by Clock Gating

    Test Cubes after ATPG Test Cubes after Assigning Default Values

    Default Values

    S1 = 0 / S2 = 0

    S1 = 1 / S2 = 1

    (source: R. Illman et al., Proc. LPonTR, pp. 45-46, 2008)

  • 8/10/2019 Power Aware Testing Strategies (Slides).pdf

    45/55

    44

    87

    Example 2 (contd): LTC Power Reduction by Clock Gating

    Circuit Statistics

    (source: R. Illman et al., Proc. LPonTR, pp. 45-46, 2008)

    88

    Summary

    shift mode

    capture mode

    Assign pre-determined clock-gator disabling values to dont-care bits

    (X-bits) in a test cube initially generated for fault detection.

    Find and assign proper logic values to dont-care (X-bits) in a test

    cube so as to disable as many clock gators as possible.

  • 8/10/2019 Power Aware Testing Strategies (Slides).pdf

    46/55

    45

    89

    Main classes of dedicated solutions

    90

    Typical Power Management (PM) Structures

    Unified Power Format Common Power Format

  • 8/10/2019 Power Aware Testing Strategies (Slides).pdf

    47/55

    46

    91

    Segmented Switch

    Segmented Switch

    Header Switch Footer Switch Symmetric Switch

    Header Switch/ Footer Switch/ Symmetric Switch

    Example 1: Test for Power Switches

    92

    Example 1 (contd): Test for Power Switches

    Test for Short TE standby_t

    Out Out

    Test for Open TE standby_t

    Out Out

    from powercontrol logic

    (source: Goal (NXP) et al., Proc. ETS, pp. 145-150, 2006)

  • 8/10/2019 Power Aware Testing Strategies (Slides).pdf

    48/55

    47

    93

    Example 2: Parametric Test of Micro Switches

    94

    Example 2 (contd): Parametric Test of Micro Switches

  • 8/10/2019 Power Aware Testing Strategies (Slides).pdf

    49/55

    48

    95

    Example 2 (contd): Parametric Test of Micro Switches

    96

    Example 3: Test for State Retention Registers (SRRs)

    Master

    Latch

    Retention

    Latch

  • 8/10/2019 Power Aware Testing Strategies (Slides).pdf

    50/55

    49

    97

    Example 3 (contd): Test for State Retention Registers (SRRs)

    SRR

    V

    (2) Save

    Restore

    V

    Repeat for V = 0 and V = 1

    Retention Capability Test

    98

    Example 4: Test for Isolation Cells - (1)

    SLEEP_1

    SLEEP_2

    ISO_1 OUT

    OUT

  • 8/10/2019 Power Aware Testing Strategies (Slides).pdf

    51/55

    50

    99

    Example 4: Test for Isolation Cells - (2)

    OR

    Power Domain OFF

    Power Domain ON

    Check for 1

    AND

    Power Domain OFF

    Power Domain ON

    Check for 0

    FF

    Power Domain OFF

    Power Domain ON

    Check for 0 and 1

    Power Domain ON

    Power Domain ON

    Power Domain ON

    100

    Example 5: Test for Level Shifters

    Power Domain 1 Power Domain 2

    Level Shifter

    Test as a whole under all power supply voltage combinations

    of Power Domain 1 and Power Domain 2.

    (VDD-1, VDD-2, ) (VDD-a, VDD-b, )

  • 8/10/2019 Power Aware Testing Strategies (Slides).pdf

    52/55

    51

    101

    Example 6: Test for Power Mode Control Logic in SRAMs

    Low-Power SRAM

    corecellarray

    IOIO control

    wordlinedriver

    corecellarray

    102

    Summary

  • 8/10/2019 Power Aware Testing Strategies (Slides).pdf

    53/55

    52

    103

    (source: CADENCE, 2007)

    104

    Solutions for high quality at-speed fault coverage are needed !

  • 8/10/2019 Power Aware Testing Strategies (Slides).pdf

    54/55

    53

    105

    Synopsys:

    http://www.synopsys.com/Tools/Implementation/RTLSynthesis/Test/Pages/def

    ault.aspx

    106

    Mentor:

    http://www.mentor.com/products/silicon-yield/logic_test/

    Cadence:

    http://www.cadence.com/products/ld/test_architect/pages/default.aspx

  • 8/10/2019 Power Aware Testing Strategies (Slides).pdf

    55/55

    107

    Thank You !