p.e.s college of engineering, mandya · seminar: the seminar shall be of 100 marks cie. it is based...

48
Page 1 SYLLABUS Academic Year 2018-19 Master of Technology In VLSI Design and Embedded Systems Department of Electronics and Communication Engineering P.E.S. College of Engineering Mandya- 571401, Karnataka (An Autonomous Institution under VTU, Belagavi)

Upload: others

Post on 24-Mar-2020

9 views

Category:

Documents


0 download

TRANSCRIPT

Page 1: P.E.S COLLEGE OF ENGINEERING, MANDYA · Seminar: The seminar shall be of 100 marks CIE. It is based on the current topics presentation along with a report submission for evaluation

Page 1

SYLLABUS

Academic Year 2018-19

Master of Technology In

VLSI Design and Embedded Systems

Department of Electronics and Communication

Engineering

P.E.S. College of Engineering Mandya- 571401, Karnataka

(An Autonomous Institution under VTU, Belagavi)

Page 2: P.E.S COLLEGE OF ENGINEERING, MANDYA · Seminar: The seminar shall be of 100 marks CIE. It is based on the current topics presentation along with a report submission for evaluation

P.E.S COLLEGE OF ENGINEERING, MANDYA

M.Tech.-VLSI Design and Embedded System Syllabus of 2018-19 Page 2

P.E.S COLLEGE OF ENGINEERING, MANDYA-571401

(KARNATAKA)

(An Autonomous Institution under VTU, Belagavi)

Vision

PESCE shall be a leading institution imparting quality engineering and management

education developing creative and socially responsible professionals.

Mission

Provide state of the art infrastructure, motivate the faculty to be proficient in their field of

specialization and adopt best teaching-learning practices.

Impart engineering and managerial skills through competent and committed faculty using

outcome based educational curriculum.

Inculcate professional ethics, leadership qualities and entrepreneurial skills to meet the

societal needs.

Promote research, product development and industry-institution interaction.

Page 3: P.E.S COLLEGE OF ENGINEERING, MANDYA · Seminar: The seminar shall be of 100 marks CIE. It is based on the current topics presentation along with a report submission for evaluation

P.E.S COLLEGE OF ENGINEERING, MANDYA

M.Tech.-VLSI Design and Embedded System Syllabus of 2018-19 Page 3

DEPARTMENT OF ELECTRONICS AND COMMUNICATION ENGINEERING

About the department:

The department of Electronics and Communication Engineering was incepted in the year 1967

with an undergraduate program in Electronics and Communication Engineering. Initially

program had an intake of 60 students and presently 150 students graduate every year. The long

journey of 50 years has seen satisfactory contributions to the society, nation and world . The

alumni of this department has strong global presence making their alma mater proud in every

sector they represent.

Department has started its PG program in the year 2012 in the specialization of VLSI design and

Embedded systems. Equipped with qualified and dedicated faculty department has focus on

VLSI design, Embedded systems and Image processing. The quality of teaching and training has

yielded high growth rate of placement at various organizations. Large number of candidates

pursuing research programs (M.Sc/Ph D) is a true testimonial to the research potential of the

department.

Vision

The department of E & C would endeavour to create a pool of Engineers who would be

extremely competent technically, ethically strong also fulfil their obligation in terms of social

responsibility.

Mission

M1: Adopt the best pedagogical methods and provide the best facility, infrastructure and

an ambience conducive to imbibe technical knowledge and practicing ethics.

M2:Group and individual exercises to inculcate habit of analytical and strategic thinking

to help the students to develop creative thinking and instil team skills

M3:MoUs and Sponsored projects with industry and R & D organizations for

collaborative learning

M4:Enabling and encouraging students for continuing education and moulding them for

life-long learning process

Page 4: P.E.S COLLEGE OF ENGINEERING, MANDYA · Seminar: The seminar shall be of 100 marks CIE. It is based on the current topics presentation along with a report submission for evaluation

P.E.S COLLEGE OF ENGINEERING, MANDYA

M.Tech.-VLSI Design and Embedded System Syllabus of 2018-19 Page 4

DEPARTMENT OF ELECTRONICS AND COMMUNICATION ENGINEERING

(A) Programme Learning Objectives (PLOs)

M.Tech in VLSI Design and Embedded system during two years term, aims to

1. Provide the students with strong fundamental and advanced knowledge in VLSI

Design and Embedded system with an emphasis to solve engineering problems.

2. Train the students in VLSI and Embedded system design tools and make them fit

for the industries.

3. Inculcate in students the professional and ethical attitude, effective

communication skills, team spirit and nurture them as leaders.

4. Provide teaching skills and inculcate spirit of research.

5. Motivate to continue education leading to doctoral degree and choose research as

career option.

(B) Programme Outcomes (POs):

The Master of Technology Programme in Electronics and Communication Engineering

[M.Tech in VLSI Design and Embedded system] must demonstrate that it’s Post

graduates have

1. An ability to apply knowledge gained out of this program to develop products and

solutions in the area of VLSI design and Embedded Systems.

2. An understanding of professional and ethical responsibilities at national and international

levels.

3. An ability to effectively communicate both written and oral on social and technical

problems at national and global scenarios.

4. An ability to engage in independent and lifelong learning in the broad context of

technological change.

5. Ability to carry- out independent research.

A total of 88 credits for 2 years M.Tech programme

Credit pattern

Core Courses:- I Semester 12 credits

II Semester 12 credits

Total credits for core courses is 24 credits

Elective Course: - I Semester 08 credits

II Semester 08 credits

Total credits for Elective courses is 16 credits

Self Study course: - 04 credits

Seminar: - 04 credits

Lab: - 04 credits

Industrial Training: - 04 credits

Pedagogy Training: - 04 credits

Project work:- 28 credits A total of 88 credits for 2 years M.Tech programme

Page 5: P.E.S COLLEGE OF ENGINEERING, MANDYA · Seminar: The seminar shall be of 100 marks CIE. It is based on the current topics presentation along with a report submission for evaluation

P.E.S COLLEGE OF ENGINEERING, MANDYA

M.Tech.-VLSI Design and Embedded System Syllabus of 2018-19 Page 5

M.Tech in VLSI Design and Embedded System Program

(OBE) for the Academic year 2018-20

Scheme of Teaching and Examination

First semester

Sl.

No. Sub. Code Subject

Teac

hing

Dept

.

Hrs./Week

L:T:P:H

Total

Credits

Marks

Allotted Total

Marks CIE SEE

1. P18MECE11 CMOS VLSI

Design EC 4:0:0:4 04 50 50 100

2. P18MECE12

Advanced

Embedded

System

EC 4:0:0:4

04 50 50 100

3. P18MECE13 SoC Design EC 4:0:0:4 04 50 50 100

4. P18MECE14 X Elective – I EC 4:0:0:4 04 50 50 100

5. P18MECE15 X Elective – II EC 4:0:0:4 04 50 50 100

6. P18MECEL1 6 Laboratory –I EC 0:0:4:4 02 50 50 100

Total 22 300 300 600

Sl.

No

.

Sub. Code Sub. Name

Hrs./W

eek

L:T:P:

H

Sl.

No

.

Sub. code Sub. Name

Hrs./W

eek

L:T:P:

H

Elective – I Elective – II

1 P18MECE141 VLSI

Technology

4:0:0:4 3 P18MECE151 ASIC

Design

4:0:0:4

2

P18MECE142 Digital

system

design using

Verilog

4:0:0:4

4 P18MECE152

Multicore

Architecture

4:0:0:4

Page 6: P.E.S COLLEGE OF ENGINEERING, MANDYA · Seminar: The seminar shall be of 100 marks CIE. It is based on the current topics presentation along with a report submission for evaluation

P.E.S COLLEGE OF ENGINEERING, MANDYA

M.Tech.-VLSI Design and Embedded System Syllabus of 2018-19 Page 6

Second Semester

Sl.

No. Sub. Code Subject

Teach

ing

Dept.

Hrs./Week

L:T:P:H

Total

Credits

Marks

Allotted

Total

Mark

s CIE SEE

1. P18MECE21

Design of

Analog and

Mixed Mode

VLSI Circuits

EC

4:0:0:4

04 50 50 100

2. P18MECE22

Low Power

VLSI Design

EC 4:0:0:4 04 50 50 100

3. P18MECE23

Real time

Systems

EC 4:0:0:4 04 50 50 100

4. P18MECE24X

Elective – III

EC 3:0:2:5 04 50 50 100

5. P18MECE25X

Elective – IV

EC 3:0:2:5 04 50 50 100

6. P18MECEL26

Laboratory-II

EC 0:0:4:4 02 50 50 100

Total 22 300 300 600

Sl.

No. Sub. Code Sub. Name

Hrs./Week

L:T:P:H

Sl.

No. Sub. code

Sub.

Name

Hrs./Week

L:T:P:H

Elective – III Elective – IV

1 P18MECE241 ARM

Processor 3:0:2:5 3 P18MECE251 System

Verilog

3:0:2:5

2

P18MECE242 Embedded

System

Design

with FPGA

3:0:2:5 4

P18MECE252 Design

Of

VLSI

System

3:0:2:5

Page 7: P.E.S COLLEGE OF ENGINEERING, MANDYA · Seminar: The seminar shall be of 100 marks CIE. It is based on the current topics presentation along with a report submission for evaluation

P.E.S COLLEGE OF ENGINEERING, MANDYA

M.Tech.-VLSI Design and Embedded System Syllabus of 2018-19 Page 7

Third semester

Sl.

No. Sub. Code Subject

Teach

ing

Dept.

Hrs./Week

L:T:P:H

Total

Credits

Marks

Allotted Total

Marks CIE SEE

1. P18MECE31

Self Study Course EC 0:2:2:4 04 50 50 100

2. P18MHSM32

Pedagogy/

Research

Methodology

HS&

M 0:2:2:4 02 100 -- 100

3. P18MECE33

Seminar EC -- 02 100 -- 100

4. P18MECE34

Project –Phase – I

EC -- 04 100 -- 100

5. P18MECE35

Project –Phase-II

EC -- 04 100 -- 100

6. P18MECE36

Industrial

Training

EC -- 06 100 -- 100

Total 22 550 50 600

Fourth semester

Sl.

No. Sub. Code Subject

Teaching

Dept.

Hrs./Week

L:T:P:H

Total

Credits

Marks

Allotted Total

Marks CIE SEE

1. P18MECE41

Project –Phase-III

EC -- 04 100 -- 100

2. P18MECE42

Project –Phase-

IV(Thesis Evaluation)

EC -- 08 100 -- 100

3. P18MECE43 Project –Phase-V(Viva-

Voce)

EC -- 08 -- 100 100

4. P18MECE44 Term Paper EC -- 02 -- 100 100

Total 22 200 200 400

Page 8: P.E.S COLLEGE OF ENGINEERING, MANDYA · Seminar: The seminar shall be of 100 marks CIE. It is based on the current topics presentation along with a report submission for evaluation

P.E.S COLLEGE OF ENGINEERING, MANDYA

M.Tech.-VLSI Design and Embedded System Syllabus of 2018-19 Page 8

Category of Courses:

1. Core Courses: The Core courses constitute the core of the programme of study. Core

courses are to be compulsorily studied by a student and are mandatory to complete them

to fulfill the requirements of a programme.

2. Electives: Elective courses offer a choice of advanced or specialized courses related to

the programme of study. They enable students to specialize in a domain of interest or

tune their learning to suit career needs and current trends.

3. Laboratories: The Laboratories are evaluated for 100 marks which includes CIE: 50

marks & SEE: 50 marks. The assessment of CIE is done with execution of lab programs

& report submission. The final SEE assessment is done with the conduction of exam and

Viva-Voce.

4. Self-Study Course: The Self-Study Course shall consist of five units with lab component

and he/ she must be able to demonstrate the knowledge gained by the candidates. The

course content must be tailor made by the department to suit their requirements.

The Self-Study Course shall be assessed for 100 marks. The evaluation is based on the

lab report submission/ assignment/ viva -voce as CIE 50 marks and SEE for 50 marks.

5. Industrial training: The Industrial training shall be completed during the period

specified in the Scheme of Teaching and Examination.

I. The industrial training can be carried out in any industry/ R & D Organization/

Research / Institute/ Educational institute of repute / Internshala (AICTE MoU

Internship).

II. The Department/college shall nominate staff member/s to facilitate, guide and

supervise students under Industrial training.

III. The Internal Guide has to visit place of Industrial training at least once during the

student’s Industrial training.

IV. The students shall report the progress of the Industrial training to the guide in regular

intervals and seek his/her advice.

V. After the completion of Industrial training, students shall submit a report with

completion and attendance certificates to the Head of the Department with the

approval of both internal and external guides.

VI. A report on Industrial Training is to be submitted by the student. The report has to be

evaluated by Industrial guide and Institute guide for CIE of 50 marks (industry and

supervisor evaluation average marks for 50 each). The student must give seminar

based on Industrial Training before a committee constituted by the department for

remaining CIE of 50 marks.

VII. Failing to undergo Industrial Training: Industrial Training is one of the head of

passing. Completion of Industrial Training is mandatory. If any student fails to

undergo /complete the Industrial Training, he/she shall be considered as failed in that

Course. The reappearance shall be considered as an attempt.

Page 9: P.E.S COLLEGE OF ENGINEERING, MANDYA · Seminar: The seminar shall be of 100 marks CIE. It is based on the current topics presentation along with a report submission for evaluation

P.E.S COLLEGE OF ENGINEERING, MANDYA

M.Tech.-VLSI Design and Embedded System Syllabus of 2018-19 Page 9

VIII. Eight weeks of compulsory Industrial Training to be undergone by the students

during their III semester.

6. Pedagogy/Research methodology: Pedagogy/Research methodology is CIE with

objective type of question for evaluation

7. Seminar: The seminar shall be of 100 marks CIE. It is based on the current topics

presentation along with a report submission for evaluation each of 50 marks.

8. Project Work: The Project Work carries 28 credits and spreads over TWO semesters,

i.e. during III and IV semesters. Project work Phase-1, 2 & 3 to be awarded by the

Department committee constituted for the purpose.

I. The Project Phase-I evaluation shall be of 100 marks CIE. It is based on Report

Submission consisting of Title, Introduction, Literature Survey, Summary of

Literature Survey, Objectives and Methodology (50 Marks) and Presentation (50

marks) each.

II. The Project Phase-II evaluation shall be of 100 marks CIE. It is based on Report

Submission consisting of Experimentation, Theoretical analysis approach and results

(if completed as a stage work) and Presentation for 50 marks each.

III. The Project Phase-III evaluation shall be of 100 marks CIE. It is based on Thesis

manuscript and presentation for 50 marks each (work completion report).

IV. The Project Phase-IV evaluation shall be of 100 marks CIE. It is based on the

evaluation done separately by internal and external examiners and average marks of

the two examiner shall be consider as final marks

V. The Project Phase-V evaluation shall be of 100 marks SEE. It is based on Thesis

presentation and project viva voce has to be conducted jointly by internal and

external examiner for a total of 100 marks SEE.

9. Term Paper: The term paper is purely based on the project work he/she chooses.

I. The Term paper shall be for 100 marks CIE only. It has to be evaluated by the

committee formed by HOD consisting of PG coordinator, guide and subject expert

internal/ external for each candidate.

II. The term paper evaluation is based on the publication of an article in peer reviewed

conference/ journal (national/ international) and quality of the journal. If the term

paper is not published by the candidate or the same is communicated for publication

at the end of his/ her tenure, then the committee formed by HOD consisting of PG

coordinator, guide and subject expert internal/ external for each candidate will asses

for the award of credit.

Page 10: P.E.S COLLEGE OF ENGINEERING, MANDYA · Seminar: The seminar shall be of 100 marks CIE. It is based on the current topics presentation along with a report submission for evaluation

P.E.S COLLEGE OF ENGINEERING, MANDYA

M.Tech.-VLSI Design and Embedded System Syllabus of 2018-19 Page 10

Course Content

FIRST SEMESTER

Course Code: P18MECE11 Semester : I (L:T:P:H: 4:0:0:4)

Course Title : CMOS VLSI DESIGN

Contact Period : Lecture :52 Hr, Exam: 3Hr Weightage :CIE:50% SEE:50%

Prerequisites:

The student should have undergone the course on basic CMOS VLSI Design.

Course Learning Objectives (CLOs)

At the end of the course the students should be able to:

1. Provide the basic knowledge of MOSFETs.

2. Explain the MOS Transistor threshold voltage equation.

3. Describe the second order effects.

4. Provides the knowledge of lambda based design rule and process technology

5. Outline the concepts of Basics of Digital CMOS Design.

6. Discuss the concepts of clocking in digital CMOS design

7. Describe the different types of semiconductor memories.

Course Content

UNIT I:

MOS Transistor Theory: n MOS / p MOS transistor, threshold voltage equation, body effect,

MOS device design equation, sub threshold region, Channel length modulation. Mobility

variation, tunneling, punch through, hot electron effect MOS models, small signal AC

Characteristics.

The CMOS Inverter: Analysis and Design: Basic Circuit and DC Operation, Inverter Switching

Characteristics, Output Capacitance, Inverter Design. 10 Hrs

UNIT II:

Combinational MOS Logic Circuits-Introduction, CMOS logic circuits with a MOS load,

CMOS logic circuits, complex logic circuits, Transmission Gate.

MOS Inverters Static Characteristics: Introduction, Resistive-Load Inverter, Inverters with n-Type

MOSFET Load, CMOS Inverter. 10Hrs

UNIT III:

Basics of Digital CMOS Design: Sequential MOS logic Circuits - Introduction, Behavior of hi

stable elements, SR latch Circuit, clocked latch and Flip Flop Circuits, CMOS D latch and

triggered Flip Flop. Dynamic Logic Circuits - Introduction, principles of pass transistor circuits,

Page 11: P.E.S COLLEGE OF ENGINEERING, MANDYA · Seminar: The seminar shall be of 100 marks CIE. It is based on the current topics presentation along with a report submission for evaluation

P.E.S COLLEGE OF ENGINEERING, MANDYA

M.Tech.-VLSI Design and Embedded System Syllabus of 2018-19 Page 11

Voltage boot strapping synchronous dynamic circuit’s techniques, Dynamic CMOS circuit

techniques.

Semiconductor Memories: Introduction, Read-Only Memory (ROM) Circuits, Static Read-

Write Memory (SRAM) Circuits, Dynamic Read-Write Memory (DRAM) Circuit. 11Hrs

UNIT IV:

Dynamic CMOS and clocking: Introduction, advantages of CMOS over NMOS, CMOS\SOS

technology, CMOS\bulk technology, latch up in bulk CMOS., static CMOS design, Domino

CMOS structure and design, Charge sharing, Clocking- clock generation, clock distribution,

clocked storage elements. 10Hrs

UNIT V:

CMOS Process Technology: Lambda Based Design rules, scaling factor, semiconductor

Technology overview, basic CMOS technology, p well / n well / twin well process. Current

CMOS enhancement (oxide isolation, LDD. refractory gate, multilayer inter connect), Circuit

elements, resistor, capacitor, interconnects, sheet resistance & standard unit capacitance concepts

delay unit time, inverter delays, driving capacitive loads, propagate delays, MOS mask layer,

stick diagram, design rules and layout, symbolic diagram, mask feints, scaling of MOS circuits.

11Hrs

TEXT BOOKS

1. Neil Weste and K. Eshragian, “Principles of CMOS VLSI Design: A System

Perspective,” 2nd edition, Pearson Education (Asia) Pvt. Ltd. 2000.

2. Wayne, Wolf, “Modern VLSI design: System on Silicon” Pearson Education”, 2nd

Edition

3. Douglas A Pucknell & Kamran Eshragian , “Basic VLSI Design” PHI 3rd Edition

(original Edition – 1994).

4. Sung Mo Kang & Yosuf Lederabic Law, “CMOS Digital Integrated Circuits: Analysis

and Design”, McGraw-Hill (Third Edition).

REFERENCE

1. John P. Uyemura, “CMOS Logic Circuit Design”. Kluwer Academic Publishers,USA.

Course Outcome (CO)

The student is able to

1. Apply the knowledge of the basic VLSI circuit to understand the concept of MOSFET,

CMOS circuit, digital circuits.

2. Analyze the MOSFET and CMOS circuits.

3. Analyze the CMOS process technology and semiconductor memories.

4. Analyze and design the inverter for different types of load.

5. Design the CMOS circuits using lambda based rule.

______________________________________________________________________________

Page 12: P.E.S COLLEGE OF ENGINEERING, MANDYA · Seminar: The seminar shall be of 100 marks CIE. It is based on the current topics presentation along with a report submission for evaluation

P.E.S COLLEGE OF ENGINEERING, MANDYA

M.Tech.-VLSI Design and Embedded System Syllabus of 2018-19 Page 12

Course Code: P18MECE12 Semester : I (L:T:P:H: 4:0:0:4)

Course Title : Advanced Embedded systems

Contact Period : Lecture :52 Hr,Exam: 3Hr Weightage :CIE:50%SEE:50%

Prerequisites:

The student should have undergone the course on basic embedded systems and

microcontrollers architecture and programming.

Course Learning Objectives (CLOs)

At the end of the course the students should be able to:

1. Understand basic components of embedded systems and its characteristic attributes

2. Partitioning design into Hardware and Software

3. Analyze embedded design problem

4. Use EDA tools and Firmware design tools

5. Understand major issues with RTOS

6. Write software for the embedded system using Embedded C

7. Choose proper IDE for the design and follow the recent trends in the embedded

system design.

Course Content

UNIT I:

Typical Embedded System: Core of the Embedded System, Memory, Sensors and Actuators,

Communication Interface, Embedded Firmware, Other System Components.

Characteristics and Quality Attributes of Embedded Systems: Characteristics of an

embedded system, Quality attributes of embedded systems.

Embedded System- Application and Domain Specific: Consumer (Washing Machine) ,

Automotive 10Hrs

UNIT II:

Hardware Software Co-Design and Program Modeling: Fundamental Issues in Hardware

Software Co-Design, Computational Models in Embedded Design, Introduction to Unified

Modeling Language, Hardware Software Trade-offs.

Embedded Firmware Design and Development: Embedded Firmware Design Approaches,

Embedded Firmware Development Languages

Electronic Design Automation (EDA) Tools: How to use OrCAD tool, schematic design using

OrCAD Capture CIS. 11 Hrs

UNIT III:

Real-Time Operating System (RTOS) based Embedded System Design:

Operating System Basics, Types of OS, Tasks, Process and Threads, Multiprocessing and

Page 13: P.E.S COLLEGE OF ENGINEERING, MANDYA · Seminar: The seminar shall be of 100 marks CIE. It is based on the current topics presentation along with a report submission for evaluation

P.E.S COLLEGE OF ENGINEERING, MANDYA

M.Tech.-VLSI Design and Embedded System Syllabus of 2018-19 Page 13

Multitasking, Task Scheduling, Threads, Processes and Scheduling: Putting them altogether,

Task Communication, Task Synchronization, Device Drivers, How to Choose an RTOS (Only

conceptual understanding, no programming implementation). 11 Hrs

UNIT IV:

Programming in Embedded C: Programming in Embedded C, C vs Embedded C, Compiler vs

Cross Compiler, Using C in Embedded C. 10 Hrs

UNIT V:

The Embedded System Development Environment: The Integrated Development

Environment (keil microvision 3 for illustration only), Types of Files Generated on Cross

compilation, Disassembler/Decompiler, Simulators, Emulators and Debugging, Target Hardware

Debugging, Boundary Scan.

Trends in the Embedded Industry: Processor Trends in Embedded System, Embedded OS

Trends, Development Language Trends, Open Standards, Frameworks and Alliances,

Bottlenecks. 10 Hrs

TEXT BOOK:

1.Introduction to Embedded Systems, Shibu K V, Tata McGraw Hill Education Private

Limited, 2009, ISBN (13): 978-0-07-014589-4

REFERENCE

1. Embedded Systems – A contemporary Design Tool, James K Peckol, John Weily,

2008.

2. Embedded Systems Design: An Introduction to Processes, Tools, and Techniques by

Arnold S. Berger ISBN: 1578200733 CMP Books © 2002

Course Outcome (CO)

The student should be able to

1. Analyze Embedded systems for its requirement and specifications

2. Design simple Embedded systems

3. Analyze different issues of RTOS

4. Discuss recent trend in the Design of Embedded systems

5. Develop software for embedded systems using Embedded C.

Page 14: P.E.S COLLEGE OF ENGINEERING, MANDYA · Seminar: The seminar shall be of 100 marks CIE. It is based on the current topics presentation along with a report submission for evaluation

P.E.S COLLEGE OF ENGINEERING, MANDYA

M.Tech.-VLSI Design and Embedded System Syllabus of 2018-19 Page 14

Course Code: P18MECE13 Semester : I (L:T:P:H: 4:0:0:4)

Course Title : SOC design

Contact Period : Lecture :52 Hr, Exam:3Hr Weightage :CIE:50%SEE:50%

Course Learning Objectives (CLOs)

At the end of the course the students should be able to:

1. Compare the performance, advantages, and disadvantages of system on board,

system on chip, and system in package.

2. Provide the overview of embedded processors with different architectures and

embedded memories with scratchpad and cache concepts.

3. Describe the hardware accelerators for graphics and image processing as well as

DMA controller and USB controller.

4. Outline the interfacing procedure to connect D/A converter with the

microcomputer.

5. Focus on the vital issues of ESL design flow for MPSoCs.

Course Content

UNIT I

Comparison between System-on-Board, System-on-Chip, and System-in-Package. Motivation

for SOC Design Review of Moore’s law, benefits of system-on-chip integration in terms of cost,

power, and performance, power reduction, design effort reduction, performance maximization.

Productivity gap issues and the ways to improve the gap – IP based design and design

reuse,CMOS scaling, 11 Hrs

UNIT II

Embedded Processors – microprocessors, microcontrollers, DSP and their selection criteria.

Review of RISC and CISC instruction sets, Von-Neumann and Harvard architectures, and

interrupt architectures.

Embedded Memories – scratchpad memories, cache memories, flash memories, embedded

DRAM. Topics related to cache memories. Cache coherence. MESI protocol and Directory-

based coherence. 10 Hrs

UNIT III

Hardware Accelerators in an SOC – comparison on hardware accelerators and general-

purpose CPU. Accelerators for graphics and image processing. Typical peripherals in an SoC –

DMA controller, USB controller.

Page 15: P.E.S COLLEGE OF ENGINEERING, MANDYA · Seminar: The seminar shall be of 100 marks CIE. It is based on the current topics presentation along with a report submission for evaluation

P.E.S COLLEGE OF ENGINEERING, MANDYA

M.Tech.-VLSI Design and Embedded System Syllabus of 2018-19 Page 15

Interconnect architectures for SoC. Bus architecture and its limitations. Network on Chip

(NOC) topologies. Mesh-based NoC. Routing in an NoC. Packet switching and wormhole

routing. 11Hrs

UNIT IV

Mixed Signal and RF components in a SoC. Sensors, Amplifiers, Data Converters, Power

management circuits, RF transmitter and receiver circuits. 10 Hrs

UNIT V

SoC Design Flow. IP design, verification and integration, hardware-software codesign, power

management problems, and packaging related problems. 10 Hrs

TEXT BOOKS

1. Sudeep Pasricha and Nikil Dutt,”On-Chip Communication Architectures: System on

Chip Interconnect”, Morgan Kaufmann Publishers © 2008

2.Henry Chang et al., “Surviving the SOC revolution: a guide to platform-based design”,

Kluwer (Springer), 1999

3.Frank Ghenassia,”Transaction Level Modeling with SystemC: TLM Concepts and

Applications for Embedded Systems”, Springer © 2005 (281 pages),

ISBN:9780387262321

4. Luca Benini and Giovanni De Micheli,”Networks on Chips: Technology and Tools”,

Morgan Kaufmann Publishers © 2006.

REFERENCES

1. Michael J. Flynn & Wayne Luk, “Computer System Design – System -On-Chip”, John

Wiley, 2011

2.Sung MO Kang &Yusuf Leblebici “CMOS digital Integrated circuits Analysis &

design”MGH,2003(Reprint-2014)

3. Gray Yeap ”Practical low power Digital design”

4. Kai Hwang,”Advanced computer architecture :parallelism, scalability ,programmability”

Course Outcome (CO)

The student is able to

1. Apply the best design practices to achieve the minituration at optimized cost-(PO1,L3)

2. Analyze with neat sketches the two mechanisms of data programming and erasing the flash memory

cell-(PO2,L4)

3. Apply the concepts of CPU accelerators to design systems using many processors. (PO1,L3)

4. Compare the three types of physical structures of shared bus implementation-(PO2,L4)

5. Analyze the Hartley image reject receiver graphically-(PO1,L3)

6. Apply the concepts of voltage control for the Adoptive performance management .(PO1,L3)

Page 16: P.E.S COLLEGE OF ENGINEERING, MANDYA · Seminar: The seminar shall be of 100 marks CIE. It is based on the current topics presentation along with a report submission for evaluation

P.E.S COLLEGE OF ENGINEERING, MANDYA

M.Tech.-VLSI Design and Embedded System Syllabus of 2018-19 Page 16

ELECTIVE I

Course Code: P18MECE141 Semester : I (L:T:P:H: 4:0:0:4)

Course Title : VLSI Technology

Contact Period : Lecture :52 Hr, Exam:3Hr Weightage :CIE:50%SEE:50%

Course Learning Objectives (CLO) :

At the end of the course the students should be able to:

1. Provide the overview of crystal growth technique and thin film technologies.

2. Explain the different lithographic process, plasma formation and etching techniques,

3. Describe the deposition process of polysilicon, oxide, nitride and other materials.

4. Highlight the ion implantation techniques with shallow and deep profiles.

5. Discuss the metallization process and vlsi nmos and pmos fabrication processes.

6. Provide the steps of IC memory fabrication technology and packaging schemes.

Course Content

UNIT I:

Crystal Growth and Wafer Preparation: Introduction, Electronic-Grade Silicon, Czochralski

Crystal Growing, Silicon Shaping, Process Considerations.

Epitaxy: Introduction, Vapour-Phase Epitaxy, Molecular Beam Epitaxy, Silicon on Insulators,

Epitaxial Evaluation. 10 Hrs

UNIT II:

Lithography: Introduction, Optical Lithography, Electron Lithography, X-ray Lithography, Ion

Lithography.

Reactive Plasma Etching: Introduction, Plasma Properties, Feature-Size Control and

Anisotropic Etch Mechanisms, Other Properties of Etch Processes, Reactive Plasma-Etching

Techniques and Equipment, Specific Etch Processes. 11 Hrs

UNIT III:

Dielectric and Polysilicon Film Deposition: Introduction, Deposition Processes, Polysilicon,

Silicon Dioxide, Silicon Nitride, Plasma-Assisted Depositions, Other Materials.

Ion Implantation: Introduction, Range Theory, Implantation Equipment, Annealing, Shallow

Junctions, High-Energy Implantation. 11 Hrs

Page 17: P.E.S COLLEGE OF ENGINEERING, MANDYA · Seminar: The seminar shall be of 100 marks CIE. It is based on the current topics presentation along with a report submission for evaluation

P.E.S COLLEGE OF ENGINEERING, MANDYA

M.Tech.-VLSI Design and Embedded System Syllabus of 2018-19 Page 17

UNIT IV:

Metallization: Introduction, Metallization Applications, Metallization Choices, Physical Vapor

Deposition, Patterning, Metallization Problems, New Role of Metallization.

VLSI Process Integration: Introduction, Fundamental Considerations for IC Processing,

NMOS IC technology, CMOS IC Technology 10 Hrs

UNIT V:

MOS Memory IC Technology, Bipolar IC Technology, IC Fabrication.

Packaging of VLSI Devices: Introduction, Package Types, Packaging Design Considerations.

10 Hrs

TEXT BOOK

1. S. M. Sze, “VLSI Technology”, McGraw-Hill, Second Edition.

REFERENCE

1. S.K. Ghandhi, "VLSI Fabrication Principles", John Wiley Inc., New York, 1994, Second

Edition.

Course Outcome(CO)

The student is able to

1. Explain wet cleaning and dry cleaning of silicon wafers.

2. Describe optical lithography, electron lithography, X-ray Lithography, Ion Lithography.

3. Discuss the Polysilicon, Silicon Dioxide and Silicon Nitride depositions as well as

Plasma-Assisted Depositions.

4. Outline the Metallization Problems and solutions.

5. Describe the VLSI Process Integration and Important Considerations for IC Processing.

Page 18: P.E.S COLLEGE OF ENGINEERING, MANDYA · Seminar: The seminar shall be of 100 marks CIE. It is based on the current topics presentation along with a report submission for evaluation

P.E.S COLLEGE OF ENGINEERING, MANDYA

M.Tech.-VLSI Design and Embedded System Syllabus of 2018-19 Page 18

Course Code: P18MECE142 Semester : I (L:T:P:H: 4:0:0:4)

Course Title : Digital System Design Using Verilog

Contact Period : Lecture :52 Hr, Exam:3Hr Weightage :CIE:50%SEE:50%

Course Learning Objectives (CLOs)

The students should be able to:

1. Understand the concepts of Real world circuits, Models and Design methodology

2. Thoroughly discuss the Combinational Circuits and its Verification.

3. Develop the verilog coding for any applications.

4. Implement the design of any systems like Memories, interconnect etc

5. Design and develop the processor basics and I/O interfacing.

Course Content

UNIT I

Introduction and Methodology: Digital Systems and Embedded Systems, Binary

representation and Circuit Elements, Real-World Circuits, Models, Design Methodology.

Combinational Basics: Boolean Functions and Boolean Algebra, Binary Coding,

Combinational Components and Circuits, Verification of Combinational Circuits. 11 Hrs

UNIT II

Number Basics: Unsigned and Signed Integers, Fixed and Floating-point Numbers.

Sequential Basics: Storage elements, Counters, Sequential Datapaths and Control, Clocked

Synchronous Timing Methodology. 11 Hrs

UNIT III

Memories: Concepts, Memory Types, Error Detection and Correction. Implementation Fabrics:

ICs, PLDs, Packaging and Circuit Boards, Interconnection and Signal Integrity. 10 Hrs

UNIT IV

Processor Basics: Embedded Computer Organization, Instruction and Data, Interfacing with

memory.

I/O interfacing: I/O devices, I/O controllers, Parallel Buses, Serial Transmission, I/O software.

10 Hrs

UNIT V

Accelerators: Concepts, case study, Verification of accelerators.

Page 19: P.E.S COLLEGE OF ENGINEERING, MANDYA · Seminar: The seminar shall be of 100 marks CIE. It is based on the current topics presentation along with a report submission for evaluation

P.E.S COLLEGE OF ENGINEERING, MANDYA

M.Tech.-VLSI Design and Embedded System Syllabus of 2018-19 Page 19

Design Methodology: Design flow, Design optimization, Design for test. 10 Hrs

TEXT BOOK

6. Peter J. Ashenden, “Digital Design: An Embedded Systems Approach Using

VERILOG”, Elesvier, 2010.

REFERENCE

1. Samir Palnitkar “Verilog HDL: A Guide to Digital Design and Synthesis” 2nd

edition Pearson 2013.

Course Outcomes (CO)

The student should be able to

1. Analyze the knowledge of Digital Systems and Embedded Systems.

2. Describe the concepts of Combinational Circuits and its Verification.

3. Develop the verilog code for any applications.

4. Design any combinational circuits and Sequential Circuits.

5. Design and develop the verilog, stimulus for Memories, processor basics and I/O

interfacing.

Page 20: P.E.S COLLEGE OF ENGINEERING, MANDYA · Seminar: The seminar shall be of 100 marks CIE. It is based on the current topics presentation along with a report submission for evaluation

P.E.S COLLEGE OF ENGINEERING, MANDYA

M.Tech.-VLSI Design and Embedded System Syllabus of 2018-19 Page 20

ELECTIVE II

Course Learning Objectives (CLOs)

This course aims to:

1. Provide the knowledge of ASIC Design Flow.

2. Cover Fundamentals of Full custom, Semi custom and standard cell based design.

3. Explain the low-level design entry.

4. Application of Low Level Design Languages.

5. Describe the various concepts of floor planning and placement and routing and

partitioning methods.

Course Content

UNIT I

Introduction: Full Custom with ASIC, Semi custom ASICS, Standard Cell based ASIC, Gate

array based ASIC, Channeled gate array, Channel less gate array, structured get array,

Programmable logic device, FPGA design flow, ASIC cell libraries. 10 Hrs

UNIT II Data Logic Cells: Data Path Elements, Adders, Multiplier, Arithmetic Operator, I/O cell, Cell

Compilers

ASIC Library Design: Logical effort: practicing delay, logical area and logical efficiency

logical paths, multi stage cells, optimum delay, optimum no. of stages, library cell design.10 Hrs

UNIT III

Low-Level Design Entry: Schematic Entry: Hierarchical design. The cell library, Names,

Schematic, Icons & Symbols, Nets, schematic entry for ASIC’S, connections, vectored instances

and buses, Edit in place attributes, Netlist, screener, Back annotation. 10 Hrs

UNIT IV

Programmable ASIC: programmable ASIC logic cell, ASIC I/O cell

A Brief Introduction to Low Level Design Language: an introduction to EDIF, PLA Tools, an

introduction to CFI designs representation. Half gate ASIC. Introduction to Synthesis and

Simulation. 11 Hrs

Course Code: P18MECE151 Semester : I (L:T:P:H: 4:0:0:4)

Course Title : ASIC Design

Contact Period : Lecture :52 Hr, Exam: 3Hr Weightage :CIE:50% SEE:50%

Page 21: P.E.S COLLEGE OF ENGINEERING, MANDYA · Seminar: The seminar shall be of 100 marks CIE. It is based on the current topics presentation along with a report submission for evaluation

P.E.S COLLEGE OF ENGINEERING, MANDYA

M.Tech.-VLSI Design and Embedded System Syllabus of 2018-19 Page 21

UNIT V ASIC Construction Floor Planning and Placement and Routing: Physical Design, CAD

Tools, System Partitioning, Estimating ASIC size, partitioning methods. Floor planning tools,

I/O and power planning, clock planning, placement algorithms, iterative placement

improvement, Time driven placement methods. Physical Design flow global Routing, Local

Routing, Detail Routing, Special Routing, Circuit Extraction and DRC. 11 Hrs

TEXT BOOKS 1. M.J.S .Smith, - “Application - Specific Integrated Circuits” – Pearson Education,2003.

REFERENCE

1. Jose E.France, Yannis Tsividis, “Design of Analog-Digital VLSI Circuits for

Telecommunication and signal processing”, Prentice Hall, 1994.

2. Malcolm R.Haskard; Lan. C. May, “Analog VLSI Design – NMOS and CMOS”,

Prentice Hall, 1998.

3. Mohammed Ismail and Terri Fiez, “Analog VLSI Signal and Information Processing”,

McGraw Hill, 1994.

Course Outcomes (CO)

The student should be able to:

1. Select the type of design flow for any given system.

2. Design systems using cell libraries.

3. Develop systems with Hierarchical design flow.

4. Estimate the various parameters of an ASIC.

____________________________________________________________________________

Page 22: P.E.S COLLEGE OF ENGINEERING, MANDYA · Seminar: The seminar shall be of 100 marks CIE. It is based on the current topics presentation along with a report submission for evaluation

P.E.S COLLEGE OF ENGINEERING, MANDYA

M.Tech.-VLSI Design and Embedded System Syllabus of 2018-19 Page 22

Course Code: P18 MECE152 Semester : I (L:T:P:H: 4:0:0:4)

Course Title : Multicore Architecture

Contact Period : Lecture :52 Hr, Exam:3Hr Weightage :CIE:50%SEE:50%

Course Learning Objectives (CLOs)

At the end of the course the students should be able to:

1. Provide the knowledge of Multi– core Architecture and System Overview of Threading.

2. Cover Fundamental Concepts of Parallel Programming and its Constructs.

3. Describe in detail the concepts of Threading APIs.

4. Explain the different aspects of OpenMP.

5. Provide Solutions to Common Parallel Programming Problems.

Course Content

UNIT I

Introduction to Multi– core Architecture: Motivation for Concurrency in software, Parallel

Computing Platforms, Parallel Computing in Microprocessors, Differentiating Multi–core

Architectures from Hyper– Threading Technology, Multithreading on Single–Core versus

Multi–Core Platforms Understanding Performance, Amdahl’s Law, Growing Returns:

Gustafson’s Law.

System Overview of Threading: Defining Threads, System View of Threads, Threading

above the Operating System, Threads inside the OS, Threads inside the Hardware, What

Happens When a Thread Is Created, Application Programming Models and Threading, Virtual

Environment: VMs and Platforms, Runtime Virtualization, System Virtualization.

Text: Chapters 1 and 2 11 Hrs

UNIT II

Fundamental Concepts of Parallel Programming: Designing for Threads, Task

Decomposition, Data Decomposition, Data Flow Decomposition, Implications of Different

Decompositions, and Challenges You will Face, Parallel Programming Patterns.

A Motivating Problem: Error Diffusion, Analysis of the Error Diffusion Algorithm.

An Alternate Approach: Parallel Error Diffusion, Other Alternatives.

Threading and Parallel Programming Constructs: Synchronization, Critical Sections,

Deadlock, Synchronization Primitives, Semaphores, Locks, Condition Variables, Messages,

Flow Control–based Concepts, Fence, Barrier, Implementation dependent Threading Features.

Text: Chapters 3 and 4 11 Hrs

Page 23: P.E.S COLLEGE OF ENGINEERING, MANDYA · Seminar: The seminar shall be of 100 marks CIE. It is based on the current topics presentation along with a report submission for evaluation

P.E.S COLLEGE OF ENGINEERING, MANDYA

M.Tech.-VLSI Design and Embedded System Syllabus of 2018-19 Page 23

UNIT III

Threading APIs: Threading APls for Microsoft Windows, Win32/MFC Thread APls,

Threading APls for Microsoft Dot–NET Framework, Creating Threads, Managing Threads,

Thread Pools, Thread Synchronization, POSIX Threads, Creating Threads, Managing Threads,

Thread Synchronization, Signaling, Compilation and Linking.

Text: Chapter 5 10 Hrs

UNIT IV

OpenMP: A Portable Solution for Threading Challenges in Threading a Loop, Loop–carried

Dependence, Data– race Conditions, Managing Shared and Private Data, Loop Scheduling and

Portioning, Effective Use of Reductions, Minimizing Threading Overhead, Work–sharing

Sections, Performance– oriented Programming, Using Barrier and No wait, Interleaving

Single– thread and Multi– thread Execution, Data Copy–in and Copy–out, Protecting Updates

of Shared Variables, Intel Task queuing Extension to OpenMP, OpenMP Library Functions,

OpenMP Environment Variables, Compilation, Debugging, performance.

Text: Chapter 6 10 Hrs

UNIT V

Solutions to Common Parallel Programming Problems: Too Many Threads, Data Races,

Deadlocks, and Live Locks, Deadlock, Heavily Contended Locks, Priority Inversion, Solutions

for Heavily Contended Locks, Non–blocking Algorithms, ABA Problem, Cache Line Ping–

ponging, Memory Reclamation Problem, Recommendations, Thread–safe Functions and

Libraries, Memory Issues, Bandwidth, Working in the Cache, Memory Contention, Cache

related Issues, False Sharing, Memory Consistency, Current IA– 32 Architecture, Itanium

Architecture, High–level Languages, Avoiding Pipeline Stalls on IA–32, Data Organization

for High Performance.

Text: Chapter 7 10 Hrs

TEXT BOOK

1. Shameem Akhter and Jason Roberts “Multicore Programming, Increased Performance

through Software Multi–threading” Intel Press, 2006.ISBN 0-9764832-4-6.

REFERENCE BOOKS:

1. Calvin Lin, Lawrence Snyder, “Principles of Parallel Programming” Pearson

Education, 2009. ISBN-13: 978-0321487902.

Page 24: P.E.S COLLEGE OF ENGINEERING, MANDYA · Seminar: The seminar shall be of 100 marks CIE. It is based on the current topics presentation along with a report submission for evaluation

P.E.S COLLEGE OF ENGINEERING, MANDYA

M.Tech.-VLSI Design and Embedded System Syllabus of 2018-19 Page 24

2. Michael J. Quinn ,“Parallel Programming in C with MPI and OpenMP”, Tata

McGraw Hill, 2004. ISBN 13: 9780070582019.

3. David E, Culler, Jaswinder Pal Singh with Anoop Gupta “Parallel Computer

Architecture A Hardware/ Software Approach”, eBook ISBN: 9780080573076

Hardcover ISBN: 9781558603431.

Course outcome(CO)

The student is able to

1. Apply the knowledge of parallel programming to solve the design problems.

2. Analyze the dataflow among different cores of the CPU.

3. Design multi core processor system using threads, shared memory, data races,

deadlocks and live locks.

4. Develop the architectures and the related algorithms to implement in real

systems.

____________________________________________________________________________

Page 25: P.E.S COLLEGE OF ENGINEERING, MANDYA · Seminar: The seminar shall be of 100 marks CIE. It is based on the current topics presentation along with a report submission for evaluation

P.E.S COLLEGE OF ENGINEERING, MANDYA

M.Tech.-VLSI Design and Embedded System Syllabus of 2018-19 Page 25

Course Code: P18MECEL16 Semester : I (L:T:P:H: 0:0:4:4)

Course Title : Embedded System Lab

Contact Period : Lecture :42hrs,Exam:3hrs Weightage : CIE:50% SEE:50%

Course Learning Objectives (CLOs)

After learning these course, the student is able to

1. Understand the basic knowledge of how to use EDA Tool for Embedded Hardware Design and for

PCB design.

2. Analyze the layout design tool, component placement, routings and design rule checking.

3. Design and Verify an inverter, Buffer, Transmission gate and Basic/universal gates using

verilog code.

4. Design and Verify a testing program for specified conditions using multithread application.

5. Design a POSIX based message queue for communicating between two tasks as per the

requirements specified

Course Content

I. Advanced Embedded Systems

1. Use any EDA (Electronic Design Automation) tool to learn the Embedded Hardware Design and

for PCB design.

2. Familiarize the different entities for the circuit diagram design.

3. Familiarize with the layout design tool, building blocks, component placement, routings, design

rule checking for the following.

a. Basic RC Circuit

b. Half Wave Rectifier

c. Inverting Amplifier

d. Half Adder

e. Full Wave Rectifier using SCR

f. Oscillator Circuit

g. Characteristics of BJT in Common Base Configuration

4. Design an embedded system using microcontroller and verify its operation. ( use any EDA tool)

II. Embedded Programming Concepts (RTOS)

1. Create ‘n’ number of child threads. Each thread prints the message “ I’m in thread number ” and sleeps

for 50 ms and then quits. The main thread waits for complete execution of all the child threads and then

quits. Compile and execute in Linux.

2. Implement the multithread application satisfying the following:

i. Two child threads are crated with normal priority.

ii. Thread 1 receives and prints its priority and sleeps for 50ms and then quits.

iii. Thread 2 prints the priority of the thread 1 and rises its priority to above normal and retrieves the

new priority of thread 1, prints it and then quits.

iv. The main thread waits for the child thread to complete its job and quits.

3. Implement the usage of anonymous pipe with 512 bytes for data sharing between parent and child

processes using handle inheritance mechanism.

Page 26: P.E.S COLLEGE OF ENGINEERING, MANDYA · Seminar: The seminar shall be of 100 marks CIE. It is based on the current topics presentation along with a report submission for evaluation

P.E.S COLLEGE OF ENGINEERING, MANDYA

M.Tech.-VLSI Design and Embedded System Syllabus of 2018-19 Page 26

4. Test the program below using multithread application.

i. The main thread creates a child thread with default stack size and name ‘Child_Thread’.

ii. The main thread sends user defined messages and the message ‘WM_QUIT’ randomly to the

child thread.

iii. The child thread processes the message posted by the main thread and quits when it receives the

‘WM_QUIT’ message.

iv. The main thread checks the termination of the child thread and quits when the child thread

complete its execution.

v. The main thread continues sending the random messages to the child thread till the ‘WM_QUIT’

message is sent to child thread.

vi. The messaging mechanism between the main thread and child thread is synchronous.

5. Test the program application for creating an anonymous pipe with 512 bytes of size and pass the ‘Read

Handle’ of the pipe to a second process using memory mapped object. The first process writes a message

‘Hi from Pipe Server’. The 2nd process reads the data written by the pipe server to the pipe and displays it

on the console. Use event object for indicating the availability of data on the pipe and mutex objects for

synchronizing the access in the pipe.

6. Create a POSIX based message queue for communicating between two tasks as per the requirements

given below:-

i. Use a named message queue with name ‘MyQueue’.

ii. Create two tasks (Task1 & Task2) with stack size 4000 & priorities 99 & 100 respectively.

iii. Task 1 creates the specified message queue as Read Write and reads the message present, if any,

from the message queue and prints it on the console.

iv. Task2 open the message queue and posts the message ‘Hi from Task2’. Handle all possible error

scenarios appropriately.

Course Outcome

The student should be able to

1. Apply the basic knowledge of how to use EDA Tool for Embedded Hardware Design and

for PCB design.

2. Analyze the layout design tool, component placement, routings and design rule checking.

3. Develop the multithread application code satisfying the specific functions using Linux.

4. Design a testing program for creating an anonymous pipe with given conditions

5. Design and develop a POSIX based message queue for communicating between two

tasks as per the requirements specified

____________________________________________________________________________

Page 27: P.E.S COLLEGE OF ENGINEERING, MANDYA · Seminar: The seminar shall be of 100 marks CIE. It is based on the current topics presentation along with a report submission for evaluation

P.E.S COLLEGE OF ENGINEERING, MANDYA

M.Tech.-VLSI Design and Embedded System Syllabus of 2018-19 Page 27

SECOND SEMESTER

Course Code: P18MECE21 Semester : II (L:T:P:H: 4:0:0:4)

Course Title : Design of Analog and Mixed Mode VLSI Circuits

Contact Period : Lecture :52 Hr, Exam:3Hr Weightage :CIE:50%SEE:50%

Course Learning Objectives (CLOs)

At the end of the course the students should be able to:

1. Analyze the analog CMOS VLSI circuits.

2. Develop the small signal hybrid MOS device model starting from the device physics.

3. Write down the equivalent circuits for different amplifier and oscillator MOS circuits.

4. Derive the mathematical expressions for small signal voltage gain, output resistance for

different configurations of amplifier circuits.

5. Explain the design and working of band gap references, op-amps.

6. Discuss the operation of switched capacitor circuits, amplifiers and integrators

7. Describe the circuits of ring oscillators, LC oscillators, Voltage controlled oscillators, Phase

locked loop and delay lock loops.

Course Content

UNIT I

Basic MOS Device Physics: second order effects, MOS device models.

Single stage Amplifier: CS stage with resistive load, diode - connected load, current source

load, triode load, CS stage with source degeneration, source follower, common-gate stage,

cascade stage, choice of device models. 11Hrs

UNIT II

Differential Amplifiers: Basic differential pair, common mode response, Differential pair

with MOS loads, Gilbert cell.

Passive and active Current mirrors: Basic current mirrors, Cascade mirrors, active current

mirrors. 11Hrs

UNIT III

Operational Amplifiers: Two Stage OP-Amp, Gain boosting, Common Mode Feedback, Slew

rate, PSRR.

Band gap references, supply-independent biasing, temperature independent references, PTAT

current generation, constant gm biasing. 10Hrs

Page 28: P.E.S COLLEGE OF ENGINEERING, MANDYA · Seminar: The seminar shall be of 100 marks CIE. It is based on the current topics presentation along with a report submission for evaluation

P.E.S COLLEGE OF ENGINEERING, MANDYA

M.Tech.-VLSI Design and Embedded System Syllabus of 2018-19 Page 28

UNIT IV

Switched capacitor circuits, general considerations, sampling switches, switched – capacitor

amplifiers, switched – capacitor integrator,

Oscillators , general considerations, Ring Oscillators, LC Oscillators, Voltage controlled

oscillators, 10Hrs

UNIT V

Phase locked loops(PLL): Simple PLL, Charge pump PLL, Non-ideal effects in PLL, Delay

locked loops , applications 10Hrs

TEXT BOOKS :

1. Behzad Razavi, “Design of Analog CMOS Integrated Circuits”, TMH, 2007.

Course outcome(CO) :

The student is able to

1. Apply the concepts of device physics of MOSFETs to construct the small signal

Hybrid circuit model for MOSFETs. (PO1,L3)

2. Analyze qualitatively and quantitatively the differential amplifier circuits. (PO2,L4)

3. Construct the band gap references circuits starting from supply independent biasing

and temperature independent references. (PO3,L5)

4.Apply the knowledge of switched – capacitor circuits to construct amplifier and

integrators. (PO1,L3)

____________________________________________________________________________

Page 29: P.E.S COLLEGE OF ENGINEERING, MANDYA · Seminar: The seminar shall be of 100 marks CIE. It is based on the current topics presentation along with a report submission for evaluation

P.E.S COLLEGE OF ENGINEERING, MANDYA

M.Tech.-VLSI Design and Embedded System Syllabus of 2018-19 Page 29

Course Code: P18MECE22 Semester : II (L:T:P:H: 4:0:0:4)

Course Title : LOW POWER VLSI DESIGN

Contact Period :Lecture :52 Hr, Exam: 3Hr Weightage :CIE:50%SEE:50%

Course Learning Objectives (CLO):

At the end of the course the students should be able to:

1. Understand the basic knowledge of power dissipation in CMOS devices.

2. Estimate dynamic and leakage power components in a DSM VLSI circuit.

3. Differentiate the types of SRAMs/ DRAMs for low power applications.

4. Design low power arithmetic circuits and systems

5. Discuss the concepts of Low power Clock Distribution.

Course Content

UNIT I

Introduction: Sources of power dissipation, static power dissipation, active power dissipation

designing for low power, circuit techniques for leakage power reduction. Device & Technology

Impact on Low Power: Dynamic dissipation in CMOS, Transistor sizing & gate oxide

thickness, Impact of technology Scaling, Technology & Device innovation. 11Hrs

UNIT II

Standard adder cells. CMOS adders architectures, low voltage low power design techniques,

current mode adders.

Types of multiplier architectures, Braun, booth and Wallace tree multiplier and their

performance comparsion. 10Hrs

UNIT III

Sources of power dissipation in SRAMs, low power SRAM circuit techniques, sources of power

dissipation in DRAMs, low power DRAM circuit techniques

Low Power Design Circuit level: Power consumption in circuits. Flip Flops & Latches design,

high capacitance nodes, low power digital cells library. 10Hrs

Page 30: P.E.S COLLEGE OF ENGINEERING, MANDYA · Seminar: The seminar shall be of 100 marks CIE. It is based on the current topics presentation along with a report submission for evaluation

P.E.S COLLEGE OF ENGINEERING, MANDYA

M.Tech.-VLSI Design and Embedded System Syllabus of 2018-19 Page 30

UNIT IV

The increased delays of wires, new materials for wires and dielectrics, design methods taking

into account interconnection delays, cross talk.

Low power Architecture & Systems: Power & performance management, switching activity

reduction, parallel architecture with voltage reduction, flow graph transformation, low power

arithmetic components, low power memory design. 10Hrs

UNIT V

Basic background on testing, unsuitable design techniques for safety critical applications, low

power and safety operating circuits, case study – A low power subsystem design.

Low power Clock Distribution: Power dissipation in clock distribution, single driver Vs

distributed buffers, Zero skew Vs tolerable skew, chip & package co design of clock network.

11Hrs

TEXT BOOKS:

1. Kiat Seng Yeo and Kaushik Roy, “Low voltage, low power VLSI Subsystem”Edition 2009,

Tata Mc Gram Hill.

2. Gary K. Yeap, “Practical Low Power Digital VLSI Design”, KAP, 2002

3. Rabaey, Pedram, “Low Power Design Methodologies” Kluwer Academic, 1997

REFERENCE

1. Soudris D, Piguet C and Goutis C,” Designing CMOS circuits for low power”Kluwer

Academic publishers,2002.

Course Outcome (CO):

The student is able to

1. Identify clearly the sources of power consumption in a given VLSI circuit.

2. Analyze and estimate dynamic and leakage power components in a DSM VLSI circuit.

3. Choose different types of SRAMs/ DRAMs for low power applications.

4. Design low power arithmetic circuits and systems

5. Decide at which level of abstraction it is advantages to implement low power techniques

in a VLSI system design.

Page 31: P.E.S COLLEGE OF ENGINEERING, MANDYA · Seminar: The seminar shall be of 100 marks CIE. It is based on the current topics presentation along with a report submission for evaluation

P.E.S COLLEGE OF ENGINEERING, MANDYA

M.Tech.-VLSI Design and Embedded System Syllabus of 2018-19 Page 31

Course Code: P18MECE23 Semester : II (L:T:P:H: 4:0:0:4)

Course Title : REAL TIME SYSTEMS

Contact Period : Lecture :52 Hr,Exam: 3Hr Weightage :CIE:50%SEE:50%

Course Learning Objectives (CLOs):

This Course aims to:

1. Describe a reference model of real time systems and its applications.

2. Characterize hard and soft real time systems.

3. Describes clock driven approach in safety critical applications.

4. Understand the concept of scheduler

5. Understand basic multi-task scheduling algorithms for periodic, aperiodic, and sporadic tasks.

6. Analyze the real time issues in communication network.

7. Summarizes the control and data dependence among tasks.

8. Describes the task execution and synchronization on different processors.

Course Content

UNIT I

Hard Versus Soft Real Time Systems: Jobs and processors, release times, deadlines and timing

constraints, hard and soft timing constraints, hard real time systems, soft real time systems.

A Reference Model Of Real – Time Systems: Processors and resources, temporal parameters of

real – time workload, periodic task model, precedence constraints and data dependency, other

types of dependencies, functional parameters, resource parameters of jobs and parameters of

resources, scheduling hierarchy,

Text: 2.1 to 2.5, 3.1 to 3.8 10Hrs

UNIT II

Commonly Used Approaches To Real – Time Scheduling: Clock – Driven approach, weighted

round – robin approach, priority – driven approach, dynamic versus static systems, effective

release times and deadlines, optimality of the EDF nd LST algorithms, nanoptimality in

validating timing constraints in priority driven systems, off – line versus on – line scheduling

Clock – Driven Scheduling: notations and assumptions, static, timer driven scheduler, general

structure of cyclic schedules, cyclic executives, improving the average response time of

aperiodic jobs, scheduling sporadic jobs, practical consider ations and generalizations, algorithm

for constructing static schedules, pros and cons of clock driven scheduling.

Text: 4.1 to 4.9, 5.1 to 5.9 11 Hrs

Page 32: P.E.S COLLEGE OF ENGINEERING, MANDYA · Seminar: The seminar shall be of 100 marks CIE. It is based on the current topics presentation along with a report submission for evaluation

P.E.S COLLEGE OF ENGINEERING, MANDYA

M.Tech.-VLSI Design and Embedded System Syllabus of 2018-19 Page 32

UNIT III

Priority _ Driven Scheduling Of Periodic Tasks: static assumption, fixed priority versus

dynamic priority algorithms, maximum schedulable utilization, optimality of the RM and DM

algorithms, a schedulability test for fixed priority tasks with short response times, schedulability

test for fixed priority tasks with arbitary response times, sufficient schedulability conditions for

the RM and DM algorithms, practical factors.

Text: 6.1 to 6.8 10 Hrs

UNIT IV

Resources And Resource Access Control: assumptions on resources and their usage, effects of

resource contention and resource access control, nonpreemptive critical sections, basic priority –

inheritance protocol, basic priority – ceiling protocol, stack – based,priority – ceiling (ceiling –

priority) protocol, use of priority – ceiling protocol in dynamic – priority systems, preemption –

ceiling protocol, controlling accesses to multiple unit resources, controlling concurrent accesses

to data objects.

Text: 8.1 to 8.10 10 Hrs

UNIT V

Multiprocessor Scheduling Resource Access Control And Synchronization: model of

multiprocessor and distributed systems, task assignment, multiprocessor priority – ceiling

protocol, elements of scheduling algorithms for End – to End periodic tasks.

Real – Time Communication: model of real – time communication, priority – based service

disciplines for switched networks, weighted round – robin service disciplines, medium access –

control protocols of broadcast networks.

Text: 9.1 to 9.4, 11.1 to 11.4 11Hrs

TEXT BOOK

1. “Real Time Systems”, Jane.W.S.Liu, Pearson Education – 2003

REFERENCE

1. “Real Time Systems”, C.M.Krishna, Kangg Shin,Tata.McGraw Hill International

Editions, 1997.

2. “Real–Time Systems Design and Analysis”, Phillip A.Laplante, Third Edition, John

wiley, 2007.

Course outcomes(CO):

The student is able to

1. Outline hard and soft real time systems.

2. Summarizes the concept of clock driven scheduling and its practical considerations.

Differentiate the fixed priority verses dynamic priority algorithms of periodic tasks.

Interpret the effects of resource contention and resource access control.

3. Explain the model of multiprocessor and distributed systems.

4. Explain the priority based service disciplines for switched networks.

Page 33: P.E.S COLLEGE OF ENGINEERING, MANDYA · Seminar: The seminar shall be of 100 marks CIE. It is based on the current topics presentation along with a report submission for evaluation

P.E.S COLLEGE OF ENGINEERING, MANDYA

M.Tech.-VLSI Design and Embedded System Syllabus of 2018-19 Page 33

_____________________________________________________________________________

ELECTIVE III

Course Code: P18MECE241 Semester : I (L:T:P:H: 3:0:2:5)

Course Title : ARM Processors

Contact Period : Lecture :52 Hr,Exam: 3Hr Weightage :CIE:50%SEE:50%

Prerequisites:

The student should have undergone the course on basic embedded systems and

microcontrollers architecture and programming

Course Learning Objectives (CLOs):

At the end of the course the students should be able to:

1. Understand general architecture of ARM processor

2. Thoroughly discuss architecture of Cortex family M3 & M4 Processors

3. Implement embedded systems using Cortex M3 & M4

4. Debug faults in the Cortex M# & M4 processor based systems

5. Highlight specialities of Cortex M3 & M4 processors

6. Choose processor based on the system specifications

Course Content

UNIT I

Technical Overview

General information about the Cortex_-M3 and Cortex-M4 processors, Features of the

Cortex_-M3 and Cortex-M4 processors 10 Hrs

UNIT II

Introduction to the architecture: Architecture, Programmer’s model, Behavior of the

application program status register (APSR), Memory system, Exceptions and interrupts

Memory systems: Overview of memory system features ,Memory map, Connecting the

processor to memory and peripherals ,Memory requirements,Memory endianness , Data

alignment and unaligned data access support ,

Bit-band operations, Default memory access permissions, Memory access attributes, Memory

system in a microcontroller. 11 Hrs

Page 34: P.E.S COLLEGE OF ENGINEERING, MANDYA · Seminar: The seminar shall be of 100 marks CIE. It is based on the current topics presentation along with a report submission for evaluation

P.E.S COLLEGE OF ENGINEERING, MANDYA

M.Tech.-VLSI Design and Embedded System Syllabus of 2018-19 Page 34

UNIT III

Exceptions and Interrupts: Overview of exceptions and Exception types,Overview of

interrupt management, Definitions of priority,Vector table and vector table relocation, Interrupt

inputs and pending behaviors, Exception sequence overview , Details of NVIC registers for

interrupt control, Details of SCB registers for exception and interrupt control , Details of special

registers for exception or interrupt masking.

Interrupt latency and exception handling optimization, 11 Hrs

UNIT IV

Low Power and System Control Features

Low power designs, Low power features, Using WFI and WFE instructions in programming,

Developing low power applications, The SysTick timer, Self-reset,CPU ID base register,

Configuration control register, Auxiliary control register, Co-processor access control register

Memory Protection Unit (MPU)

Overview of the MPU, MPU registers, Setting up the MPU, Memory barrier and MPU

configuration, Using sub-region disable, Considerations when using MPU, Other usages of the

MPU 10 Hrs

UNIT V

Fault Exceptions and Fault Handling

Overview of fault exceptions, Enabling fault handlers, Fault status registers and fault address

registers, Analyzing faults, Faults related to exception handling, Lockup, Fault handlers

Introduction to the Debug and Trace Features

Debug and trace features overview, Debug architecture, Debug modes, Debug events,

Breakpoint feature, Debug components introduction, Debug operations. 10 Hrs

TEXT BOOK

1. Joseph Yiu “The Definitive Guide to ARM_ Cortex_-M3 and Cortex-M4

Processors”, 3rd ed, Newness publications, 2016, ISBN 13: 978-0-12-408082-9

REFERENCE

1. Steve Furber “ARM System –On-Chip-Architecture”, 2nd Ed, Addison Wesley

2. Andrew Sloss, Dominic Symes, Chris Wright “ARM System Developer's Guide:

Designing and Optimizing System Software “. Elsevier Morghan Kaufmann publishers

ISBN 1-55860-874-5

Course Outcome (CO):

The student should be able to

1.Analyze embedded systems based on Cortex M3 & M4 processors

2.Describe Architecture of Cortex M3 & M4 processors

Page 35: P.E.S COLLEGE OF ENGINEERING, MANDYA · Seminar: The seminar shall be of 100 marks CIE. It is based on the current topics presentation along with a report submission for evaluation

P.E.S COLLEGE OF ENGINEERING, MANDYA

M.Tech.-VLSI Design and Embedded System Syllabus of 2018-19 Page 35

3.Discriminate ARM and other processors

4.Highlight important features of Cortex family processors

5.Explain Interrupt mechanism, fault handling, debug architecture, memory

protection unit specific to Cortex M3 & M4 processors

Page 36: P.E.S COLLEGE OF ENGINEERING, MANDYA · Seminar: The seminar shall be of 100 marks CIE. It is based on the current topics presentation along with a report submission for evaluation

P.E.S COLLEGE OF ENGINEERING, MANDYA

M.Tech.-VLSI Design and Embedded System Syllabus of 2018-19 Page 36

Course Code:P18MECE242 Semester : II (L:T:P:H: 3:0:2:5)

Course Title : EMBEDDED SYSTEM DESIGN WITH FPGA

Contact Period : Lecture :52 Hr, Exam:3Hr Weightage :CIE:50%SEE:50%

Course Learning Objectives (CLOs)

After learning all the units of the course, the student is able to

1. Provides basic knowledge of embedded system.

2. Explain the computer hardware and software.

3. Illustrate the concept of the SAYEH Design and Test.

4. Describe the concept of Field Programmable gate arrays.

5. Explain the embedded system design tools and design protyping.

6. Describe the various concept of design of utility hardware cores.

7. Explain the concepts of embedded design steps.

Course Content UNIT I

Computer Hardware And Software:

Computer System, Computer Software, Machine Language, Assembly Language, High-Level

Language, C Programming Language, Instruction Set Architecture, SMPL-CPU Design, CPU

Specification, Single-Cycle Implementation, Multi-Cycle Implementation, SAYEH Design and

Test, Details of Processor Functionality, SAYEH Datapath, SAYEH Verilog

Description, SAYEH Top-Level Testbench / Assembler,SAYEH Hardware Realization 10Hrs

UNIT II

Field Programmable Devices:

Read Only Memory, Basic ROM Structure, NOR Implementation, Distributed Gates, Array

Programmability, Memory View, ROM Variations, Programmable Logic Arrays, PAL Logic

Structure, Product Term Expansion, Three-State Outputs, Registered Outputs, Commercial Parts,

Complex Programmable Logic Devices, Altera's MAX 7000S CPLD, Field Programmable gate

arrays, Altera's FLEX 10K DOGMA, Altera's cyclone DOGMA. 10Hrs

UNIT III

Tools For Design And Prototyping:

Hardware Design Flow, Datapath of Serial Adder, Serial Adder Controller, HDL Simulation and

Synthesis, Pre-Synthesis Simulation, Module Synthesis, Post-Synthesis Simulation, Mixed-Level

Design with Quartus II, Project Specification, Block Diagram Design File, Creating and

Inserting Design Components, Wiring Design Component, Design Compilation, Design

Simulation, Synthesis Results, Design Prototyping, UP3 Board Specification, DE2 Board

Specification, Programming DE2 Cyclone II. 10Hrs

Page 37: P.E.S COLLEGE OF ENGINEERING, MANDYA · Seminar: The seminar shall be of 100 marks CIE. It is based on the current topics presentation along with a report submission for evaluation

P.E.S COLLEGE OF ENGINEERING, MANDYA

M.Tech.-VLSI Design and Embedded System Syllabus of 2018-19 Page 37

UNIT IV

Design Of Utility Hardware Cores:

Library Management, Basic IO Device Handling, Debouncer, Single Stepper, Utilizing UPS

Basic IO, Utilizing DE2 Basic IO, Frequency Dividers, Seven Segment Displays, SSD Driver,

Testing DE2 SSD Driver, LCD Display Adapter, Writing into LCD, LCD Initialization, Display

Driver with Initialization, Testing the LCD Driver (UPS), Testing the LCD Driver (DE2),

Keyboard Interface Logic, Serial Data Communication, Power-On Routine, Codes and

Commands, Keyboard Interface Design, VGA Interface Logic, VGA Driver Operation, Monitor

Synchronization Hardware, Character Display, VGA Driver for Text Data, VGA Driver

Prototyping (UPS), VGA Driver Prototyping (DE2) .

Design With Embedded Processors:

Embedded Design Steps, Processor Selection, Processor Interfacing, Developing Software, Filter

Design, Filter Concepts, FIR Filter Hardware Implementation, FIR Embedded Implementation,

Building the FIR Filter, Design of a Microcontroller, System Platform, Microcontroller

Architecture. 11Hrs

UNIT V Design Of An Embedded System: Designing an Embedded System, Nios II Processor,

Configurability Features of Nios II, Processor Architecture, Instruction Set,Nios II Alternative

Cores, Avalon Switch Fabric, Avalon Specification, Address Decoding Logic, Data-path

Multiplexing, Wait-state Insertion, Pipelining, Endian Conversion, Native Address Alignment

and Dynamic Bus Sizing, Arbitration for Multi-Master Systems, Burst Management, Clock

Domain Crossing, Interrupt Controller, Reset Distribution, SOPC Builder Overview,

Architecture of SOPC Builder Systems, Functions of SOPC Builder, IDE Integrated

Development Environment, IDE Project Manager, Source Code Editor, C/C++ Compiler,

Debugger , Flash Programmer, An Embedded System Design: Calculator, System Specification,

Calculating Engine, Calculator IO interface, Design of Calculating Engine, Building Calculator

Software, Calculator Program, Completing the Calculator System.

11Hrs

TEXT BOOK

1.Embedded Core Design with FPGAs, 1e, Zainalabedin Navabi, McGrawHill 2008

Course Outcomes (CO)

The student is able to

1. Explain the concept of Machine Language, Assembly Language, High-LeveI Language.

2. Analyze the concept of SAYEH Top-Level Testbench / Assembler.

3. Describe the structure of PLA and PAL arrays.

4. Explain the concept of Altera’s MAX 7000S CPLD.

5. Estimate the HDL Simulation and Synthesis.

Page 38: P.E.S COLLEGE OF ENGINEERING, MANDYA · Seminar: The seminar shall be of 100 marks CIE. It is based on the current topics presentation along with a report submission for evaluation

P.E.S COLLEGE OF ENGINEERING, MANDYA

M.Tech.-VLSI Design and Embedded System Syllabus of 2018-19 Page 38

ELECTIVE IV

Course Learning Objectives (CLOs)

After learning all the units of the course, the student is able to

1. Understand the basic knowledge of C, C++ and Verilog.

2. Describe the Verification basics, Testbenches, Layered Organization of

Testbenches.

3. Explain the System Verilog data types and typedefs

4. Provide the basic understanding of System Verilog operators, loops, jumps,

functions

5. Explain the system Verilog Class and Randomization.

6. Describe the various types of Interfaces.

Course Content

UNIT I

Introduction to System Verilog: System Verilog origins, Key System Verilog

enhancements for hardware design.

System Verilog Declaration Spaces: Packages, $unit compilation-unit declarations,

Declarations in unnamed statement blocks, Simulation time units and precision.

System Verilog Literal Values and Built-in Data Types: Enhanced literal value

assignments, define enhancements, System Verilog variables, Using 2-state types in RTL

models, Relaxation of type rules, Signed and unsigned modifiers, Static and automatic

variables, Deterministic variable initialization, Type casting. 10 Hrs

UNIT II

System Verilog User-Defined and Enumerated Types: User-defined types,

Enumerated types, System Verilog Arrays, Structures and Unions: Structures, Unions,

Arrays, The for each array looping construct, Array querying system functions ,The $bits

“size of” system function ,Dynamic arrays, associative arrays, sparse arrays and strings.

System Verilog Procedural Blocks, Tasks and Functions: Verilog general purpose

always procedural block, System Verilog specialized procedural blocks, Enhancements to

tasks and functions. 11 Hrs

Course Code:P18MECE251 Semester : II (L:T:P:H: 3:0:2:5)

Course Title : System Verilog

Contact Period : Lecture :52 Hr, Exam: 3Hr Weightage :CIE:50% SEE:50%

Page 39: P.E.S COLLEGE OF ENGINEERING, MANDYA · Seminar: The seminar shall be of 100 marks CIE. It is based on the current topics presentation along with a report submission for evaluation

P.E.S COLLEGE OF ENGINEERING, MANDYA

M.Tech.-VLSI Design and Embedded System Syllabus of 2018-19 Page 39

UNIT III

System Verilog Procedural Statements: New operators, Operand enhancements,

Enhanced for loops, Bottom testing do while loop, The for each array looping construct,

New jump statements — break, continue, return. Enhanced block names ,Statement

labels, Enhanced case statements, Enhanced if...else decisions.

Modeling Finite State Machines with System Verilog: Modeling state machines with

enumerated types, Using 2-state types in FSM models. 10 Hrs

UNIT IV

SystemVerilog Design Hierarchy: Module prototypes, Named ending statements,

Nested (local) module declarations, Simplified netlists of module instances, Net aliasing,

Passing values through module ports, Reference ports, Enhanced port declarations,

Parameterized types.

SystemVerilog Interfaces: Interface concepts, Interface declarations, Using interfaces as

module ports, Instantiating and connecting interfaces, Referencing signals within an

interface, Interface modports, Using tasks and functions in interfaces, Using procedural

blocks in interfaces, Reconfigurable interfaces,Verification with interfaces. 11 Hrs

UNIT V

A Complete Design Modeled with SystemVerilog: SystemVerilog ATM example, Data

abstraction, Interface encapsulation,Design top level: squat,Receivers and transmitters,

Testbench.

Behavioral and Transaction Level Modeling: Behavioral modeling,Transaction level

models via interfaces,Bus arbitration , Transactors, adapters, and bus functional models,

More complex transactions. 10 Hrs

TEXT BOOKS

1. Christian B Spear, “System Verilog for Verification: A guide to learning the

Testbench language features”, Springer publications, 3 rd edition.

2. VijayaRaghavan, “System Verilog Assertions”, Springer publications, 2005

REFERENCE

1. Sutherland, “ System verilog for Design”, Springer publications

Page 40: P.E.S COLLEGE OF ENGINEERING, MANDYA · Seminar: The seminar shall be of 100 marks CIE. It is based on the current topics presentation along with a report submission for evaluation

P.E.S COLLEGE OF ENGINEERING, MANDYA

M.Tech.-VLSI Design and Embedded System Syllabus of 2018-19 Page 40

Course Outcome (CO):

The student should be able to

1. Apply the knowledge of the verilog language and digital system for design any

application.

2. Analyze the various concepts of System Verilog data types , typedefs, operators,

loops, jumps, functions.

3. Develop the system verilog code for sequential circuits. Structs, Unions, Packed

and Unpacked Arrays, Semaphores and Mailboxes.

4. Design the system using system verilog constructs for the particular application.

5. Analyze the various types of Program blocks, Constrained Random variables,

Coverage, Methods and interfaces, System Verilog assertion system functions.

_________________________________________________________________________

Page 41: P.E.S COLLEGE OF ENGINEERING, MANDYA · Seminar: The seminar shall be of 100 marks CIE. It is based on the current topics presentation along with a report submission for evaluation

P.E.S COLLEGE OF ENGINEERING, MANDYA

M.Tech.-VLSI Design and Embedded System Syllabus of 2018-19 Page 41

Course Code: P18MECE252 Semester : II (L:T:P:H: 3:0:2:5)

Course Title : DESIGN OF VLSI SYSTEMS

Contact Period : Lecture:52Hr,Exam:3Hr Weightage:CIE:50%SEE:50%

Course Learning Objectives (CLOs)

After learning all the units of the course, the student is able to

1. Provide the basic knowledge of VLSI system design

2. Explain the concept of VLSI System Design Methodology and Chip Design Methods.

3. Provide the understanding of Design Capture Tools.

4. Highlight the concept of Data Path Sub System Design and Array Subsystem Design

5. Outline the concepts of Control Unit Design and Special Purpose Subsystems.

6. Provide the knowledge of Design Economics,VLSI System Testing & Verification

Course contents

UNIT I

VLSI System Design Methodology: Structure Design, Strategy, Hierarchy, Regularity,

Modularity, and Locality. System on Chip Design options: Programmable logic and structures,

Programmable interconnect, programmable gate arrays, Sea of gate and gate array design,

standard cell design, full custom mask design.

Chip Design Methods: Behavioral synthesis, RTL synthesis, Logic optimization and structural

tools layout synthesis, layout synthesis, EDA Tools for System 11Hrs

UNIT II

Design Capture Tools: HDL Design, Schematic Design, Layout Design, Floor planning and

Chip Composition. Design Verification Tools: Simulation Timing Verifiers, Net List

Comparison Layout Extraction, Design Rule Verification. 10Hrs

Page 42: P.E.S COLLEGE OF ENGINEERING, MANDYA · Seminar: The seminar shall be of 100 marks CIE. It is based on the current topics presentation along with a report submission for evaluation

P.E.S COLLEGE OF ENGINEERING, MANDYA

M.Tech.-VLSI Design and Embedded System Syllabus of 2018-19 Page 42

UNIT III

Data Path Sub System Design: Introduction, Addition, Subtraction, Comparators, Counters,

Boolean logical operations, coding, shifters, Multiplication, Parallel Prefix computations.

Array Subsystem Design: SRAM, Special purpose RAMs, DRAM, Read only memory,

Content Addressable memory, Programmable logic arrays. 10Hrs

UNIT IV

Control Unit Design: Finite State Machine (FSM) Design, Control Logic Implementation: PLA

control implementation, ROM control implementation.

Special Purpose Subsystems: Packaging, power distribution, I/O, Clock, Transconductance

amplifier, follower integrated circuits, etc. 10Hrs

UNIT V

Design Economics: Nonrecurring and recurring engineering Costs, Fixed Costs, Schedule,

Person power, example

VLSI System Testing & Verification: Introduction, A walk through the Test Process,

Reliability, Logic Verification Principles, Silicon Debug Principles, Manufacturing Test

Principles, Design for Testability, Boundary Scan

VLSI Applications: Case Study: RISC microcontroller, ATM Switch, etc. 11Hrs

TEXT BOOKS

1. Neil H.E. Weste, Davir Harris, “CMOS VLSI Design: A Circuits and System

Perspectives” Addison Wesley - Pearson Education, 3rd Edition, 2004.

2. Wayne, Wolf, “Modern VLSI Design: System on Silicon” Prentice Hall PTR/Pearson

Education, Second Edition, 1998.

REFERENCE

1. Douglas A Pucknell& Kamran Eshragian , “Basic VLSI Design” PHI 3rd Edition

(original Edition – 1994).

Course outcomes(CO):

The student is able to

1. Explain the basics of VLSI System Design Methodology and Chip Design Methods.

2. Discuss the various concepts of Design Capture Tools.

3. Discuss the concept of Data Path Sub System Design and Array Subsystem Design.

4. Analyze the concepts of Control Unit Design and Special Purpose Subsystems.

5. Explain the basic concepts of Design Economics, VLSI System Testing & Verification.

Page 43: P.E.S COLLEGE OF ENGINEERING, MANDYA · Seminar: The seminar shall be of 100 marks CIE. It is based on the current topics presentation along with a report submission for evaluation

P.E.S COLLEGE OF ENGINEERING, MANDYA

M.Tech.-VLSI Design and Embedded System Syllabus of 2018-19 Page 43

Course Code: P18MECEL26 Semester : II (L:T:P:H: 0:0:4:4)

Course Title : VLSI DESIGN LABORATORY

Contact Period:Lecture :42 hrs,Exam: 3hrs Weightage : CIE:50% SEE:50%

Course Learning Objectives (CLOs)

After learning all the units of the course, the student is able to

1. Understand the basic knowledge of how to use CADENCE Tool for VLSI concepts.

2. Analyze the ASIC Design flow.

3. Design and Verify an inverter, Buffer, Transmission gate and Basic/universal gates

using verilog code.

4. Design and Verify a Flip flops (RS, D, JK, MS, T) and Serial & Parallel adder using Verilog

code.

5. Design and Verify the 4-bit counter [Synchronous & Asynchronous counter] using verilog

code.

Course Content

A.VLSI Digital Design

(i) ASIC-Digital Design Flow

1. Write Verilog Code for the following circuits and their Test Bench for verification,

An inverter, Buffer and Transmission gate

Basic/universal gates

Flip flop -RS, D, JK, MS, T

Serial & Parallel adder

5.4-bit counter [Synchronous & Asynchronous counter]

Page 44: P.E.S COLLEGE OF ENGINEERING, MANDYA · Seminar: The seminar shall be of 100 marks CIE. It is based on the current topics presentation along with a report submission for evaluation

P.E.S COLLEGE OF ENGINEERING, MANDYA

M.Tech.-VLSI Design and Embedded System Syllabus of 2018-19 Page 44

(ii) FPGA DIGITAL DESIGN

VLSI Front End Design programs:

1. Write Verilog code for the design of 8-bit

Carry Ripple Adder

Carry LookAhead adder

Carry Skip Adder

BCD Adder & Subtracter

2. Write Verilog Code for 8-bit

Array Multiplication (Signed and Unsigned)

Booth Multiplication (Radix-4)

3. Write Verilog code for 4/8-bit

Magnitude Comparator

LFSR

Parity Generator

Universal Shift Register

4. Write Verilog Code for 3-bit Arbitary Counter to generate 0,1,2,3,6,5,7 and repeats.

5. Design a Mealy and Moore Sequence Detector using Verilog to detect Sequence.

6. Design a FIFO and LIFO buffers in Verilog and Verify its Operation.

7. Design a coin operated public Telephone unit using Mealy FSM model with specified

operations

Note: Implementing the above designs on Xilinx/Altera/Cypress/equivalent based FPGA/CPLD

kits.

Page 45: P.E.S COLLEGE OF ENGINEERING, MANDYA · Seminar: The seminar shall be of 100 marks CIE. It is based on the current topics presentation along with a report submission for evaluation

P.E.S COLLEGE OF ENGINEERING, MANDYA

M.Tech.-VLSI Design and Embedded System Syllabus of 2018-19 Page 45

B. ANALOG DESIGN

Analog Design Flow:

1. Design an Inverter with given specifications*, completing the design flow mentioned below:

a. Draw the schematic and verify the following

DC Analysis

Transient Analysis

b. Draw the Layout and verify the DRC, ERC

c. Check for XX

d. Extract RC and back annotate the same and verify the Design

e. Verify & Optimize for Time, Power and Area to the given constraint***

2. Design the following circuits with given specifications*, completing the design flow

mentioned below:

a. Draw the schematic and verify the following

DC Analysis

AC Analysis

Transient Analysis

b. Draw the Layout and verify the DRC, ERC

c. Check for XX

d. Extract RC and back annotate the same and verify the Design.

i) A Single Stage differential amplifier

Page 46: P.E.S COLLEGE OF ENGINEERING, MANDYA · Seminar: The seminar shall be of 100 marks CIE. It is based on the current topics presentation along with a report submission for evaluation

P.E.S COLLEGE OF ENGINEERING, MANDYA

M.Tech.-VLSI Design and Embedded System Syllabus of 2018-19 Page 46

* Appropriate specification should be given.

** Applicable Library should be added & information should be given to the Designer.

*** An appropriate constraint should be given

6. Design a simple 8-bit ADC converter using any one of the tools given above.

7. Design a simple NAND/NOR gate using any one of the tools given above.(Any other

experiments may be added in supportive of the course)

Course Outcome(CO):

The student should be able to

1. Analyze the ASIC flow and FPGA Digital Design.

2.Apply the knowledge of the digital system to design of the schematic and layout in

Cadence tools.

3.Develop 8-bit Carry Ripple Adder, Carry LookAhead adder and Carry Skip Adder

using Verilog code.

4. Design BCD Adder & Subtractor using Verilog code.

5.Develop 8-bit Array Multiplication (Signed and Unsigned) and Booth Multiplication

(Radix-4) using Verilog code.

Page 47: P.E.S COLLEGE OF ENGINEERING, MANDYA · Seminar: The seminar shall be of 100 marks CIE. It is based on the current topics presentation along with a report submission for evaluation

P.E.S COLLEGE OF ENGINEERING, MANDYA

M.Tech.-VLSI Design and Embedded System Syllabus of 2018-19 Page 47

Page 48: P.E.S COLLEGE OF ENGINEERING, MANDYA · Seminar: The seminar shall be of 100 marks CIE. It is based on the current topics presentation along with a report submission for evaluation

P.E.S COLLEGE OF ENGINEERING, MANDYA

M.Tech.-VLSI Design and Embedded System Syllabus of 2018-19 Page 48