muhammad rizwan saleem - uef electronic...

82
Publications of the University of Eastern Finland Dissertations in Forestry and Natural Sciences No 83 Muhammad Rizwan Saleem Resonant waveguide gratings by replication and atomic layer deposition

Upload: others

Post on 01-Sep-2019

10 views

Category:

Documents


0 download

TRANSCRIPT

Page 1: Muhammad Rizwan Saleem - UEF Electronic Publicationsepublications.uef.fi/pub/urn_isbn_978-952-61-0885-8/urn_isbn_978-952... · MUHAMMAD RIZWAN SALEEM Resonant waveguide gratings by

Publications of the University of Eastern Finland Dissertations in Forestry and Natural Sciences No 83

Publications of the University of Eastern Finland

Dissertations in Forestry and Natural Sciences

isbn: 978-952-61-0884-1 (printed)

issnl: 1798-5668

issn: 1798-5668

isbn: 978-952-61-0885-8 (pdf)

issnl: 1798-5668

issn: 1798-5676

Muhammad Rizwan Saleem

Resonant waveguidegratings by replication and atomic layer deposition

dissertatio

ns | N

o 83 | M

uh

am

med

Rizw

an

Sa

leem | R

esona

nt w

avegu

ide gra

tings b

y replica

tion a

nd

atom

ic layer d

eposition

Muhammad Rizwan SaleemResonant waveguide

gratings by replication and atomic layer deposition

This thesis considers polymeric nano-

optical devices as sub-wavelength resonance

waveguide filters. The design, fabrication/

replication and optical characterization

of these devices are performed by the

Fourier Modal Method, e-beam lithography,

reactive ion etching, nanoimprinting, atomic

layer deposition (ALD) and ellipsometry.

The replicated gratings are coated with a

high index amorphous thin film of TiO2

by ALD. Special cases of athermal and

non-polarizing operations are analyzed

both theoretically and experimentally.

Furthermore, this thesis provides an insight

for the analyses of the behavior of thin

TiO2 films in terms of their thermo-optic

coefficients and corresponding density

changes.

Page 2: Muhammad Rizwan Saleem - UEF Electronic Publicationsepublications.uef.fi/pub/urn_isbn_978-952-61-0885-8/urn_isbn_978-952... · MUHAMMAD RIZWAN SALEEM Resonant waveguide gratings by

MUHAMMAD RIZWAN SALEEM

Resonant waveguide

gratings by replication and

atomic layer deposition

Publications of the University of Eastern Finland

Dissertations in Forestry and Natural Sciences

No 83

Academic Dissertation

To be presented by permission of the Faculty of Science and Forestry for public

examination in the Auditorium M102 in Metria Building at the University of

Eastern Finland, Joensuu, on September, 7, 2012,

at 12 o’clock noon.

Department of Physics and Mathematics

Page 3: Muhammad Rizwan Saleem - UEF Electronic Publicationsepublications.uef.fi/pub/urn_isbn_978-952-61-0885-8/urn_isbn_978-952... · MUHAMMAD RIZWAN SALEEM Resonant waveguide gratings by

Kopijyva Oy

Joensuu, 2012

Editor: Prof. Pertti Pasanen

Prof. Kai Peiponen, Prof. Pekka Kilpelainen, Prof. Matti Vornanen

Distribution:

University of Eastern Finland Library / Sales of publications

P.O.Box 107, FI-80101 Joensuu, Finland

tel. +358-50-3058396

[email protected]

http://www.uef.fi/kirjasto

ISBN: 978-952-61-0884-1 (printed)

ISSNL: 1798-5668

ISSN: 1798-5668

ISBN: 978-952-61-0885-8 (pdf)

ISSNL: 1798-5668

ISSN: 1798-5676

Page 4: Muhammad Rizwan Saleem - UEF Electronic Publicationsepublications.uef.fi/pub/urn_isbn_978-952-61-0885-8/urn_isbn_978-952... · MUHAMMAD RIZWAN SALEEM Resonant waveguide gratings by

Author’s address: University of Eastern Finland

Department of Physics and Mathematics

P.O.Box 111

80101 JOENSUU

FINLAND

email: [email protected]

Supervisors: Professor Jari Turunen, Dr. Tech.

Department of Physics and Mathematics

P.O.Box 111

80101 JOENSUU

FINLAND

email: [email protected]

Professor Seppo Honkanen, Dr. Tech.

Department of Physics and Mathematics

P.O.Box 111

80101 JOENSUU

FINLAND

email: [email protected]

Professor Pasi Vahimaa, Ph.D.

Department of Physics and Mathematics

P.O.Box 111

80101 JOENSUU

FINLAND

email: [email protected]

Reviewers: Tuomas Vallius, Ph.D.

EpiCrystals Oy, Tampere, Finland

Insinoorinkatu 41

Postal code 33720

Tampere

FINLAND

email: [email protected]

Jussi Hiltunen, DSc (Tech).

VTT-Technical Research Center of Finland

Kaitovayla 1

P.O.Box 1100

90571 Oulu

FINLAND

email: [email protected]

Opponent: Professor Tapio Niemi

Tampere University of Technology

Optoelectronics Research centre

P.O.Box 692

Korkeakoulunkatu 3, Postal code 33720

Tampere

FINLAND

email: [email protected]

Page 5: Muhammad Rizwan Saleem - UEF Electronic Publicationsepublications.uef.fi/pub/urn_isbn_978-952-61-0885-8/urn_isbn_978-952... · MUHAMMAD RIZWAN SALEEM Resonant waveguide gratings by

ABSTRACT

This thesis describes the theoretical and experimental study of low-

cost subwavelength polymeric resonant waveguide gratings. The

aforementioned structures are designed by Fourier Modal Method

(FMM), fabricated by electron beam lithography, imprinted by hot

embossing, coated by atomic layer deposition (ALD) and finally

characterized optically by an ellipsometer. The estimated spectral

thermal shifts have been reduced to a fraction of a nanometer as

to demonstrate partially athermalized device operation, taking into

account the large thermal expansion of optical polymers, both the-

oretically and experimentally. Furthermore, the same structures

have also been demonstrated to exhibit polarization-independent

characteristics. Polarization-independent devices play an impor-

tant role in various applications, such as telecommunications and

biochemical sensors. In this thesis, all of the polymeric corrugated

surfaces are coated by high index and amorphous TiO2 cover lay-

ers by atomic layer deposition method with the inclusion of an ex-

plicit study to analyze the behavior of thin TiO2 films in terms of

their thermo-optic coefficients and corresponding density changes

over a wide wavelength range. These thermal properties have been

explained on the basis of porosity effects in the microstructure of

grown films as near surface phenomena.

Universal Decimal Classification: 53.084.85, 535.3, 535.4, 681.7.02

PACS Classification: 07.60.-j, 42.70.-a, 42.79.-e, 81.16.-c

Keywords: optics; micro-optics; optical elements; microfabrication; nanofab-

rication; metals; electron beam lithography; etching; optical harmonic gen-

eration; optical filters; surface plasmons

Page 6: Muhammad Rizwan Saleem - UEF Electronic Publicationsepublications.uef.fi/pub/urn_isbn_978-952-61-0885-8/urn_isbn_978-952... · MUHAMMAD RIZWAN SALEEM Resonant waveguide gratings by

Preface

First of all I would like to offer my deepest gratitude to my super-

visors Professors Jari Turunen, Seppo Honkanen and Pasi Vahimaa

for guiding me throughout my Ph.D studies and providing me with

the best possible facilities. I am also thankful to Dean Professor

Timo Jaaskelainen, Pasi Vahimaa, Jari Turunen and Seppo Honka-

nen for providing me the opportunity to work in the Department

of Physics and Mathematics.

Special thanks to Janne Laukkanen to give me guidance and

training to work in clean room environment freely, throughout my

studies. Special thanks to Hannele Karppinen for arranging all of

my International conference trips with great administrative support

always. Thanks to Katri Mustonen, Noora Heikkila and Oili Koho-

nen to facilitate me with the best possible administrative support. I

would like to offer my special thanks to all of my co-authors and co-

workers, whose efforts make the research work the most important

and valueable scientifically. I would like to offer special thanks to

Jani Tervo and Pertti Silfsten, who always realized and considered

my work on priority.

The most important and valueable persons in my life are my

parents; Mr. and Mrs. Saleem, whose endless efforts now appear a

result of a fruitful tree, I offer my special love and endless gratitude

to my parents. Finally, I wish to present my deepest and warmest

dedications to my lovely wife Rabia and daughters (heaven birds)

Maheen and Rameen for long time patience right after our marriage

and showing me a new purpose in life.

Joensuu August 16, 2012 Muhammad Rizwan Saleem

Page 7: Muhammad Rizwan Saleem - UEF Electronic Publicationsepublications.uef.fi/pub/urn_isbn_978-952-61-0885-8/urn_isbn_978-952... · MUHAMMAD RIZWAN SALEEM Resonant waveguide gratings by

LIST OF PUBLICATIONS

This thesis consists of the present review of the author’s work in the

field of optical devices and the following selection of the author’s

publications:

I M. R. Saleem, P. Stenberg, T. Alasaarela, P. Silfsten, M. B.

Khan, S. Honkanen and J. Turunen, “Towards athermal organ-

ic-inorganic guided mode resonance filters,” Opt. Express 19,

24241–24251 (2011).

II M. R. Saleem, P. A. Stenberg, M. B. Khan, Z. M. Khan, S.

Honkanen and J. Turunen, “Hydrogen silsesquioxane resist

stamp for replication of nanophotonic components in poly-

mers,” J. Micro/Nanolith. MEMS MOEMS 11, 013007 (2012).

III M. R. Saleem, P. Silfsten, S. Honkanen and J. Turunen, “Ther-

mal properties of TiO2 films grown by atomic layer deposi-

tion,” Thin Solid Films 520, 5442–5446 (2012).

IV M. R. Saleem, D. Zheng, B. Bai, P. Stenberg, M. Kuittinen, S.

Honkanen and J. Turunen, “Replicable one-dimensional non-

polarizing guided mode resonance gratings under normal in-

cidence,” Opt. Express 20, 16974–16980 (2012).

Throughout the overview, these papers will be referred to by Ro-

man numerals.

In addition the author has the following peer-reviewed journal

articles and international conference proceedings papers related to

the research work:

1. M. Erdmanis, L. Karvonen, M. R. Saleem, M. Ruoho, V. Pale,

A. Tervonen, S. Honkanen and I. Tittonen, “ALD-assisted multi-

order dispersion engineering of nanophotonic strip waveg-

uides,” J. of Lightwave Technol., 30 2488–2493 (2012).

2. M. Islam and M. R. Saleem, “Composition-property corre-

lation in B2O3-SiO2 preform rods produced using modified

Page 8: Muhammad Rizwan Saleem - UEF Electronic Publicationsepublications.uef.fi/pub/urn_isbn_978-952-61-0885-8/urn_isbn_978-952... · MUHAMMAD RIZWAN SALEEM Resonant waveguide gratings by

chemical vapor deposition technique,” J. Mat. Eng. and Perfor-

mance, 21 202-207 (2011).

3. M. R. Saleem, S. Honkanen and J. Turunen, “Comparison of

polymer materials in athermal replicated subwavelength res-

onant waveguide gratings,” J. Optics Communications, submit-

ted for publication.

4. M. R. Saleem, M. B. Khan, P. A. Stenberg, T. Alasaarela, S.

Honkanen, B. Bai, J.Turunen and P. Vahimaa, “Thermal Be-

havior of Waveguide Gratings,” Proc. of SPIE, 8069 80690A-1

(2011).

5. M. R. Saleem, P. A. Stenberg, M. B. Khan, Z. M. Khan, S.Honk-

anen and J. Turunen, “HSQ resist for replication stamp in

polymers,” Proc. of SPIE, 8249 82490G-1 (2012).

6. M. R. Saleem, M. B. Khan, S.Honkanen and J. Turunen, “Near-

ly temperature independent waveguide gratings,” 8th EOS

Topical meeting on diffractive optics; Delft, Netherlands, ISBN 978-

3-00-033711-6 (2012).

7. M. R. Saleem, S. Honkanen and J. Turunen, “Partially ather-

malized waveguide gratings,” Proc. of SPIE, 8428 842817-1

(2012).

8. M. R. Saleem, S. Honkanen and J. Turunen, “Temperature in-

dependent guided mode resonance filters,” International Con-

ference on Nanoscience + Technology; Paris, France, PO3.10, 23–27

July (2012).

Page 9: Muhammad Rizwan Saleem - UEF Electronic Publicationsepublications.uef.fi/pub/urn_isbn_978-952-61-0885-8/urn_isbn_978-952... · MUHAMMAD RIZWAN SALEEM Resonant waveguide gratings by

AUTHOR’S CONTRIBUTION

The publications selected in this dissertation are original research

papers on polymer resonant gratings and thin TiO2 films.

In papers I and II the author has contributed to all computa-

tions, structure design, involved in fabrication, characterized all the

structures optically and wrote the manuscripts. In paper III the

author has generated the research idea and carried out all the theo-

retical analyses after measuring the thermal properties, and finally

wrote the manuscript. In paper IV the author has confirmed all

theoretical results and designs and carried out the fabrication and

optical characterization of the structures and finally wrote a major

portion of the manuscript.

In all publications the author has carried out all numerical com-

putations (design) and the selection of materials after discussions

with co-authors Professors Jari Turunen and Seppo Honkanen. In

all papers the co-operation with the co-authors has been significant.

Page 10: Muhammad Rizwan Saleem - UEF Electronic Publicationsepublications.uef.fi/pub/urn_isbn_978-952-61-0885-8/urn_isbn_978-952... · MUHAMMAD RIZWAN SALEEM Resonant waveguide gratings by

Contents

1 INTRODUCTION 1

1.1 Background . . . . . . . . . . . . . . . . . . . . . . . . 1

1.2 Importance . . . . . . . . . . . . . . . . . . . . . . . . . 3

1.3 Motivation . . . . . . . . . . . . . . . . . . . . . . . . . 4

1.4 Main goals . . . . . . . . . . . . . . . . . . . . . . . . . 4

1.5 Methods . . . . . . . . . . . . . . . . . . . . . . . . . . 5

1.6 outline . . . . . . . . . . . . . . . . . . . . . . . . . . . 5

2 THEORETICAL BACKGROUND 7

2.1 Waves in homogeneous and isotropic media . . . . . 7

2.2 Fourier Modal Method (FMM) . . . . . . . . . . . . . 8

2.2.1 Principle of FMM . . . . . . . . . . . . . . . . . 9

2.2.2 Rayleigh expansion and modal field represen-

tation inside 1D grating . . . . . . . . . . . . . 9

2.2.3 Fourier expansion of permittivity distribution

and Eigenvalue equations for TE and TM modes 11

2.2.4 Convergence of numerical solutions . . . . . . 14

2.2.5 FMM for multilevel profiles . . . . . . . . . . . 15

2.3 Guided Mode Resonance Filter (GMRF) . . . . . . . . 15

2.3.1 Structure of GMRF . . . . . . . . . . . . . . . . 16

2.3.2 Principle of operation . . . . . . . . . . . . . . 17

3 FABRICATION AND CHARACTERIZATION 21

3.1 Electron beam lithography . . . . . . . . . . . . . . . . 21

3.2 Resists . . . . . . . . . . . . . . . . . . . . . . . . . . . 22

3.2.1 PMMA . . . . . . . . . . . . . . . . . . . . . . . 23

3.2.2 ZEP . . . . . . . . . . . . . . . . . . . . . . . . . 23

3.2.3 HSQ . . . . . . . . . . . . . . . . . . . . . . . . 24

3.3 Dry etching techniques . . . . . . . . . . . . . . . . . . 25

3.3.1 TiO2 etching . . . . . . . . . . . . . . . . . . . . 25

3.4 Nanoimprinting . . . . . . . . . . . . . . . . . . . . . . 27

Page 11: Muhammad Rizwan Saleem - UEF Electronic Publicationsepublications.uef.fi/pub/urn_isbn_978-952-61-0885-8/urn_isbn_978-952... · MUHAMMAD RIZWAN SALEEM Resonant waveguide gratings by

3.5 Atomic layer deposition (ALD) . . . . . . . . . . . . . 28

3.6 Ellipsometer . . . . . . . . . . . . . . . . . . . . . . . . 30

4 DESIGN OF GMRFS BY FMM 35

4.1 Athermal design . . . . . . . . . . . . . . . . . . . . . 35

4.2 Non-polarizing waveguide gratings . . . . . . . . . . 36

4.2.1 TiO2 waveguide grating (grating-I) . . . . . . . 39

4.2.2 Polymer grating with TiO2 cover layer (grating-

II) . . . . . . . . . . . . . . . . . . . . . . . . . . 39

5 MAIN RESULTS 43

5.1 Realization of partially athermal organic-

inorganic GMRFs . . . . . . . . . . . . . . . . . . . . . 44

5.2 Hydrogen Silsesquioxane (HSQ) e-beam resist as a

direct stamping material in nanoreplication . . . . . . 45

5.3 Temperature dependent refractive index and density

of Titanium dioxide thin films by ALD . . . . . . . . 46

5.4 Polarization-independent dielectric and polymer GM-

RFs . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 49

5.5 Interpretation . . . . . . . . . . . . . . . . . . . . . . . 50

6 CONCLUSIONS AND OUTLOOK 53

REFERENCES 55

Page 12: Muhammad Rizwan Saleem - UEF Electronic Publicationsepublications.uef.fi/pub/urn_isbn_978-952-61-0885-8/urn_isbn_978-952... · MUHAMMAD RIZWAN SALEEM Resonant waveguide gratings by

1 Introduction

1.1 BACKGROUND

As has been verified by a number of fundamental experiments, light

possesses a dual nature: in some experiments light exhibits parti-

cle (quantum) nature and in others wave nature [1]. Diffractive op-

tics [2] is based on the wave nature of light, manifested by the classi-

cal phenomenon of diffraction. Diffractive optical elements (DOEs)

are permittivity-modulated micro structures, which are often real-

ized as microrelief profiles. These profiles may be globally periodic,

i.e., diffraction gratings, or only locally periodic. Although some

features of DOEs can be described by geometrical optics, their com-

plete characterization requires wave optics.

The (global or local) period d of a DOE can vary from subwave-

length scale to tens of wavelengths [3], and the value of d has a

tremendous effect in the physics and operation of the device, as

well as in the choice of a mathematical method for its analysis. If

the element is globally periodic (as all the structures to be consid-

ered in this thesis) and the period d is at least several times larger

than the wavelength of light, one observes a number of propagat-

ing diffraction orders. This number reduces with the value of d,

but depends also on the angle of incidence of the illuminating light

beam and on the refractive indices of the surrounding media. If

there are only a few propagating orders, the grating is said to op-

erate in the resonance domain [4]. With a further decrease of d,

only the zeroth reflected and transmitted orders remain and the

grating acts like a stratified medium composed of a stack of (gen-

erally anisotropic) thin films [5] and has been used in a number

of applications [6, 7]. Though light can not resolve the grating any

more, the relative strengths of the reflected and transmitted beams

depend critically on the structural parameters and the angle of inci-

dence; hence one sometimes talks about subwavelength resonance

domain. Finally, if d is at least several times smaller than the wave-

Dissertations in Forestry and Natural Sciences No 83 1

Page 13: Muhammad Rizwan Saleem - UEF Electronic Publicationsepublications.uef.fi/pub/urn_isbn_978-952-61-0885-8/urn_isbn_978-952... · MUHAMMAD RIZWAN SALEEM Resonant waveguide gratings by

Muhammad Rizwan Saleem: Resonant waveguide gratings by replicationand atomic layer deposition

length, the rapid resonance phenomena disappear and the grating

appears as a slab of homogeneous, generally anisotropic medium

with an effective permittivity tensor that depends on grating struc-

ture.

Conventional filters composed of thin film stacks have been

demonstrated to function by means of interference, which critically

depends on the amplitudes and phases of light being reflected from

a boundary layer [8]. For example, the operation of antireflection

coatings and reflective filters are described on the principle of re-

spective phases of interfering beams [9–11] and have been applied

in a number of different applications [12]. However, the desired nar-

row band reflectance characteristics can also be generated by sub-

wavelength periodic gratings based on resonance anomalies [13,14]

with a number of demanding feature characteristics [15]. Owing

to the resonant nature of subwavelength periodic gratings, leaky

waveguide modes are excited, which couple out light into the ze-

roth order reflected and transmitted plane waves [13, 16–20].

The origin of the resonant nature of diffraction gratings came

into existence when Wood observed sharp variations in the inten-

sity of reflected spectrum of metallic gratings by means of bright

and dark bands for TM-polarized light in 1902, which he called

anomalies [21]. Rayleigh in 1907 presented a theoretical explana-

tion for these anomalies as the appearance of a particular spectral

order at grazing incidence. Furthermore, it occurred at a partic-

ular wavelength, called Rayleigh wavelength, which was found to

correspond closely to Wood anomalies [13]. Hence, the anomalies

are divided into two broad categories; both Rayleigh and resonance

anomalies [13] are employed in different filtering applications [6].

The utilization of such anomalies of corrugated profiles in typical

dielectric materials give rise to the use of term: Guided Mode Res-

onance Filters (GMRFs) [22], which were originally demonstrated

to couple leaky modes to waveguide modes in narrow-band and

selective reflection filters [23–26] with some coupling losses [27].

In general, GMRFs are polarization-dependent and applied in

different filtering applications [6,16,28–30] while the use of polariza-

2 Dissertations in Forestry and Natural Sciences No 83

Page 14: Muhammad Rizwan Saleem - UEF Electronic Publicationsepublications.uef.fi/pub/urn_isbn_978-952-61-0885-8/urn_isbn_978-952... · MUHAMMAD RIZWAN SALEEM Resonant waveguide gratings by

Introduction

tion-insensitive devices is highly desirable for optical communica-

tions [31–36], particularly 1D gratings at normal incidence [37]. In

order to enhance the interaction between a waveguide mode and

the grating, the corrugated profiles very often are coated by a high

index cover layer [38].

A great interest has been focused on thin TiO2 films due to their

potential use and attractive optical properties, specifically high re-

fractive index [39], deposited by a number of techniques [40–47].

Atomic layer deposition (ALD) is a process to grow self limiting,

smooth, conformal, accurate and uniform film thicknesses on corru-

gated interfaces [48,49] by means of alternate precursor pulses. The

film thickness variations can lead to change in the nature of guided

modes [36] and optical losses due to scattering effects [50, 51]. The

ALD low temperature deposition [52] is of much more importance

for polymeric substrates as for GMRFs [53, 54]. Transparent opti-

cal polymers have emerged as potential candidates to be employed

in nanodevices [55, 56] through replication in a variety of thermo-

plastics [57–61] using various replication tools of high replication

fidelity and resolution [57, 62–65].

The aim of this study is to design, fabricate and characterize

GMRFs and explicitly the thermal properties of TiO2 films. The

aforementioned devices are fabricated in thermoplastics without

etching processes, and subsequently coated by a high index TiO2

film cover layer by ALD [I, II, IV]. Omitting the etching process

not only brings down the fabrication costs but also limits the depth

inaccuracies in the fabricated profile. The simple etch-free process

results in fast prototyping of efficient polymeric nano-photonic de-

vices, short fabrication time, high pattern imprint fidelity, uniform

surface-pattern-density, high quality optical performance, and wide

applicability to large scale production.

1.2 IMPORTANCE

The work is carried out to demonstrate low-cost subwavelength

gratings to be used as partially athermalized GMRFs and non-

Dissertations in Forestry and Natural Sciences No 83 3

Page 15: Muhammad Rizwan Saleem - UEF Electronic Publicationsepublications.uef.fi/pub/urn_isbn_978-952-61-0885-8/urn_isbn_978-952... · MUHAMMAD RIZWAN SALEEM Resonant waveguide gratings by

Muhammad Rizwan Saleem: Resonant waveguide gratings by replicationand atomic layer deposition

polarizing nano-optical functional devices. Potential polymer mate-

rials are employed for this work due to their attractive optical, ther-

mal and mechanical properties. Furthermore, the key role of TiO2

films fabricated on thermoplastics by ALD process at relatively low

deposition temperatures as well as on Si substrates is investigated

in terms of thermal properties influenced by environmental effects.

1.3 MOTIVATION

The evaluation of replicable subwavelength resonant gratings in

thermoplastics using low-cost and large-scale production enables

their use in narrowband, high efficiency filtering applications. At-

tractive optical and mechanical (toughness) properties of polymers

with high thermal expansion and thermo-optic coefficients make

them useful in spectrally thermal stable devices (paper I) and non-

polarizing filters (paper IV). The uniform coverage of polymer cor-

rugated profile by high index TiO2 thin films at low process temper-

atures, using ALD technique proves to enhance coupling of leaky

grating modes with waveguide modes. The accompanied devices

with cost-effective fabrication methods, quality performance (high

efficiency, partially athermalized effects and non-polarizing charac-

teristics) together with distinctive properties of ALD fabricated thin

films invoked us the motivation for this study.

1.4 MAIN GOALS

The aim was to design, fabricate and characterize optically the sub-

wavelength gratings in thermoplastics using low-cost and simpli-

fied fabrication processes. The research focused to demonstrate

spectral thermal stabilization of the GMRF’s resonance peak by

selecting appropriate thermal expansion and thermo-optic coeffi-

cients of polymers together with a combination of high index TiO2

cover layer in aforementioned structures. Furthermore, the non-

polarizing filtering behavior possessed by such structures under

similar optical and geometrical conditions at normal incidence also

4 Dissertations in Forestry and Natural Sciences No 83

Page 16: Muhammad Rizwan Saleem - UEF Electronic Publicationsepublications.uef.fi/pub/urn_isbn_978-952-61-0885-8/urn_isbn_978-952... · MUHAMMAD RIZWAN SALEEM Resonant waveguide gratings by

Introduction

needed to be explored. The coverage of corrugated profiles with

high index TiO2 cover layer by ALD technique as well as the im-

plicit study on thermal properties of TiO2 films grown on Si sub-

strates became important aspects to be studied while the work was

carried out.

1.5 METHODS

In this work the main tools used for the design, fabrication and

characterization of the resonant gratings are as follows: The grat-

ings were designed by employing Fourier Modal Method (FMM)

for both single and double layered structures. The pattern struc-

tures were written by Electron beam lithography followed by dry

etching of Cr and TiO2 by Plasmalab 100 and 80, respectively. The

thermoplastic substrates (thin sheets) were replicated by Nanoim-

printing, subsequently coated by high index amorphous TiO2 films

on both corrugated profiles and planar substrates (Si) with different

thicknesses. Finally the fabricated resonant gratings were character-

ized structurally and optically by scanning electron microscope and

ellipsometer, respectively.

1.6 OUTLINE

This thesis is composed of five further chapters which are outlined

shortly in the way: Chapter 2 describes the theory of GMRFs and

computational methods used to design the structures. Chapter 3

explains the working methods and principles of the main equip-

ment used for this work. Chapter 4 illustrates the design of GMRFs

for different applications with the numerical simulations. Chap-

ter 5 shows main results obtained during this research and finally

conclusion and outlook are presented in chapter 6.

Dissertations in Forestry and Natural Sciences No 83 5

Page 17: Muhammad Rizwan Saleem - UEF Electronic Publicationsepublications.uef.fi/pub/urn_isbn_978-952-61-0885-8/urn_isbn_978-952... · MUHAMMAD RIZWAN SALEEM Resonant waveguide gratings by

Muhammad Rizwan Saleem: Resonant waveguide gratings by replicationand atomic layer deposition

6 Dissertations in Forestry and Natural Sciences No 83

Page 18: Muhammad Rizwan Saleem - UEF Electronic Publicationsepublications.uef.fi/pub/urn_isbn_978-952-61-0885-8/urn_isbn_978-952... · MUHAMMAD RIZWAN SALEEM Resonant waveguide gratings by

2 Theoretical background

2.1 WAVES IN HOMOGENEOUS AND ISOTROPIC MEDIA

Let us consider the electromagnetic field propagation in a homo-

geneous and isotropic medium, where the Maxwells equations in

space-frequency domain for monochromatic field read as,

∇× E(r, ω) = iωB(r, ω), (2.1)

∇× B(r, ω) = −iωµ0ǫ0 ε(ω)E(r, ω), (2.2)

∇ · E(r, ω) = 0, (2.3)

∇ · B(r, ω) = 0, (2.4)

where, E is electric field, B is magnetic induction, µ0 and ǫ0 are

permeability and permittivity in vacuum, ε(ω) is frequency depen-

dent complex permittivity; ε(ω) = [ε(ω) + iǫ0ω σ(r, ω)], where the

real and imaginary parts are related to the stored energy due to

polarization of dielectric and loss due to conductive nature of the

medium, respectively.

Applying ∇× operation to both sides of Eq. (2.1) and using the

vector identity

∇× [∇× V(r)] ≡ ∇[∇ · V(r)]−∇2V(r), (2.5)

where V(r) is a vector field, Eq. (2.2) gives

∇2E(r, ω) + k2

0 ε(ω)E(r, ω) = 0. (2.6)

Here k0=ω/c0 is the vacuum wave number and c0=1/√

µ0ǫ0 is the

speed of light in vacuum. A similar mathematical treatment with

Eq. (2.2) and using Eq. (2.1) gives

∇2B(r, ω) + k2

0 ε(ω)B(r, ω) = 0. (2.7)

Dissertations in Forestry and Natural Sciences No 83 7

Page 19: Muhammad Rizwan Saleem - UEF Electronic Publicationsepublications.uef.fi/pub/urn_isbn_978-952-61-0885-8/urn_isbn_978-952... · MUHAMMAD RIZWAN SALEEM Resonant waveguide gratings by

Muhammad Rizwan Saleem: Resonant waveguide gratings by replicationand atomic layer deposition

Equations (2.6) and (2.7) are the general propagation wave equa-

tions in a homogenous and isotropic medium and are called Helm-

holtz wave equations for electric field and magnetic induction re-

spectively. The simplest solution of these equations is the electro-

magnetic plane wave. Mathematically, the plane waves of electric

field and magnetic induction are of the form

E(r, ω) = E0(ω)eik·r (2.8)

and

B(r, ω) = B0(ω)eik·r , (2.9)

where k is the wave vector. Electromagnetic plane waves from

Eqs. (2.8) and (2.9) satisfy Helmholtz wave Eqs. (2.6) and (2.7) re-

spectively, under the condition.

|k|2 = k2x + k2

y + k2z = k2

0 ε(ω) = k2, (2.10)

where k(ω) = k0n(ω) is the wave number in the material and

n(ω) =√

ε(ω) is the complex refractive index of the material.

2.2 FOURIER MODAL METHOD (FMM)

Among several modal methods, we used the most popular and

efficient method based on Fourier expansion which is commonly

known as the Fourier modal method (FMM) [66,67] or the coupled-

wave method (CWM). This method is used to determine eigensolu-

tions of Maxwell’s equations in a periodic or piecewise continuous

medium by expanding the electromagnetic fields and permittivity

functions into Fourier series, and subsequently applying bound-

ary conditions to express fields inside the grating by an algebraic

eigenvalue problem [68, 69]. The method starts by sectioning the

modulated region in slabs and finding the solutions of Maxwell’s

equations in each individual slab. The result appears in the form

of forward and backward propagating fields consisting of modal

fields. These fields are pseudoperiodic and expressed in the form

e±iβz, here β is the eigenvalue associated with a particular mode.

8 Dissertations in Forestry and Natural Sciences No 83

Page 20: Muhammad Rizwan Saleem - UEF Electronic Publicationsepublications.uef.fi/pub/urn_isbn_978-952-61-0885-8/urn_isbn_978-952... · MUHAMMAD RIZWAN SALEEM Resonant waveguide gratings by

Theoretical background

For two polarizations the eigenvalue problem is expressed in the

matrix form which give a set of allowed values of β and a set of

associated transverse field distributions for each polarization. The

fields in all slabs are combined by applying boundary values at

each interface. This represents an overall field inside the modu-

lated region, which is finally matched with fields in homogeneous

regions (Rayleigh expansions). Finally, again the problem is solved

in a matrix form and then the complex transmission and reflection

amplitudes are calculated numerically [70].

2.2.1 Principle of FMM

To maintain uniform continuity of electromagnetic field compo-

nents in Maxwell’s equations across the entire permittivity mod-

ulated region, the fields are matched inside grating and homoge-

neous media. The modulated region of the grating is defined as

0 < z < h, which is treated as a periodic waveguide as shown

in Fig. 2.1. The field before (z < 0) and after (z > h) the grat-

ing region is expressed as a superposition of plane waves. The z-

invariant permittivity distribution ǫ(x, z) inside the grating region

and field components are expanded in Fourier series [2]. The com-

plex amplitudes of reflected and transmitted fields are determined

by matching the fields inside and outside of the grating region and

applying boundary conditions at the interfaces through S-matrix

approach [71, 72], as shown in section 2.2.2.

2.2.2 Rayleigh expansion and modal field representation inside

1D grating

In order to illustrate the modal field representation inside and out-

side of a 1D grating, invariant in y-direction, one needs to find an

exact solution of Maxwell’s equations in all media. Such a solution

must satisfy boundary conditions inside the bound region of grat-

ing 0 < z < h at each discontinuous interface as shown in Fig. 2.1.

Let us suppose that half space media (z < 0) and (z > h) are homo-

geneous with refractive indices ni and nt respectively and indices

Dissertations in Forestry and Natural Sciences No 83 9

Page 21: Muhammad Rizwan Saleem - UEF Electronic Publicationsepublications.uef.fi/pub/urn_isbn_978-952-61-0885-8/urn_isbn_978-952... · MUHAMMAD RIZWAN SALEEM Resonant waveguide gratings by

Muhammad Rizwan Saleem: Resonant waveguide gratings by replicationand atomic layer deposition

ni and nt are real and permittivity distribution ǫ(x, z) inside the

grating is z-invariant [2].

The TE polarized incident plane wave with unit amplitude, re-

flected and transmitted m:th diffracted orders of electric field com-

ponent Ey with complex amplitudes rm and tm are given as

Eiy(x, z) = ei(kx0x+k−z0z), (2.11)

Ery(x, z < 0) =∞

∑m=−∞

rmei(kxmx−k−zmz), (2.12)

Ety(x, z > 0) =∞

∑m=−∞

tmei(kxmx+k+zm(z−h)), (2.13)

where k−z0, k−zm and k+zm are the normal components of the wave vec-

tors of the incident plane wave, reflected and transmitted diffraction

orders in the half space z < 0 and z > h explicitly.

k−zm =

{

(k20n2

i − k2xm)

1/2 if kxm ≤ k0ni

i(k2xm − k2

0n2i )

1/2 if kxm > k0ni

(2.14)

and

k+zm =

{

(k20n2

t − k2xm)

1/2 if kxm ≤ k0nt

i(k2xm − k2

0n2t )

1/2 if kxm > k0nt

(2.15)

Equations (2.14) and (2.15) show the normal components of the

wave vectors for each diffracted order (homogeneous and evanes-

cent) in terms of the tangential wave vector components kxm, which

are given by the grating equation kxm = kx0 + 2πm/d, where d is

the grating period and kx0 = k0nisinθi . The field inside the grating

region is represented as a modal expansion [2]:

Egy(x, z) =∞

∑n=−∞

Xn(x)[aneiβnz + bne−iβn(z−h)], (2.16)

where βn is the modal propagation constant and an and bn are un-

known modal amplitudes. The evaluation of their values gives the

field inside the grating and Xn(x) is defined as

Xn(x) =∞

∑m=1

Xmneikxmx, (2.17)

10 Dissertations in Forestry and Natural Sciences No 83

Page 22: Muhammad Rizwan Saleem - UEF Electronic Publicationsepublications.uef.fi/pub/urn_isbn_978-952-61-0885-8/urn_isbn_978-952... · MUHAMMAD RIZWAN SALEEM Resonant waveguide gratings by

Theoretical background

x

z

ni nt

n(x, z)

θi

θo

θ−1

h

d

z < 0

z = 0

z = h z > h

m = −2

m = −2

m = −1

m = −1

m = 0m = 0

m = +1m = +1

Figure 2.1: Schematic of the diffraction grating with propagating fields in reflection and

transmission diffraction orders.

where Xmn is explained in section 2.2.3.

2.2.3 Fourier expansion of permittivity distribution and Eigen-

value equations for TE and TM modes

Let us consider a periodic structure with period d and relative per-

mittivity distribution ǫr(x) along x-direction. The periodicity of

ǫr(x) is satisfied by the condition

ǫr(x + d) = ǫr(x). (2.18)

Dissertations in Forestry and Natural Sciences No 83 11

Page 23: Muhammad Rizwan Saleem - UEF Electronic Publicationsepublications.uef.fi/pub/urn_isbn_978-952-61-0885-8/urn_isbn_978-952... · MUHAMMAD RIZWAN SALEEM Resonant waveguide gratings by

Muhammad Rizwan Saleem: Resonant waveguide gratings by replicationand atomic layer deposition

The Fourier-series expansion of ǫr(x) is given as [67],

ǫr(x) =∞

∑p=−∞

ǫp ei2πpx/d, (2.19)

where the Fourier coefficients are

ǫp =1

d

∫ d

0ǫr(x) e−i2πpx/d dx. (2.20)

The z-dependent modal solutions of Maxwell’s equation which form

propagation invariant fields and x-dependent solutions which sat-

isfy the same periodic conditions as permittivity are given by

Z(z) = e±iβz (2.21)

and

X(x + d) = X(x). (2.22)

Let V(x, z) be the scalar component of a vector field representing

the propagation mode inside the structure of periodicity d. Such

fields are called pseudoperiodic fields and are of the form [2]

V(x, z) = X(x) eikx0x eiβz. (2.23)

Because of Eq. (2.22) X(x) can be represented in its Fourier form as

X(x) =∞

∑q=−∞

Xq ei2πqx/d, (2.24)

where the Fourier coefficient Xq is

Xq =1

d

∫ d

0X(x) e−i2πqx/d dx. (2.25)

Therefore, Eq. (2.23) becomes

V(x, z) =∞

∑q=−∞

Xq ei(kxqx+βz), (2.26)

where kxq = kx0 + 2πq/d and the expression (2.26) shows the gen-

eral propagation invariant field V(x, z) inside the modulated region

of the grating in the form of transverse pseudo-Fourier expansion.

12 Dissertations in Forestry and Natural Sciences No 83

Page 24: Muhammad Rizwan Saleem - UEF Electronic Publicationsepublications.uef.fi/pub/urn_isbn_978-952-61-0885-8/urn_isbn_978-952... · MUHAMMAD RIZWAN SALEEM Resonant waveguide gratings by

Theoretical background

To derive the eigenvalue equation for TE case, consider the

Helmholtz equation with electric field component Ey:

∂2

∂x2Ey(x, z) +

∂2

∂z2Ey(x, z) + k2

0ǫr(x)Ey(x, z) = 0. (2.27)

The electric field component Ey is parallel to discontinuous bound-

aries in yz-plane and continuous everywhere inside modulated re-

gion, whereas ǫr is discontinuous at the boundaries. The product

factors ǫrEy(x, z) in Eq. (2.27) have no common discontinuity jumps

and require classic Laurent’s rule to expand [68]:

∑q=−∞

[ǫl−q − (kxl/k0)2δlq]Xq = (β/k0)

2Xl. (2.28)

Equation (2.28) can be expressed in matrix form as [2]

(E − AIA)X = (β/k0)2X , (2.29)

where E, A, I and X represent the matrices with elements ǫl−q,

kxl/k0, δlq and Xq, respectively. Equation (2.29) is called the Eigen-

value equation for TE-polarization.

To derive the eigenvalue equation for TM-polarization, the dif-

ferential equation with Hy component is

∂x[ǫ−1

r (x)∂

∂xHy(x, z)] +

∂z[ǫ−1

r (x)∂

∂zHy(x, z)] + k2

0Hy(x, z) = 0

(2.30)

or

ǫr(x)

{

∂x[ǫ−1

r (x)∂

∂xX(x)eikx0x] + k2

0X(x)eikx0x

}

= β2X(x)eikx0x.

(2.31)

The right-hand-side of eq. (2.31) is continuous due to function X(x),

whereas before the curly brackets on the left-hand-side, the function

ǫr (x) is discontinuous. To apply modified Laurent’s rule, the terms

inside curly brackets must be discontinuous. The function ǫ−1r (x)

can be expressed in Fourier series as [2],

ǫ−1r (x) =

∑p=−∞

ζp ei2πpx/d, (2.32)

Dissertations in Forestry and Natural Sciences No 83 13

Page 25: Muhammad Rizwan Saleem - UEF Electronic Publicationsepublications.uef.fi/pub/urn_isbn_978-952-61-0885-8/urn_isbn_978-952... · MUHAMMAD RIZWAN SALEEM Resonant waveguide gratings by

Muhammad Rizwan Saleem: Resonant waveguide gratings by replicationand atomic layer deposition

with Fourier coefficients

ζp =1

d

∫ d

0ǫ−1

r (x) e−i2πpx/d dx. (2.33)

By associating a matrix S with elements ζl−q to the inverse permit-

tivity function ǫ−1r (x) and applying modified Laurent’s rule with

further manipulation, we get a matrix eigenvalue equation for TM-

polarization [2]

S−1(I − AE−1A)X = (β/k0)2X . (2.34)

Eqs. (2.29) and (2.34) give the propagation constants βn and Fourier

coefficients Xqn for each mode of TE and TM-polarizations respec-

tively, after using standard linear algebra algorithms and consider-

ing the appropriate convergence of the series.

Equations (2.29) and (2.34) give field expansions in terms of

modal coefficients an and bn inside the grating region 0 < z < h.

The fields inside and outside the modulated region are matched at

boundaries z = 0 and z = h by applying electromagnetic boundary

conditions. This gives a pair of infinite system of equations with

unknown modal coefficients an and bn. The system of equations is

solved by e.g., classical Gauss elimination method for modal coef-

ficients an and bn, which gives the reflected and transmitted plane

wave amplitudes by means of rigorous solution of the problem.

2.2.4 Convergence of numerical solutions

Numerically, the convergence of eigen value problems leads to con-

finement of M modes in the solution. For 1D grating structures, the

size of eigenvalue problem becomes M × M, with M discrete, com-

plex eigenvalues β. The eigenvalue matrix is transformed to a 2M×2M simultaneous equations after application of boundary condi-

tions, which are then solved by classical Gauss elimination method.

For 2D grating structures, where the fields are represented in two-

dimensions, the numerical calculations becomes more difficult with

the increase in size of eigenvalue problem to (2M)2 × (2N)2, where,

14 Dissertations in Forestry and Natural Sciences No 83

Page 26: Muhammad Rizwan Saleem - UEF Electronic Publicationsepublications.uef.fi/pub/urn_isbn_978-952-61-0885-8/urn_isbn_978-952... · MUHAMMAD RIZWAN SALEEM Resonant waveguide gratings by

Theoretical background

M and N are summation indices along x and y-directions. Gen-

erally, for the numerical convergence of a solution, all propagat-

ing diffraction orders with sufficient number of evanescent orders

must be present because evanescent orders contribute significantly

inside modulated region or near-zone. Thus the truncation num-

bers depend on the grating period and the nature of gratings such

as metallic or dielectric [70].

2.2.5 FMM for multilevel profiles

For non-binary profiles, the real profile is divided in a number of

small segments in z-direction such that each small profile is ap-

proximated as a z-invariant lamellar profile. The modal field expan-

sions are executed in each slab and connected to adjacent slab fields

through modal coefficients after applying boundary conditions. In

this staircase method, the accuracy of result can be enhanced by

dividing the real profile in a large number of slices so as to make it

as close to actual continuous profile as necessary at the expense of

computational time [70].

2.3 GUIDED MODE RESONANCE FILTER (GMRF)

The dielectric gratings which enhance the resonance anomaly char-

acteristics of a periodic structure by coupling the incident field to

the leaky mode of the waveguide of the grating for filtering ap-

plications are called Guided Mode Resonance Filters (GMRFs). In

other words, a diffraction grating in a dielectric material, in gen-

eral, is a GMRF, which consists of a periodic modulation of refrac-

tive index [73, 74]. When a plane wave is incident on the diffrac-

tion grating then it splits into plane waves that propagate in vari-

ous directions, i.e., called the diffraction orders as described by the

Rayleigh expansions from Eqs. (2.12) and (2.13) [2, 23, 75]. At spe-

cific wavelength and angular orientation of the incident illuminated

plane wave, the structure resonates with complete interference and

no light is being transmitted [24]. Due to the nature of the leaky

Dissertations in Forestry and Natural Sciences No 83 15

Page 27: Muhammad Rizwan Saleem - UEF Electronic Publicationsepublications.uef.fi/pub/urn_isbn_978-952-61-0885-8/urn_isbn_978-952... · MUHAMMAD RIZWAN SALEEM Resonant waveguide gratings by

Muhammad Rizwan Saleem: Resonant waveguide gratings by replicationand atomic layer deposition

modes, the light couples out of the waveguide and propagates to

smaller distances. The out coupling light appears in the form of

narrow peaks in reflectance which then changes from 0 to 100%

over a selectable range of optical parameters [26, 76].

Resonance phenomena were observed by Wood in 1902, when

he observed rapid variations in the efficiencies of metallic reflec-

tion gratings in narrow spectral regions for TM polarized light [21].

In 1907, Rayleigh explained these anomalies in terms of outgoing

scattered fields. These scattered fields become singular at partic-

ular wavelengths when one spectral order emerges at grazing in-

cidence. These wavelengths are called Rayleigh wavelengths and

their spectral positions are slightly different than actual resonance

positions [13]. In 1965, Hessel and Oliner [13] demonstrated a the-

oretical model with the description that the anomalies in reflection

were due to excitation of surface waves on metallic gratings. In

their model they investigated two different types of variations in

efficiencies: a Rayleigh and resonance type. Rayleigh anomaly is

described above while the resonance anomaly was due to guided

complex waves supported by the structure.

2.3.1 Structure of GMRF

The structure of a simple GMRF is shown in the figure 2.2. It con-

sists of a substrate with an index nt, an overlapping diffraction grat-

ing over it with refractive index distribution n2(x) along x-direction

and an incident medium (normally air) with index ni. The direc-

tion of various propagating diffraction orders in 1D gratings can be

calculated by fundamental grating equation [2].

n2sinθm = nisinθin + mλ/d, (2.35)

where d is grating period, λ is wavelength of incident light, θin is in-

cident angle, θm is diffracted angle, m = 0,±1,±2.... is the index of

diffracted order, ni and n2 are the refractive indices before and after

the interface. For reflection gratings n2 is ni and for transmission

gratings n2 is nt.

16 Dissertations in Forestry and Natural Sciences No 83

Page 28: Muhammad Rizwan Saleem - UEF Electronic Publicationsepublications.uef.fi/pub/urn_isbn_978-952-61-0885-8/urn_isbn_978-952... · MUHAMMAD RIZWAN SALEEM Resonant waveguide gratings by

Theoretical background

x

z

λR−2

R−1

R0

R1

R2

θi

dni

n2(x)

nt

T−2 T−1

γ

T0

T1

T2

Figure 2.2: Schematic of Guided mode resonance filter’s structure with forward and back-

ward diffraction orders.

In this thesis one particular type of binary grating is considered,

which is fabricated in thermoplastics by nanoimprint lithography

followed by fabrication of a cover layer of high index amorphous

TiO2 film of uniform thickness by atomic layer deposition [77].

In general, rather more simplified way, the interaction between a

waveguide mode and the grating by means of the waveguide mode

field at the interface can be enhanced by deposition of such high in-

dex TiO2 films on corrugated profile [38]. A schematic of this grat-

ing with layered structure in modulated region is shown in Fig. 2.3.

2.3.2 Principle of operation

To get narrow reflection or transmission peaks, it is important to

understand the working principle of the GMRF which is based on

the excitation of leaky waveguide modes. Let us consider only the

reflecting field by using a grating with period sufficiently small to

allow only zero order diffracted waves. A plane wave is incident

Dissertations in Forestry and Natural Sciences No 83 17

Page 29: Muhammad Rizwan Saleem - UEF Electronic Publicationsepublications.uef.fi/pub/urn_isbn_978-952-61-0885-8/urn_isbn_978-952... · MUHAMMAD RIZWAN SALEEM Resonant waveguide gratings by

Muhammad Rizwan Saleem: Resonant waveguide gratings by replicationand atomic layer deposition

x

z

hw

λ

θi θo

d

ning1(x)ng2(x)ng3(x)nt

AirTiO2

PC

Figure 2.3: Schematic of GMRF with high index TiO2 cover layer by ALD.

on the grating as shown in Fig. 2.2. The resulting reflected fields

above the grating can be assumed to come up from two separate

contributions: a directly reflected and a scattered field [76]. The

direct reflection is the primary reflection from material boundary as

expected from Fresnel equations, whereas, the secondary reflection

occurs due to excitation and rescattering of leaky waveguide mode

when the following coupling relation holds:

γ0 = kx + n2π

d, (2.36)

where kx is the wave vector and γ0 is propagation constant of fun-

damental mode. In the resonance excitation regime, the phase of

the secondary field varies rapidly with the incident field (wave

number) and at a particular point becomes completely in phase

with primary reflected field to give a characteristic narrow reflected

peak with wavelength or angle [27]. Figure 2.2 shows the propaga-

tion constant γ of leaky waveguide modes in lateral direction. Since

the modes are leaky and can be represented by the real and the

imaginary parts of propagation constant γ, which in turn form a

complex-plane, called complex γ-plane. The leaky modes are thus

represented by a pole in this complex γ-plane. A planar waveguide

supports at least one mode, which is represented by a single pole

on the real γ-axis. As the periodicity is introduced in the planar

18 Dissertations in Forestry and Natural Sciences No 83

Page 30: Muhammad Rizwan Saleem - UEF Electronic Publicationsepublications.uef.fi/pub/urn_isbn_978-952-61-0885-8/urn_isbn_978-952... · MUHAMMAD RIZWAN SALEEM Resonant waveguide gratings by

Theoretical background

waveguide, this single mode splits into an infinite number of spa-

tial diffracted orders, represented by complex poles with separation2πd on the complex γ-plane. The magnitudes of the real and imagi-

nary parts of the complex pole represent the range of modes excited

by the input field (overlapping of the real part with the input field)

and the coupling loss, respectively.

Dissertations in Forestry and Natural Sciences No 83 19

Page 31: Muhammad Rizwan Saleem - UEF Electronic Publicationsepublications.uef.fi/pub/urn_isbn_978-952-61-0885-8/urn_isbn_978-952... · MUHAMMAD RIZWAN SALEEM Resonant waveguide gratings by

Muhammad Rizwan Saleem: Resonant waveguide gratings by replicationand atomic layer deposition

20 Dissertations in Forestry and Natural Sciences No 83

Page 32: Muhammad Rizwan Saleem - UEF Electronic Publicationsepublications.uef.fi/pub/urn_isbn_978-952-61-0885-8/urn_isbn_978-952... · MUHAMMAD RIZWAN SALEEM Resonant waveguide gratings by

3 Fabrication and characteri-

zation

3.1 ELECTRON BEAM LITHOGRAPHY

Most of the large-scale production lithographic structures, even

down to 100 nm [78] are fabricated by optical techniques by ex-

ploiting the advancement in stepper technology as the dominant

manufacturing process [79]. However, these technologies possess

a difficulty for the fabrication of structures with feature sizes be-

low 100 nm. For even smaller features electron beam lithography

plays an important role, especially in two main aspects. It can be

used to generate masks [80] which are used for projection and con-

tact exposures and to fabricate ultra small features in high perfor-

mance devices [81] with high resolution [82]. The electron optics of

e-beam lithographic systems is similar to that employed in a scan-

ning electron microscope (SEM) [83]. The electron-beam system

Vistec EBPG5000+ES HR was used in this work as a direct writ-

ing tool to generate binary patterns at a voltage of 100 kV with a

minimum spot size of less than 2.5 nm.

A simplified schematic diagram of an electron-beam lithographic

system is shown in Fig. 3.1. The electron source consists of an elec-

tron gun which can be, for example, a thermionic gun using a tung-

sten hairpin or a lanthanum hexaboride source, a field emission or

a thermionic field emission tungsten gun. The electrons are emitted

from the source whose crossover is focused on the sample with the

help of two magnetic lenses L1 and L2. The beam half angle α varies

with the beam shaping aperture. The beam shaping aperture con-

trols the amount of current flowing down the column by restricting

the electron-electron interactions which can increase the diameter

of the focused electron beam on the sample. In addition, a lower

column current prevents the sample from developing an insulating

Dissertations in Forestry and Natural Sciences No 83 21

Page 33: Muhammad Rizwan Saleem - UEF Electronic Publicationsepublications.uef.fi/pub/urn_isbn_978-952-61-0885-8/urn_isbn_978-952... · MUHAMMAD RIZWAN SALEEM Resonant waveguide gratings by

Muhammad Rizwan Saleem: Resonant waveguide gratings by replicationand atomic layer deposition

Electron sourceGun

Crossover

Lens L1 Beam shaping aperture

Beam blanking de f lectorBeam blanking aperture

Lens L2

Beam position de f lector

α

Sample

Figure 3.1: Schematic ray diagram of an electron-beam lithographic system.

.

polymerization layer by hydrocarbons or siloxane molecules and

thus avoids any beam drift or loss of resolution. The beam blanker

consists of a combination of a beam aperture and a deflector which

can turn off the beam. In absence of the deflector, the beam is in-

cident on the sample, however, in the presence of the deflector, it

deviates. A beam position deflector is either a magnetic or an elec-

trostatic deflector that is used to move the beam on the sample.

The total beam exposure time on a surface area A is given as [79]

t = σ A/I, (3.1)

where σ is surface charge density (dose) and I is beam current.

3.2 RESISTS

Resist materials mostly exist in liquid phase and consist of organic

polymers in solvents. Resists are coated on the surface of a substrate

by spin coating process as thin surface layers to generate an image

22 Dissertations in Forestry and Natural Sciences No 83

Page 34: Muhammad Rizwan Saleem - UEF Electronic Publicationsepublications.uef.fi/pub/urn_isbn_978-952-61-0885-8/urn_isbn_978-952... · MUHAMMAD RIZWAN SALEEM Resonant waveguide gratings by

Fabrication and characterization

of exposed patterns. After spin coating, the solvent is driven-off

from the film by pre-baking (soft-baking), leaving only a durable

polymer film on the substrate which then becomes ready for ex-

posure. All of the resists are sensitive to e-beam exposure and are

modified after getting energized by the exposure. This modification

may result in splitting of polymer chains, cross-linking or acid catal-

ysis. The modification either appears directly in the development

solution or it requires an intermediate step such as a post-exposure-

bake. Various resists have been characterized regarding the proper-

ties such as tone, sensitivity, contrast, resolution, viscosity and dry

etch resistance [84]. The resists are divided into two types; posi-

tive and negative. In a positive resist, the exposed areas are more

soluble and are removed in a development process, whereas, in a

negative resist, the unexposed areas are more soluble and are re-

moved after development. In this study we employed both positive

and negative tone resists to make binary profiles [54, 85].

3.2.1 PMMA

Polymethyl methacrylate (PMMA) is a widely used high resolution

positive electron beam resist [86]. Its resolution is below 5 nm [87],

it has an excellent shelf life and is free from swelling. The com-

mon developer used for PMMA is Methyl isobutyl ketone (MIBK)

with an appropriate proportion of Isopropyl alcohol (IPA) to give a

reasonable sensitivity and contrast [88]. PMMA has a poor sensitiv-

ity, etch resistance and thermal stability and is available in various

molecular weights from 50 k to 1 M.

3.2.2 ZEP

ZEP is a positive electron beam resist, is a copolymer of chlorome-

thacrylate and methylstyrene with higher sensitivity, resolution and

etch resistance than PMMA [89]. It has an excellent etch resistance

during plasma etching and acts as a mask in dry etching of metals

[88]. In this work we used ZEP 7000-22 and a developer ethyl 3-

ethoxypropionate (EEP) for a development time of 60 s followed by

Dissertations in Forestry and Natural Sciences No 83 23

Page 35: Muhammad Rizwan Saleem - UEF Electronic Publicationsepublications.uef.fi/pub/urn_isbn_978-952-61-0885-8/urn_isbn_978-952... · MUHAMMAD RIZWAN SALEEM Resonant waveguide gratings by

Muhammad Rizwan Saleem: Resonant waveguide gratings by replicationand atomic layer deposition

30 s in IPA and rinsing with DI water for a sufficient time.

3.2.3 HSQ

Hydrogen silsesquioxane (HSQ) is a high resolution, inorganic neg-

ative tone resist and it has been employed for the feature sizes less

than 10 nm due to its small molecular size [90, 91]. It is available

from Dow Corning with a code FOX-12, and the developer we used

is MP 351 which contains disodium tetraborate decahydrate and

sodium hydroxide. The developer solution is made by mixing MP

351 with water in ratio 1:3 (MP 351:H2O) [54]. Linewidths of 6 nm

and 10 nm have been demonstrated on HSQ layers of thickness

20 nm and 10 nm, respectively [92]. Dense grating structures have

been made on Si and Si3N4 substrates using HSQ resist [93]. The

advantage of HSQ is its etch resistance to O2 which enables its use

as a bilayer resist, for example in etching with Cl2 after O2 plasma

hardening [91]. HSQ has a limited shelf life and because of its high

sensitivity, it cross-links around the lithographic features. In or-

der to minimize these problems, it is stored in refrigerators and in

bottles which are free from contaminations to avoid cross-linking.

HSQ can also be used as a direct stamping material after e-beam ex-

posure and development, for example, in replicating pattern sizes

of 30 nm in PMMA, polycaprolactone (PCL) and polycarbonate by

hot embossing [54, 94]. In this work we used HSQ resist to fab-

ricate a binary grating stamp on a Si substrate without any etch-

ing process [I, II, IV]. The stamp is made by heat treating HSQ

at a temperature of 300 ◦C for 3-5 hours, which transforms HSQ

into SiO2 [95–98], improves its mechanical properties and makes

it suitable to use as a direct replication stamp in polycarbonate

(PC), cyclic-olefin-copolymer (COC) and UV-curable materials such

as OrmoComp [53, 54]. The scanning electron microscope (SEM)

pictures of HSQ stamps are shown in Fig. 3.2. The molecular struc-

ture of HSQ consists of cage structure Si–H at room temperature

and is very sensitive to the curing temperature. The higher Si–H

content indicates the presence of a cage structure that results in a

24 Dissertations in Forestry and Natural Sciences No 83

Page 36: Muhammad Rizwan Saleem - UEF Electronic Publicationsepublications.uef.fi/pub/urn_isbn_978-952-61-0885-8/urn_isbn_978-952... · MUHAMMAD RIZWAN SALEEM Resonant waveguide gratings by

Fabrication and characterization

200�nm

(a)

200�nm

(b)

Figure 3.2: SEM picture of an HSQ sub-wavelength grating on Si as a direct stamp

(a) period d is 425 nm (b) period d is 325 nm.

.

larger free volume of molecular arrangements. The thermal curing

transforms the HSQ from a cage to a network structure with the

formation of dense Si–O–Si molecular arrangements and increases

the refractive index [98], mechanical and dielectric properties [96].

3.3 DRY ETCHING TECHNIQUES

After writing the patterns on resist materials, the next step is to

transfer the patterns into the substrate material by etching in the

presence of the resist mask. The dry etching process is performed

in a plasma environment as a selective etching. The reactive species

are generated by the bombardment of injected atoms or molecules

with high energy plasma electrons (generally created with a strong

RF field). As a result of collisions, chemical bonds break and cre-

ate ions and radicals which further undergo reactions to generate

more reactive species. These reactive species or ions are accelerated

towards the sample surface and remove material either physically

or chemically [99].

3.3.1 TiO2 etching

Figure 3.3 schematically shows all the process steps performed dur-

ing TiO2 etching. For chromium etching, we used Plasmalab 100

Dissertations in Forestry and Natural Sciences No 83 25

Page 37: Muhammad Rizwan Saleem - UEF Electronic Publicationsepublications.uef.fi/pub/urn_isbn_978-952-61-0885-8/urn_isbn_978-952... · MUHAMMAD RIZWAN SALEEM Resonant waveguide gratings by

Muhammad Rizwan Saleem: Resonant waveguide gratings by replicationand atomic layer deposition

ZEPCrTiO2

SiO2

(1)

(2) E-beam writing

(3) Development

(4) Cr dry etching

(5) Resist removal by O2 plasma

(6) TiO2 etching

(7) O2 plasma cleaning

(8) Cr wet etching

TiO2SiO2

Figure 3.3: Schematic diagram of TiO2 etching.

.

from Oxford Plasma Technology in a low pressure (15 mtorr) pro-

cess during which Cl2 and O2 gases were injected in chamber with

an inductively coupled plasma. For TiO2 etching, we used Plas-

malab 80 from Oxford Plasma Technology with an SF6 and Ar gas

plasma. The etching process resulted in a positive sidewall slope

of TiO2 ridges which may be due to polymer passivation as shown

in Fig 3.4. The dry etching process is highly anisotropic and direc-

tional etching is achieved in the presence of a mask, whereas, the

wet etching is isotropic and removes the material almost equally in

all the directions.

26 Dissertations in Forestry and Natural Sciences No 83

Page 38: Muhammad Rizwan Saleem - UEF Electronic Publicationsepublications.uef.fi/pub/urn_isbn_978-952-61-0885-8/urn_isbn_978-952... · MUHAMMAD RIZWAN SALEEM Resonant waveguide gratings by

Fabrication and characterization

200�nm

Figure 3.4: SEM picture of a TiO2 sub-wavelength grating on SiO2 substrate.

.

3.4 NANOIMPRINTING

The nanoimprint technology was proposed by Chou et al. [100–

102] in the 1990s as a nanofabrication technology. The nanoim-

print lithography (NIL) was based on the Molded Mask Method, first

invented by NTT Laboratories in Japan in the 1970s [103]. In a

nanoimprint process three different approaches can be considered;

nanoimprinting by micro-contact printing, molding of UV-curable

materials and molding of thermoplastics. Thermal nanoimprinting

and hot embossing processes are similar, as both use thermoplas-

tics and heating/cooling steps. Hot embossing is a two step com-

pression molding cycle, where the polymer in the form of a thin

sheet or foil is used [104]. The polymer sheet is heated by con-

duction around glass transition temperature and a velocity- and

force-controlled compression step initiates the flow of a polymer

melt into microcavities of the structure. This process continues for

a particular time called the dwell time at a constant temperature

above glass transition temperature, after that the temperature is

Dissertations in Forestry and Natural Sciences No 83 27

Page 39: Muhammad Rizwan Saleem - UEF Electronic Publicationsepublications.uef.fi/pub/urn_isbn_978-952-61-0885-8/urn_isbn_978-952... · MUHAMMAD RIZWAN SALEEM Resonant waveguide gratings by

Muhammad Rizwan Saleem: Resonant waveguide gratings by replicationand atomic layer deposition

200�nm

(a)

200�nm

(b)

200�nm

(c)

Figure 3.5: SEM pictures of replicated structures in (a) Polycarbonate (b) Cyclic-olefin-

copolymer (c) uv-curable material Ormocomp.

.

decreased gradually. A residual layer of polymer is formed adja-

cent to the rough plate surface due to an excess polymer melt flow,

which facilitates the pressure necessary for filling the microcavi-

ties [65]. Finally, cooling occurs down to the demolding temper-

ature of the polymer and the molded part is demolded from the

mold by moving in the direction of grating lines. The process pa-

rameters in terms of temperature, pressure and time depend on the

nature of the polymer [105]; in our case we set the temperature at

165 ◦C for 120 seconds at a constant pressure of 50 bar and the

demolding occured at a temperature of 50 ◦C. The nanoimprint-

ing was performed in polycarbonate, cyclic-olefin-copolymer and

uv-curable material ormocomp; the SEM pictures of replicated pat-

terns are shown in Fig. 3.5. In this work we used the Obducat Eitre

imprinter as a hot embossing tool, and the replication process is

described in detail in paper II .

3.5 ATOMIC LAYER DEPOSITION (ALD)

Atomic layer deposition (ALD) is a technique in which a gas phase

chemical reaction occurs on the solid surface to deposit thin and

uniform films [48]. ALD growth proceeds in cycles and during one

cycle the precursor materials are pulsed in the reactor alternatively,

one at a time, separated by purging with nitrogen gas pulses to

remove unnecessary material or reaction byproducts. Each precur-

sor pulse saturates the surface with a monomolecular layer which

28 Dissertations in Forestry and Natural Sciences No 83

Page 40: Muhammad Rizwan Saleem - UEF Electronic Publicationsepublications.uef.fi/pub/urn_isbn_978-952-61-0885-8/urn_isbn_978-952... · MUHAMMAD RIZWAN SALEEM Resonant waveguide gratings by

Fabrication and characterization

grows the film in a self limiting and conformal manner. The first

precursor pulse when exposed to the surface, reacts with the func-

tional groups of the surface and forms a monomolecular layer or

is simply chemisorbed in case of no functional groups. After the

purging step, next precursor pulse is injected which reacts with

the already formed monomolecular layer and produces the desired

solid with the release of some chemical ligands which are then re-

moved during the next purging pulse [106]. Very often during an

ALD growth, the surface layer is a fraction of a monomolecular

layer due to availability of less number of reactive surface sites or

the steric hindrance due to bulky ligands [107]. The increment in

film thickness is digital, which results from the discrete increments

during the ALD process [108]. ALD is a form of chemical vapor de-

position (CVD) that can coat intricate shapes of high aspect ratios

with a conformal material layer for waveguide applications [109]. In

the ALD chamber, the chemical surface reactions can be driven by

several energy mechanisms, however, thermal activation reactions

are the most often used. At low thermal energy, it is difficult to

achieve a complete chemical reaction while reactions at higher tem-

peratures do not yield higher growth rate because of desorption of

species from the surface. Therefore, it is important to maintain the

temperature in the intermediate range called the ALD Window [49].

200�nm

(a)

200�nm

(b)

200�nm

(c)

Figure 3.6: SEM pictures of TiO2 thin films on replicated structures in (a) Polycarbon-

ate with t = 61 nm (b) Cyclic-olefin-copolymer with t = 50 nm (c) uv-curable material

Ormocomp with t = 50 nm.

.

High index amorphous TiO2 films have been widely used in a

Dissertations in Forestry and Natural Sciences No 83 29

Page 41: Muhammad Rizwan Saleem - UEF Electronic Publicationsepublications.uef.fi/pub/urn_isbn_978-952-61-0885-8/urn_isbn_978-952... · MUHAMMAD RIZWAN SALEEM Resonant waveguide gratings by

Muhammad Rizwan Saleem: Resonant waveguide gratings by replicationand atomic layer deposition

number of potential applications [53, 110, 111]. The refractive index

and density [112] (in terms of porosity) of the TiO2 films fabricated

by ALD [113] are relatively higher than the ones of films grown by

other methods [114]. A low temperature ALD process results in

films that are amorphous in nature and suitable to use in optical

nano-device applications [51, 52]. In this work we fabricated the

TiO2 films by using commonly known precursors TiCl4 and H2O

[115] at a relatively low deposition temperature of 120 ◦C. High

index, amorphous, thin TiO2 films of different thicknesses t were

fabricated by using Beneq TFS 500 and TFS 200-152 ALD reactors on

plastic gratings for operation as GMRFs and are shown in Fig. 3.6.

In addition, TiO2 films of different thicknesses (60–500 nm) were

fabricated on Si substrates to carry out a complete analysis of the

thermo-optic coefficient (dn/dT) and density of these films; paper

III discusses these research results.

3.6 ELLIPSOMETER

The polarization of a harmonic light wave is, in general, elliptical

which means that the end points of the electric field vector lies on an

ellipse during the propagation of light. An ellipsometer determines

the ellipticity of the polarization state of light, the optical constants

of the materials and the thickness of the thin films. Ellipsometer

measurements appear in terms of two parameters; Ψ and ∆, which

are connected via the relation [116, 117]

tan Ψ ei∆ =Rp

Rs, (3.2)

where Rp and Rs are the complex-amplitude reflectance coefficients

in p-polarization (electric field vector in the plane of incidence) and

s-polarization (electric field vector perpendicular to the plane of

incidence). For thin films the Fresnel reflection coefficients are de-

termined from the sum of successive reflections from the top and

bottom of the films [118]. Owing to the transverse nature of light,

the electric field vector moves along an elliptic trajectory as shown

in Fig. 3.7. At some initial time, the field vector locates at a position

30 Dissertations in Forestry and Natural Sciences No 83

Page 42: Muhammad Rizwan Saleem - UEF Electronic Publicationsepublications.uef.fi/pub/urn_isbn_978-952-61-0885-8/urn_isbn_978-952... · MUHAMMAD RIZWAN SALEEM Resonant waveguide gratings by

Fabrication and characterization

ψ

x

X

y

Y

a

b

p-direction

s-direction

Figure 3.7: Polarization ellipse showing the state of polarization in terms of Ψ and phase

shift ∆.

.

a, with the y-component at maximum and after some other time

∆/ω (ω is field frequency), it takes a new position b with the max-

imum x-component. The angle between positions a and b is called

the relative phase ∆ of the vibrations along x- and y-directions and

varies from zero to 2π. Ψ is defined by tan Ψ = X/Y, where X

and Y are electric field amplitudes (in p- and s-directions, respec-

tively) that determine the state of elliptical polarization as shown in

Fig. 3.7.

For the dispersion properties of materials, Eq. (3.2) must be sat-

isfied in terms of measured Ψ and ∆ values. The spectroscopic

scan of a dielectric material over a wavelength range determines

the optical constants by applying appropriate dispersion models,

for example, Cauchy’s model

n(λ) = A +B

λ2+

C

λ4+ ..., (3.3)

where A, B and C are constants, whose numerical values change

Dissertations in Forestry and Natural Sciences No 83 31

Page 43: Muhammad Rizwan Saleem - UEF Electronic Publicationsepublications.uef.fi/pub/urn_isbn_978-952-61-0885-8/urn_isbn_978-952... · MUHAMMAD RIZWAN SALEEM Resonant waveguide gratings by

Muhammad Rizwan Saleem: Resonant waveguide gratings by replicationand atomic layer deposition

Source

Polarizer

Compensator

ϕ

Sample

Analyzer

Detector

Figure 3.8: Schematic illustration of the ellipsometric configuration.

.

during an iterative process giving results closer to actual measured

results by minimizing the mean square error (MSE). So while eval-

uating the optical constants one needs to give initial estimates for

these constants which then converge to the calculated Ψ and ∆ val-

ues of corresponding measured values, after executing a number of

iterative operations to minimize the root mean square error (RMSE).

Figure 3.8 shows the ellipsometric measurement configuration

when a linearly polarized plane wave illuminates the sample at an

incident angle ϕ with normal to the sample. After light-matter in-

teraction, the reflected light is measured by a detector to collect the

information about the material optical constants. Ellipsometry is

also used to measure the polarization state of the transmitted light.

In this work the refractive indices of TiO2 films were measured

by a variable angle spectroscopic ellipsometer VASE manufactured

by J. A. Woollam Co [119]. In addition, the ellipsometer was also

employed to measure the spectral reflectance/transmittance of the

resonant gratings under the normal or oblique illumination of a

linearly polarized plane wave whose electric field vector is either

32 Dissertations in Forestry and Natural Sciences No 83

Page 44: Muhammad Rizwan Saleem - UEF Electronic Publicationsepublications.uef.fi/pub/urn_isbn_978-952-61-0885-8/urn_isbn_978-952... · MUHAMMAD RIZWAN SALEEM Resonant waveguide gratings by

Fabrication and characterization

parallel (TE) or perpendicular (TM) to the grating lines. The po-

larization state (TE or TM) of the illuminated light is selected by a

polarizer stage which transformed the unpolarized light beam into

a linearly polarized light beam. The polarization stage consisted

of a polarizer mounted on a high accuracy continuously rotating

stepper motor. The rotating polarizer modulated the intensity of

the light. The phase and amplitude of the modulation represented

the polarization state of the beam entering the analyzer/detector.

Dissertations in Forestry and Natural Sciences No 83 33

Page 45: Muhammad Rizwan Saleem - UEF Electronic Publicationsepublications.uef.fi/pub/urn_isbn_978-952-61-0885-8/urn_isbn_978-952... · MUHAMMAD RIZWAN SALEEM Resonant waveguide gratings by

Muhammad Rizwan Saleem: Resonant waveguide gratings by replicationand atomic layer deposition

34 Dissertations in Forestry and Natural Sciences No 83

Page 46: Muhammad Rizwan Saleem - UEF Electronic Publicationsepublications.uef.fi/pub/urn_isbn_978-952-61-0885-8/urn_isbn_978-952... · MUHAMMAD RIZWAN SALEEM Resonant waveguide gratings by

4 Design of GMRFs by FMM

In this chapter an overview of the design of athermal dielectric

waveguide gratings, non-polarizing waveguide gratings (inorganic

and organic) and the thermo-optic coefficient of TiO2 films are dis-

cussed. All of the designs are made for dielectric materials using

FMM.

4.1 ATHERMAL DESIGN

The athermal design of resonant waveguide gratings [53,120] by us-

ing inorganic-dielectric materials such as SiO2, subsequently coated

by a high index dielectric material TiO2, is not good enough due to

their relatively low thermal expansion (TEC) magnitudes [121]. In

such cases, the thermo-optic coefficient (TOC) of TiO2 thin films is

typically negative, and is, in fact the dominating effect to shift the

resonance peak towards shorter wavelength positions [122, 123]. In

order to counter-balance the peak shift, materials with high TEC are

highly desirable. For this reason, the selection of optical polymer

materials is the best choice to exploit their higher TECs (about an

order of magnitude larger than that of inorganic-dielectric materi-

als) for the realization of athermal designs [124, 125].

These considerations of TEC and TOC were taken into account

for the design of resonant gratings in polymers. The grating pa-

rameters presented in paper I are: d=425 nm, h=120 nm, f =0.63,

t=61 nm, θi=20◦ and λr=853 nm with polycarbonate as the substrate

material as shown in Fig. 4.1. The mentioned grating parameters

are determined at the resonance wavelength λr as shown in Fig. 4.2

in terms of t and f of the structure. Most often, it becomes hard

to achieve accurate w due to limitations in fabrication processes,

which are compensated by adjusting t with an independent ALD

process step. Figures 4.3a and 4.3b show the calculated reflectance

spectra at room temperature and at 100 ◦C respectively, to account

Dissertations in Forestry and Natural Sciences No 83 35

Page 47: Muhammad Rizwan Saleem - UEF Electronic Publicationsepublications.uef.fi/pub/urn_isbn_978-952-61-0885-8/urn_isbn_978-952... · MUHAMMAD RIZWAN SALEEM Resonant waveguide gratings by

Muhammad Rizwan Saleem: Resonant waveguide gratings by replicationand atomic layer deposition

for both TEC and TOC contributions individually and by combin-

ing them.

The spectral shifts λr(T) are calculated as a function of tempera-

ture T from 25 to 100 ◦C with steps of 5 ◦C as shown in Fig. 4.4. The

thermal shifts in Fig. 4.4a with a positive slope arise due to TEC of

polycarbonate and almost no contributed effect from TEC of TiO2

thin film. The thermal shifts in Fig. 4.4b with negative slopes arise

due to TOCs of polycarbonate and TiO2 thin film. The realization

of partially athermalized devices is considered after combining re-

spective thermal shifts due to TECs and TOCs and are shown in

Fig. 4.4c.

4.2 NON-POLARIZING WAVEGUIDE GRATINGS

GMRF phenomena occur when the evanescent orders of a diffrac-

tion grating are coupled to waveguide modes and propagate out

at given optical parameters such as wavelength, angle, and state of

λ

θi θ0

d

ht

t

Polymer

Hot plate

TiO2

w

Figure 4.1: Schematic of a resonance waveguide grating coated with a cover layer of TiO2

of thickness t, with period d, height h, linewidth w and fill factor f = w/d.

.

36 Dissertations in Forestry and Natural Sciences No 83

Page 48: Muhammad Rizwan Saleem - UEF Electronic Publicationsepublications.uef.fi/pub/urn_isbn_978-952-61-0885-8/urn_isbn_978-952... · MUHAMMAD RIZWAN SALEEM Resonant waveguide gratings by

Design of GMRFs by FMM

f

t[n

m]

0.6 0.61 0.62 0.63 0.64 0.65 0.6656

58

60

62

64

66

0.1

0.2

0.3

0.4

0.5

0.6

0.7

0.8

0.9

X: 0.63, Y: 61

Figure 4.2: Spectral reflectance for the resonance wavelength λr at designed fill factor f

and TiO2 thickness t.

.

800 820 840 860 880 9000

0.2

0.4

0.6

0.8

1

λ [nm]

R(λ

)

(a)

X: 853, Y: 1

800 820 840 860 880 9000

0.2

0.4

0.6

0.8

1

λ [nm]

R(λ

,T)

(b)

1−TEC2−TOC3−TEC+TOC

X: 856.6, Y: 1X: 853.7, Y: 1X: 850, Y: 1

Figure 4.3: Spectral reflectance R(λ) with wavelength λ: (a) at room temperature (b) at

100 ◦C with (i) TEC contribution (ii) TOC contribution (iii) combined contributions.

.

polarization of incident light. The outcoupling field from a wave-

guide is, in general, polarization-sensitive; however, polarization-

insensitive 1D subwavelength grating structures with high diffrac-

Dissertations in Forestry and Natural Sciences No 83 37

Page 49: Muhammad Rizwan Saleem - UEF Electronic Publicationsepublications.uef.fi/pub/urn_isbn_978-952-61-0885-8/urn_isbn_978-952... · MUHAMMAD RIZWAN SALEEM Resonant waveguide gratings by

Muhammad Rizwan Saleem: Resonant waveguide gratings by replicationand atomic layer deposition

20 30 40 50 60 70 80 90 100−1

0

1

2

3

4

T [◦C]

∆λ

r[n

m]

(a)TEC of PCTEC fit slope=0.048147TEC of TiO2

20 30 40 50 60 70 80 90 100−2

−1.5

−1

−0.5

0

0.5

T [◦C]

∆λ

r[n

m]

(b)TOC of PCTEC fit slope=−0.020706TOC of TiO2TOC fit slope=−0.017088

20 30 40 50 60 70 80 90 100−3

−2

−1

0

1

2

3

4

T [◦C]

∆λ

r[n

m]

(c)TECTEC fit slope=0.048647TOCTOC fit slope=−0.038765combined effectscombined effects fit slope=0.01

Figure 4.4: Spectral shift ∆λr(T) as a function of temperature T [◦C] within 25–100 [◦C]

(a) TEC contribution of PC and TiO2 (b) TOC contribution of PC and TiO2 (c) Combined

contributions of PC and TiO2 with net athermal effect.

.

tion efficiency at normal incidence are required, for example, in

optical communications where output light may possess any polar-

ization state. This mean that an s or p polarized input optical field,

which generally couples TE or TM modes in the waveguide under

different resonance conditions, can be tuned at one resonance by

selecting suitable grating parameters, regardless of the input po-

larization state [126]. All of the polarization insensitive devices

designed to date are fabricated through a route which is either

not cost-effective or simple enough to some extent. In this work,

we present the designs of polarization-insensitive TiO2 gratings on

SiO2 substrate (grating-I) in Sect. 4.2.1 and polymer grating with a

TiO2 cover layer (grating-II) in Sect. 4.2.2 which are cost-effective

and simple to manufacture. The details are presented in paper IV.

38 Dissertations in Forestry and Natural Sciences No 83

Page 50: Muhammad Rizwan Saleem - UEF Electronic Publicationsepublications.uef.fi/pub/urn_isbn_978-952-61-0885-8/urn_isbn_978-952... · MUHAMMAD RIZWAN SALEEM Resonant waveguide gratings by

Design of GMRFs by FMM

w [nm]

h[n

m]

(a)

390 392 394 396 398 400190

192

194

196

198

200

0.8

0.85

0.9

0.95

w:395, h:195

w [nm]

h[n

m]

(b)

390 392 394 396 398 400190

192

194

196

198

200

0.84

0.86

0.88

0.9

0.92

0.94

0.96

0.98

w:395, h:195

Figure 4.5: Spectral reflectance at the resonance wavelength λr at designed linewidth w

and structure height h of grating-I (a) TE-Mode (b) TM-Mode.

.

4.2.1 TiO2 waveguide grating (grating-I)

The gratings were designed at one possible set of parameters with

d = 540 nm, w = 395 nm, h = 195 nm, λr = 850 nm at nor-

mal incidence. The relation between w and h at maximum (100 %)

diffraction efficiency in reflection for both TE- and TM-modes are

shown in Fig. 4.5. The non-polarizing parameters are evaluated at

the point of intersection of TE and TM modes, shown in Fig. 4.6a

and reflectance spectra at λr = 850 nm in Fig. 4.6b.

4.2.2 Polymer grating with TiO2 cover layer (grating-II)

The design is for a two layered structure, the first layer consists of

the polycarbonate substrate with a grating layer coated by TiO2 as

the second layer as shown in Fig. 4.7. The gratings are designed

at one possible set of parameters with d = 540 nm, w = 200 nm,

h = 145 nm, t = 60 nm, λr = 850 nm at normal incidence. The

linewidth w = 200 nm and structure height h = 145 nm are ob-

tained through a similar method as shown in Fig. 4.6a at the point

of coincidence of TE- and TM-modes. Polarization tunable opera-

tion is obtained by coupling of s or p input polarized field compo-

nents into either TE or TM modes. This is achieved by optimizing

the grating components shown in Fig. 4.8, which results in a high

Dissertations in Forestry and Natural Sciences No 83 39

Page 51: Muhammad Rizwan Saleem - UEF Electronic Publicationsepublications.uef.fi/pub/urn_isbn_978-952-61-0885-8/urn_isbn_978-952... · MUHAMMAD RIZWAN SALEEM Resonant waveguide gratings by

Muhammad Rizwan Saleem: Resonant waveguide gratings by replicationand atomic layer deposition

390 392 394 396 398 400190

192

194

196

198

200h

[nm

]

w [nm]

(a)

TE−ModeTM−Mode

w:395, h: 194.6

700 750 800 850 900 950 10000

0.2

0.4

0.6

0.8

1

λ [nm]

R(λ

)

(b)

TE−ModeTM−Mode

Figure 4.6: Maximum spectral reflectance at the resonance wavelength λr = 850 nm

(a) Linewidth w and structure height h are evaluated at the point of intersections of both

TE and TM Modes (b) TE and TM reflectance spectra at calculated values of w and h.

.

d

htw

Layer 1

Layer 2TiO2

Figure 4.7: Schematic of a replicated resonance waveguide grating in polymer (layer 1)

coated with a cover layer of TiO2 of thickness t (layer 2), with period d, height h and

linewidth w.

.

reflectance for both modes at resonance wavelength, irrespective of

the input polarization state. For resonance to occur, the wavelength

is always longer than the corresponding Rayleigh anomaly [6]:

λr > λRA =nd

m(4.1)

orλr

n> d = 540 nm, (4.2)

40 Dissertations in Forestry and Natural Sciences No 83

Page 52: Muhammad Rizwan Saleem - UEF Electronic Publicationsepublications.uef.fi/pub/urn_isbn_978-952-61-0885-8/urn_isbn_978-952... · MUHAMMAD RIZWAN SALEEM Resonant waveguide gratings by

Design of GMRFs by FMM

where λr = 850 nm is the resonance wavelength, n is the refractive

index of the substrate (1.57), m is the diffraction order and d =

540 nm is the grating period.

Figure 4.9 shows the TE and TM reflectance spectra at normal

incidence. We investigated the non-polarizing filtering phenomena

for TE and TM waveguide modes in terms of dispersion relations.

The reflectance R is plotted as a function of wavelength of incident

light λ and incident angle θi. At resonance, the wave vector of the

incident plane wave kx matches with the propagation constant of

the leaky guided mode γ through the Eq. (2.36). This is shown in

Fig. 4.10 as a dispersion-curve-plot, where

P =2dsinθi

λ. (4.3)

It is evident from the Fig. 4.10 that at θi = 0 (normal incidence),

λr = 850 nm for both the TE- and TM-Modes.

w [nm]

h[n

m]

(a)

195 197 199 201 203 205140

142

144

146

148

150

0.9986

0.9988

0.999

0.9992

0.9994

0.9996

0.9998

w: 200, h: 145

w [nm]

h[n

m]

(b)

195 197 199 201 203 205140

142

144

146

148

150

0.965

0.97

0.975

0.98

0.985

0.99

0.995

1

w: 200, h: 145

Figure 4.8: Spectral reflectance of the double layered structure at the resonance wavelength

λr = 850 nm and designed parameters to evaluate linewidth w and structure height h for

(a) TE-Mode (b) TM-Mode.

.

Dissertations in Forestry and Natural Sciences No 83 41

Page 53: Muhammad Rizwan Saleem - UEF Electronic Publicationsepublications.uef.fi/pub/urn_isbn_978-952-61-0885-8/urn_isbn_978-952... · MUHAMMAD RIZWAN SALEEM Resonant waveguide gratings by

Muhammad Rizwan Saleem: Resonant waveguide gratings by replicationand atomic layer deposition

700 750 800 850 900 950 10000

0.1

0.2

0.3

0.4

0.5

0.6

0.7

0.8

0.9

1

λ [nm]

R(λ

)TE−ModeTM−Mode

Figure 4.9: Spectral reflectance with the optimized grating parameters at the resonance

wavelength λr = 850 nm for the TE- and the TM-Mode.

.

P

λ[n

m]

(a)

0 0.05 0.1 0.15 0.2800

820

840

860

880

900

0.2

0.4

0.6

0.8

P

λ[n

m]

(b)

0 0.05 0.1 0.15 0.2800

820

840

860

880

900

0.2

0.4

0.6

0.8

Figure 4.10: Spectral reflectance as a function of θi and λ for (a) TE-Mode (b) TM-Mode.

.

42 Dissertations in Forestry and Natural Sciences No 83

Page 54: Muhammad Rizwan Saleem - UEF Electronic Publicationsepublications.uef.fi/pub/urn_isbn_978-952-61-0885-8/urn_isbn_978-952... · MUHAMMAD RIZWAN SALEEM Resonant waveguide gratings by

5 Main results

In this chapter the main results of the papers I − IV are presented

and briefly discussed. Overall, important points in the research

carried out were to explore the cost-effective and simple fabrica-

tion methods for efficient and functional nano-devices despite of

their design with tight tolerances. The significance of polymeric

materials in GMRFs is emphasized by a number of advantages over

inorganic materials such as flexibility, high toughness values, low

processing temperatures, nanoreplication possibilities, high trans-

parency as optical materials for waveguides, high thermal expan-

sion and thermo-optic coefficients, and light weight. In Sect. 5.1, pa-

per I describes organic-inorganic GMRFs as athermal devices based

on relatively high TEC and TOC values of polymeric materials. The

theoretically calculated and experimentally measured values are in

relatively good agreement. In Sect. 5.2, paper II deals with techno-

logical achievements by means of fabrication and replication using

hydrogen silsesquioxane (HSQ) e-beam resist. The material shows a

phase transformation from HSQ to amorphous SiO2 without chang-

ing its amorphous nature but improving its mechanical properties

to be used as a direct stamping material in nano-replication appli-

cations without etching processes. The paper also deals with the

spectral characteristics of GMRFs after changing t of amorphous

TiO2 films on polymeric binary structures. In Sect. 5.3, paper III

explains the thermal properties of ALD fabricated TiO2 thin films.

A detailed data analysis study of the thermo-optic coefficient and

corresponding thermal density has been carried out after fabricat-

ing various TiO2 films by ALD with different t and influences un-

der environmental effects. Finally, in Sect. 5.4, paper IV shows the

non-polarizing characteristics of GMRFs both theoretically and ex-

perimentally by considering two different kinds of waveguide grat-

ings. The experimentally measured results show very close agree-

ment with theoretically calculated results with the importance of

Dissertations in Forestry and Natural Sciences No 83 43

Page 55: Muhammad Rizwan Saleem - UEF Electronic Publicationsepublications.uef.fi/pub/urn_isbn_978-952-61-0885-8/urn_isbn_978-952... · MUHAMMAD RIZWAN SALEEM Resonant waveguide gratings by

Muhammad Rizwan Saleem: Resonant waveguide gratings by replicationand atomic layer deposition

790 800 810 820 830 840 850 8600

0.1

0.2

0.3

0.4

0.5

0.6

0.7

0.8

λ [nm]

R(λ

,T)

(a)

T=30 ° CT=35 ° CT=55 ° C

X: 827.6, Y:0.795 X: 828, Y: 0.792

X: 828.4, Y: 0.731

790 800 810 820 830 840 850 86000.10.20.30.40.50.60.70.80.9

1

λ [nm]

R(λ

)

(b)

X: 827.6, Y: 0.9978

Figure 5.1: (a) Thermal shift in resonance wavelength λr at 35◦C and 55◦C (b) Retrieval

of original reflectance spectrum after cooling down to room temperature.

.

1D gratings fabricated in polymeric materials.

5.1 REALIZATION OF PARTIALLY ATHERMAL ORGANIC-

INORGANIC GMRFS

In paper I subwavelength resonant gratings in thermoplastic mate-

rials are demonstrated as partially athermal filters. The principle of

the device is based on selection of such materials which could pro-

vide counter effects in order to stabilize the resonance peak at its

original position. This section shows the main experimental results

which are in agreement with the theoretical calculations shown in

Sect. 4.1. Figure 5.1a shows the thermal shift in resonance wave-

length in the vicinity of about tens of degrees above room tem-

perature. The first two shifts of 0.4 nm each in λr occur at 35 ◦C

and 55 ◦C, together with a decrease of reflectance intensity. The

thermal reduction of the peak efficiency shown in Fig. 5.1a is re-

versible, meaning that the original reflectance peak efficiency is re-

trieved after cooling down the grating back to room temperature,

shown in Fig. 5.1b. This would happen only when the grating is

heated well below the glass transition temperature Tg of polycar-

bonate (∼ 150 ◦C) such that no permanent deformation occurs in

the polymeric material. Figure 5.2a shows the spectral shifts at a

44 Dissertations in Forestry and Natural Sciences No 83

Page 56: Muhammad Rizwan Saleem - UEF Electronic Publicationsepublications.uef.fi/pub/urn_isbn_978-952-61-0885-8/urn_isbn_978-952... · MUHAMMAD RIZWAN SALEEM Resonant waveguide gratings by

Main results

20 30 40 50 60 70 80 90−0.2

0

0.2

0.4

0.6

0.8

1

1.2

1.4

1.6

T [◦C]

∆λ

r[n

m]

(a)

Experimental data Fit slope = 0.018

20 30 40 50 60 70 80 900

0.2

0.4

0.6

0.8

1

T [◦C]

R(λ

)

(b)

Spectral reflectance Fit

Figure 5.2: (a) Thermal spectral shift in resonance wavelength λr at different temperatures

25-85◦C (b) Reflectance efficiency at different temperatures 25-85◦C.

.

number of temperatures ranging from 25 to 85◦C with an overall

change of 1.4 nm in λr at high temperatures. On the other hand,

Fig. 5.2b shows the corresponding reduction in the reflectance effi-

ciency in the same range of temperatures. Since we get the origi-

nal spectrum after cooling down the grating as shown in Fig. 5.1b,

the decrease in efficiency of the grating is momentary as long as

the grating is subjected to a high temperature environment below

Tg. This effect could not be attributed to the changes in structural

parameters of a periodic profile because such changes would per-

manently shift the position of the peak or affect on the linewidth

of the spectral peak. The decrease in efficiency might be related

to the uneven swelling of the grating which makes it more space-

variant at high temperatures and widens the reflectance peak with

the simultaneous reduction of the peak efficiency.

5.2 HYDROGEN SILSESQUIOXANE (HSQ) E-BEAM RESIST

AS A DIRECT STAMPING MATERIAL IN NANOREPLI-

CATION

The paper II deals with a stamping material required for the nano-

replication of subwavelength grating structures in thermoplastic

and thermosetting polymers. The replication stamp is fabricated

Dissertations in Forestry and Natural Sciences No 83 45

Page 57: Muhammad Rizwan Saleem - UEF Electronic Publicationsepublications.uef.fi/pub/urn_isbn_978-952-61-0885-8/urn_isbn_978-952... · MUHAMMAD RIZWAN SALEEM Resonant waveguide gratings by

Muhammad Rizwan Saleem: Resonant waveguide gratings by replicationand atomic layer deposition

using an HSQ film of appropriate thickness on a silicon substrate by

a spin coating process. The thickness of the HSQ layer is controlled

by adjusting the spinning speed and time. The grating patterns

were written on the HSQ layer by an e-beam exposure with a sub-

sequent development. After the development step, the mechanical

properties of HSQ such as density and hardness were improved by

a heat treatment process. In that process, the HSQ segmental chain

geometry changes from a cage to a network without any phase

change in the non-crystalline behavior of the material. The cage

to network transformation depicts properties of SiO2 which is well

suitable for direct stamping in polymers by hot embossing. The en-

tire grating fabrication process does not include any etching steps

which means that this method enables an easy and cost-effective

way of building a prototype series.

5.3 TEMPERATURE DEPENDENT REFRACTIVE INDEX AND

DENSITY OF TITANIUM DIOXIDE THIN FILMS BY ALD

In paper III, we presented a detailed study of data analysis of

thermo-optic coefficients for thin TiO2 films and corresponding tem-

perature dependent densities by a Lorentz-Lorenz relation. The

study was carried out for films of thicknesses 60, 100, 200, 300, 400

and 500 nm, grown under similar conditions. Temperature depen-

dent refractive indices and densities were modeled by the Lorentz-

Lorenz relation to get dn/dT and dρ/dT values for all the films for

a wavelength range of 380 to 1800 nm. The measured and calcu-

lated values of dn/dT and dρ/dT as a function of wavelength are

shown in Fig. 5.3. It is evident from the Fig. 5.3 that the tempera-

ture dependent values are negative for t � 150 nm and positive for

t � 150 nm films.

Temperature dependent properties, which vary with film thick-

ness, are shown in Fig. 5.4 and are explained qualitatively by the

porosity in the microstructure during film growth. The growing

films have surface pores which are filled with hydrogen containing

species (H2O, OH) [127]. On rising temperature these species dead-

46 Dissertations in Forestry and Natural Sciences No 83

Page 58: Muhammad Rizwan Saleem - UEF Electronic Publicationsepublications.uef.fi/pub/urn_isbn_978-952-61-0885-8/urn_isbn_978-952... · MUHAMMAD RIZWAN SALEEM Resonant waveguide gratings by

Main results

400 600 800 1000 1200 1400 1600 1800−2

−1

0

1

2

3

4x 10−4

λ [nm]

dn/d

T[◦

C−

1]

(a)

60 nm100 nm200 nm300 nm400 nm500 nm

400 600 800 1000 1200 1400 1600 1800−3

−2

−1

0

1

2

3

4

5x 10−4

λ [nm]

dρ/dT

[g

cm3

◦C

]

(b)

60 nm100 nm200 nm300 nm400 nm500 nm

Figure 5.3: Temperature dependent properties of TiO2 thin films with different thicknesses

t (a) dn/dT (b) dρ/dT.

.

Dissertations in Forestry and Natural Sciences No 83 47

Page 59: Muhammad Rizwan Saleem - UEF Electronic Publicationsepublications.uef.fi/pub/urn_isbn_978-952-61-0885-8/urn_isbn_978-952... · MUHAMMAD RIZWAN SALEEM Resonant waveguide gratings by

Muhammad Rizwan Saleem: Resonant waveguide gratings by replicationand atomic layer deposition

sorb from the surface leaving behind empty pores, resulting in a de-

crease in effective refractive index and film density. This may be at-

tributed to the fact that the porosity effect is a surface phenomenon,

where a depletion region is formed. For thinner films (t � 150 nm),

such depletion region is extended throughout the entire film thick-

ness, whereas it remains close to the surface regions for thicker

films (t � 150) nm. Thus, the desorption effect occurs very close

to the surface regions of thick films (200-500 nm), resulting in rel-

atively low positive index and density temperature gradients. The

thermo-optic coefficients of thin TiO2 films, deposited by different

techniques are different due to dissimilar microstructure and ther-

mal properties. For example, thin TiO2 films deposited by electron

beam evaporation [128] possesses negative values of dn/dT, which

is an order of magnitude larger than the films fabricated by plasma

enhanced chemical vapor deposition (PECVD) [129] and ALD [123],

however, no study is reported relating to the effects of film thick-

nesses on dn/dT values.

Differential thermal contraction stresses can generate due to a

misfit of TEC of the substrate and the coating when changing the

temperature [130]. These misfit stresses are rather similar to the

thermal gradients and may arise as a result in phase change of the

deposited film and structural relaxation [131]. If the TEC of the

thin film is larger than that of the substrate material, it tends to ex-

pand by inducing a compressive stress due to a constraint imposed

by the substrate. Similarly, if the TEC of the thin film is smaller

than that of the substrate, a tensile stress can be generated in the

thin film [132]. Both kind of stresses may be relaxed by increas-

ing the substrate temperature. In addition, the nature of intrinsic

stresses (tensile/compressive) is influenced by the thickness of the

thin films [133]. At low thickness, possible surface tension at the

film-substrate interface can give rise to internal stresses in the form

of tensile stresses. The increase in film thickness results in com-

pressive stresses which may be accompanied with the formation

of continuous surface layer i.e., with less porous sites as discussed

above.

48 Dissertations in Forestry and Natural Sciences No 83

Page 60: Muhammad Rizwan Saleem - UEF Electronic Publicationsepublications.uef.fi/pub/urn_isbn_978-952-61-0885-8/urn_isbn_978-952... · MUHAMMAD RIZWAN SALEEM Resonant waveguide gratings by

Main results

100 200 300 400 500−5

0

5x 10−5

dn

dT

[◦C

−1]

t [nm]100 200 300 400 500

−1

0

1x 10−4

dT

[g/c

m3◦C

]

dn/dTdρ/dT

Figure 5.4: Variation of thermal properties of TiO2 films with thickness t.

.

5.4 POLARIZATION-INDEPENDENT DIELECTRIC AND POLY-

MER GMRFS

The paper IV deals with 1D polarization-independent GMRFs at

the same resonance conditions. This section is related to the Sect. 4.2

with the designed filters shown in Figs. 4.6 and 4.9, aiming to fabri-

cate polarization-independent devices used in various applications

such as in telecommunications and biochemical sensors. Figures

5.5a and 5.5b show the measured spectra of gratings described in

Sects. 4.2.1 and 4.2.2, respectively. In the measured spectra, the

non-polarizing effect become evident after an adjustment in the

structural parameters of the grating that can result in a fine tun-

ing of the dispersion relations of TE and TM excited leaky guided

modes. As a result, there exist a situation where both polarizations

have the same propagation constant at the cross point of the disper-

sion curves of TE and TM modes as shown in Fig. 4.6a with their

Dissertations in Forestry and Natural Sciences No 83 49

Page 61: Muhammad Rizwan Saleem - UEF Electronic Publicationsepublications.uef.fi/pub/urn_isbn_978-952-61-0885-8/urn_isbn_978-952... · MUHAMMAD RIZWAN SALEEM Resonant waveguide gratings by

Muhammad Rizwan Saleem: Resonant waveguide gratings by replicationand atomic layer deposition

simultaneous excitation shown in Fig. 5.5. Figure 5.6 shows a scan-

ning electron microscope picture of a non-polarizing GMRF with

a replicated corrugation structure in polycarbonate, coated with a

TiO2 thin film.

5.5 INTERPRETATION

The simulated and experimentally measured spectra shown in Sects.

4 and 5 possess slightly different spectral peak positions and full

width at half maxima (FWHM), primarily because of the variations

in the surface profile shape, dimensions and true refractive indices

of the materials. In profile comparison, Figs. 3.6 and 5.6 show the

resonant grating surface profile after several fabrication steps. The

dimensions of the fabricated structures are made close to the one

assumed in the ideal profile of the design shown in Fig. 2.2. How-

ever, the profile is not quite ideal mainly because of rounding of the

edges of the polycarbonate grating that might occur either during

the master stamp fabrication or nano-imprinting. The SEM figures

show that the TiO2 growth follows the polycarbonate profile con-

formally which is inherent to the ALD process. Secondly, in the

measured spectra the off-resonance points do not cross the zero-line

that is present in theoretical curves. Furthermore, a slight variation

in the dimensional parameters of the profile (h, w, t) and refractive

indices of materials might result to a spectral shift.

50 Dissertations in Forestry and Natural Sciences No 83

Page 62: Muhammad Rizwan Saleem - UEF Electronic Publicationsepublications.uef.fi/pub/urn_isbn_978-952-61-0885-8/urn_isbn_978-952... · MUHAMMAD RIZWAN SALEEM Resonant waveguide gratings by

Main results

750 775 800 825 850 875 900 925 9500

0.2

0.4

0.6

0.8

1

λ [nm]

Inte

nsity

[a.u

](a)

TM TransmittanceTE TransmittanceTM ReflectanceTE Reflectance

X: 840.2, Y: 0.9897 X: 842.6, Y: 0.9740

750 775 800 825 850 875 900 925 9500

0.2

0.4

0.6

0.8

1

λ [nm]

Inte

nsity

[a.u

]

(b)

TM ReflectanceTE ReflectanceTM TransmittanceTE Transmittance

X: 837.4, Y: 0.9924

X: 836.0, Y: 0.9864

Figure 5.5: Measured non-polarizing spectra of TE- and TM-Modes of (a) inorganic

(TiO2) resonant grating (b) Organic-inorganic (PC-TiO2) resonant grating.

.

Dissertations in Forestry and Natural Sciences No 83 51

Page 63: Muhammad Rizwan Saleem - UEF Electronic Publicationsepublications.uef.fi/pub/urn_isbn_978-952-61-0885-8/urn_isbn_978-952... · MUHAMMAD RIZWAN SALEEM Resonant waveguide gratings by

Muhammad Rizwan Saleem: Resonant waveguide gratings by replicationand atomic layer deposition

200 nm

Figure 5.6: SEM picture of non-polarizing resonant grating in polycarbonate, coated with

TiO2 cover layer of thickness t= 80 nm and period d=540 nm.

.

52 Dissertations in Forestry and Natural Sciences No 83

Page 64: Muhammad Rizwan Saleem - UEF Electronic Publicationsepublications.uef.fi/pub/urn_isbn_978-952-61-0885-8/urn_isbn_978-952... · MUHAMMAD RIZWAN SALEEM Resonant waveguide gratings by

6 Conclusions and outlook

This thesis provides optical demonstrations of polymeric guided

mode resonance filters with a new perspective for the most cost-

effective filters together with the impact of atomic layer deposition

in these devices. We have studied the polymer filters for athermal

operation and for polarization-independent operation.

Thermal spectral characteristics of subwavelength grating filters

have been measured while assuming that the temperature and re-

fractive index of the surrounding medium (air) are constant. Sub-

wavelength grating structures have shown excellent thermal spec-

tral stability with a small spectral shift (1-2 nm) within a temper-

ature range of about 60 ◦C . Their operation was described with

an empirical two-coefficient-parameter model with the thermal ex-

pansion and thermo-optic coefficients. The use of high index di-

electric coatings (TiO2) as a superstrate in GMRFs or multilayered

structures causes them to be influenced strongly by environmen-

tal effects such as heating, resulting in a spectral shift based on

the variation of refractive index with temperature (thermo-optic co-

efficient). The observed spectral variations are counter-balanced

by exploiting optical polymeric materials with high thermal expan-

sion coefficients, almost 10 times larger than the ones of dielectrics.

Similar type of structures have also been employed as polarization-

independent filtering devices, e.g., for polarization independent el-

ements required in telecommunications. Tunable polarization op-

eration was performed by coupling s- or p-polarized input field

components into either the TE or TM modes after optimizing the

grating parameters at the same resonance wavelength, irrespective

of the input polarization state. The use of high transparency ther-

moplastic thin sheets for GMRFs not only provides spectral thermal

stability and polarization-independent solutions but also facilitates

low-cost manufacturing.

The replication of nanophotonic components with subwaveleng-

Dissertations in Forestry and Natural Sciences No 83 53

Page 65: Muhammad Rizwan Saleem - UEF Electronic Publicationsepublications.uef.fi/pub/urn_isbn_978-952-61-0885-8/urn_isbn_978-952... · MUHAMMAD RIZWAN SALEEM Resonant waveguide gratings by

Muhammad Rizwan Saleem: Resonant waveguide gratings by replicationand atomic layer deposition

th features in thermoplastics is the most promising technology to

make filters that are efficient and suitable for low-cost large-scale

production. The replication process itself is cost-effective, however,

it requires a master stamp to be fabricated by a combination of litho-

graphic and etching processes which are not so low-cost, efficient

and highly accurate. In this study, the master stamp was fabricated

by using an electron beam resist (HSQ) without including any etch-

ing action. The mechanical properties are simply improved by a

heat treatment process. The simple etch-free process facilitates fast

prototyping of nano-device filters, a short processing time, high

pattern imprint fidelity, high pattern surface density, high quality

optical performance, obtaining small prototype series with reduced

costs and large scale production still to be studied.

ALD provides several advantages in all kinds of filtering ap-

plications as a unique thin film deposition method with atomic

level control of film uniformity, thickness, composition and cov-

erage of corrugated surface profiles. In light guiding devices or in

filter elements, especially at least with a thin cover layer on corru-

gated surface profiles, high index and amorphous dielectric materi-

als have stringent requirements to result in strong coupling effects.

In this study, thin TiO2 films as cover layers on thermoplastics are

deposited with strong adhesion by ALD method and an exclusive

study was performed to measure the thermo-optic coefficient of

films with different thicknesses. The growth of thin TiO2 films was

accompanied with microstructure pores close to the surface which

were filled initially by hydrogenated species. On rising the temper-

ature, such species evaporated, leaving behind empty pores with

the result of a change of effective refractive index and density of the

films. For thin films, this porosity region, being formed as a surface

phenomenon is extended through the entire film, while it is limited

only close to the surface for thicker films. As a result, thinner films

(t � 150 nm) show negative and thicker films (t ∼ 200 − 500 nm)

show positive index gradients.

In future, the research on polymeric resonant grating filters could

be extended to medical diagnostics, replacing air by an analyte

54 Dissertations in Forestry and Natural Sciences No 83

Page 66: Muhammad Rizwan Saleem - UEF Electronic Publicationsepublications.uef.fi/pub/urn_isbn_978-952-61-0885-8/urn_isbn_978-952... · MUHAMMAD RIZWAN SALEEM Resonant waveguide gratings by

Conclusions and outlook

which most often exists in liquid form. The TOC of the analyte

may provide necessary qualitative information used for diagnos-

tic purposes in terms of the spectral shift. The spectral shifts can

also be accounted for the measurements of residual stresses in the

materials. The residual stresses could be created in the materials

intentionally or during manufacturing which leads to a change of

refractive index and finally appears as spectral shifts.

Dissertations in Forestry and Natural Sciences No 83 55

Page 67: Muhammad Rizwan Saleem - UEF Electronic Publicationsepublications.uef.fi/pub/urn_isbn_978-952-61-0885-8/urn_isbn_978-952... · MUHAMMAD RIZWAN SALEEM Resonant waveguide gratings by

Muhammad Rizwan Saleem: Resonant waveguide gratings by replicationand atomic layer deposition

56 Dissertations in Forestry and Natural Sciences No 83

Page 68: Muhammad Rizwan Saleem - UEF Electronic Publicationsepublications.uef.fi/pub/urn_isbn_978-952-61-0885-8/urn_isbn_978-952... · MUHAMMAD RIZWAN SALEEM Resonant waveguide gratings by

Bibliography

[1] D. Halliday, R. Resnick, and J. Walker, Fundamental of Physics

(Wiley, New Jersey, 2011).

[2] J. Turunen and F. Wyrowski, Diffractive Optics for Indus-

trial and Commercial Applications (Akademie-Verlag, Germany,

1997).

[3] J. Jahns and K.-H. Brenner, Micro-Optics: From technology to

applications (Springer, New York, 2004).

[4] R. Petit and L. C. Botten, Electromagnetic theory of gratings

(Springer-Verlag, New York, 1980).

[5] H. Kikuta, H. Toyota, and W. Yu, “Optical elements with sub-

wavelength structured surfaces,” Opt. Review 10, 63–73 (2003).

[6] S. S. Wang and R. Magnusson, “Theory and applications

of guided-mode resonance filters,” Appl. Opt. 32, 2606–2613

(1993).

[7] M. T. Gale, K. Knop, and R. Morf, “Zero-order diffractive mi-

crostructures for security appliactions,” SPIE-Optical Security

and Anticounterfeiting Systems 1210, 83–89 (1990).

[8] F. L. Pedrotti, S. J., and L. S. Pedrotti, Introduction to Optics

(Prentice-Hall, Inc., new Jersey, 1993).

[9] J. A. Dobrowolski, A. V. Tikhonravov, M. K. Trubetskov, B. T.

Sullivan, and P. G. Verly, “Optimal single-band normal-

incidence antireflection coatings,” Appl. Opt. 35, 644–658

(1996).

[10] E. Spiller, “Totally reflecting thin-film phase retarders,” Appl.

Opt. 23, 3544–3549 (1984).

Dissertations in Forestry and Natural Sciences No 83 57

Page 69: Muhammad Rizwan Saleem - UEF Electronic Publicationsepublications.uef.fi/pub/urn_isbn_978-952-61-0885-8/urn_isbn_978-952... · MUHAMMAD RIZWAN SALEEM Resonant waveguide gratings by

Muhammad Rizwan Saleem: Resonant waveguide gratings by replicationand atomic layer deposition

[11] H. A. Macleod, Thin-Film Optical Filters (Institute of Physics,

Bristol, 2001).

[12] O. Stenzel, The Physics of Thin Film Optical Spectra An Intro-

duction (Springer, Berlin, 2005).

[13] A. Hessel and A. A. Oliner, “A new theory of Wood’s anoma-

lies on optical gratings,” Appl. Opt. 4, 1275–1297 (1965).

[14] S. S. Wang, R. Magnusson, J. S. Bagby, and M. G. Moharam,

“Guided-mode resonances in planar-dielectric-layer diffrac-

tion gratings,” J. Opt. Soc. Am. A 7, 1470–1475 (1990).

[15] S. Tibuleac and R. Magnusson, “Reflection and transmission

guided-mode resonance filters,” J. Opt. Soc. Am. 14, 1617–1626

(1997).

[16] R. Magnusson and S. S. Wang, “New Principle for Optical

filters,” Appl. Phys. Lett. 61, 1022–1024 (1992).

[17] T. Tamir and S. Zhang, “Resonant scattering by multilayered

dielectric gratings,” J. Opt. Soc. Am. A 14, 1607–1616 (1997).

[18] R. Magnusson and S. S. Wang, “Transmission bandpass

guided-mode resonance filters,” Appl. Opt. 34, 8106–8109

(1995).

[19] C. Wei, S. Liu, D. Deng, J. Shen, J. Shao, and Z. Fan, “Electric

field enhancement in guided-mode resonance filters,” Opt.

Letts. 31, 1223–1225 (2006).

[20] T. Sun, Y. Jin, J. Shao, and Z. Fan, “Guided-mode resonances

in multimode planar periodic waveguides,” Chin. Opt. Letts.

8, 557–559 (2010).

[21] R. W. Wood, “On a remarkable case of uneven distribution

of light in a diffraction grating spectrum,” Philos. Mag.sdg 4,

396–402 (1902).

58 Dissertations in Forestry and Natural Sciences No 83

Page 70: Muhammad Rizwan Saleem - UEF Electronic Publicationsepublications.uef.fi/pub/urn_isbn_978-952-61-0885-8/urn_isbn_978-952... · MUHAMMAD RIZWAN SALEEM Resonant waveguide gratings by

Bibliography

[22] J. Saarinen, E. Noponen, and J. Turunen, “Guided-mode res-

onance filters of finite aperture,” J. Opt. Engineering 34, 2560–

2566 (1995).

[23] G. A. Golubenko, A. S. Svakhin, and V. A. Sychugov, “Diffrac-

tion characteristics of planar corrugated waveguides,” Opt.

and Quantum Electron. 18, 123–128 (1986).

[24] G. A. Golubenko, A. S. Svakhin, V. A. Sychugov, and A. V.

Tishchenko, “Total reflection of light from a corrugated sur-

face of a dielectric waveguide,” Sov. J. Quantum Electron. 15,

886–887 (1985).

[25] I. A. Avrutskii, G. A. Golubenko, V. A. Sychugov, and A. V.

Tishchenko, “Spectral and laser characteristics of a mirror

with a corrugated waveguide on its surface,” Sov. J. Quantum

Electron. 16, 1063–1065 (1986).

[26] E. Popov, L. Mashev, and D. Maystre, “Theoretical study of

the anomalies of coated dielectric gratings,” Opt. Acta 33, 607–

619 (1986).

[27] S. M. Norton, T. Erdogan, and G. M. Morris, “Coupled-mode

theory of resonant-grating filters,” J. Opt. Soc. Am A 14, 629–

639 (1997).

[28] S. Tibuleac and R. Magnusson, “Narrow-linewidth bandpass

filters with diffractive thin-film layers,” Opt. Letts. 26, 584–586

(2001).

[29] I. Abdulhalim, M. Auslender, and S. Hava, “Resonant

and scatterometric grating-based nanophotonic structures for

biosensing,” J. Nanophotonics 1, 011680, 1–13 (2007).

[30] R. Magnusson, D. Wawro, S. Zimmerman, and Y. Ding,

“Resonant Photonic Biosensors with Polarization-Based Mul-

tiparametric Discrimination in Each Channel,” Sensors 11,

1476–1488 (2011).

Dissertations in Forestry and Natural Sciences No 83 59

Page 71: Muhammad Rizwan Saleem - UEF Electronic Publicationsepublications.uef.fi/pub/urn_isbn_978-952-61-0885-8/urn_isbn_978-952... · MUHAMMAD RIZWAN SALEEM Resonant waveguide gratings by

Muhammad Rizwan Saleem: Resonant waveguide gratings by replicationand atomic layer deposition

[31] T. Alasaarela, D. Zheng, L. Huang, A. Priimagi, B. Bai, A. Ter-

vonen, S. Honkanen, M. Kuittinen, and J. Turunen, “Single-

layer one-dimensional nonpolarizing guided-mode resonance

filters under normal incidence,” Opt. Letts. 36, 2411–2413

(2011).

[32] J. R. Marciante, J. I. Hirsh, D. H. Raguin, and E. T. Prince,

“Polarization-insensitive high dispersion total internal reflec-

tion diffraction gratings,” J. Opt. Soc. Am. A 22, 299–305

(2005).

[33] H. Cao, C. Zhou, J. Feng, P. Lu, and J. Ma, “Design and fabri-

cation of a polarization-independent wideband transmission

fused-silica grating,” Appl. Opt. 49, 4108–4112 (2010).

[34] D. Lacour, G. Granet, J.-P. Plumey, and A. Mure-Ravaud, “Po-

larization independence of a one dimensional grating in con-

ical mounting,” J. Opt. Soc. Am. A 20, 1546–1552 (2003).

[35] G. Niederer, W. Nakagawa, and H. P. Herzig, “Design and

characterization of a tunable polarization-independent reso-

nant grating filter,” Opt. Express 13, 2196–2200 (2005).

[36] V. M. N. Passaro and M. N. Armenise, “High-efficiency GaAs-

based waveguide gratings for polarization-insensitive outcou-

pling,” J. Opt. Soc. Am. A 11, 3220–3223 (1994).

[37] X. Fu, K. Yi, J. Shao, and Z. Fan, “Nonpolarizing guided-

mode resonance filter,” Opt. Letts. 34, 124–126 (2009).

[38] I. A. Avrutsky, A. S. Svakhin, and V. A. Sychugov, “Interfer-

ence phenomena in waveguides with two corrugated bound-

aries,” J. Modern Opt. 36, 1303–1320 (1989).

[39] J. M. Bennett, E. Pelletier, G. Albrand, J. P. Borgogno,

B. Lazarides, C. K. Carniglia, R. A. Achmell, T. H. Allen,

T. T. Hart, K. H. Guenther, and A. Saxer, “Comparison of

the properties of titanium dioxide films prepared by various

techniques,” Appl. Opt. 28, 3303–3317 (1989).

60 Dissertations in Forestry and Natural Sciences No 83

Page 72: Muhammad Rizwan Saleem - UEF Electronic Publicationsepublications.uef.fi/pub/urn_isbn_978-952-61-0885-8/urn_isbn_978-952... · MUHAMMAD RIZWAN SALEEM Resonant waveguide gratings by

Bibliography

[40] L. M. Williams and D. W. Hess, “Structural properties of

titanium dioxide films deposited in an rf glow discharge,” J.

Vac. Sci. Technol. A 1, 1810–1819 (1983).

[41] W. G. Lee, S. I. Woo, J. C. Kim, S. H. Choi, and K. H. Oh,

“Preparation and properties of amorphous TiO2 thin films

by plasma enhanced chemical vapor deposition,” Thin Solid

Films 237, 105–111 (1994).

[42] G. A. Battiston, R. Gerbasi, A. Gregori, M. Porchina, S. Cat-

tarin, and G. A. Rizzi, “PECVD of amorphous TiO2 thin films:

effect of growth temperature and plasma gas composition,”

Thin Solid Films 371, 126–131 (2000).

[43] D. Mergel, D. Buschendorf, S. Eggert, R. Grammes, and

B. Samset, “Density and refractive index of TiO2 films pre-

pared by reactive evaporation,” Thin Solid Films 371, 218–224

(2000).

[44] K. Bange, C. R. Ottermann, O. Anderson, and U. Jeschkowski,

“Investigations of TiO2 films deposited by different tech-

niques,” Thin Solid Films 197, 279–285 (1991).

[45] L. J. Meng, V. Teixeira, H. N. Cui, F. Placido, Z. Xu, and M. P.

d. Santos, “A study of the optical properties of titanium oxide

films prepared by dc reactive magnetron sputtering,” Appl.

Surf. Sci. 252, 7970–7974 (2006).

[46] M. Zhang, G. Lin, C. Dong, and L. Wen, “Amorphous TiO2

films with high refractive index deposited by pulsed bias arc

ion plating,” Surf. Coat. Tech. 201, 7252–7258 (2007).

[47] M. Leskela and M. Ritala, “Atomic layer deposition (ALD):

from precursors to thin film structures,” Thin Solid Films 409,

138–146 (2002).

[48] H. S. Nalwa, Handbook of Thin Film Materials: Deposition and

Processing of Thin Films (Vol. 1) (Academic Press, San Diego,

2002).

Dissertations in Forestry and Natural Sciences No 83 61

Page 73: Muhammad Rizwan Saleem - UEF Electronic Publicationsepublications.uef.fi/pub/urn_isbn_978-952-61-0885-8/urn_isbn_978-952... · MUHAMMAD RIZWAN SALEEM Resonant waveguide gratings by

Muhammad Rizwan Saleem: Resonant waveguide gratings by replicationand atomic layer deposition

[49] R. A. Fischer, Precursor Chemistry of Advanced Materials CVD,

ALD and Nanoparticles (Springer, Berlin, 2005).

[50] Z. S. Liu, S. Tibuleac, D. Shin, P. P. Young, and R. Magnusson,

“High-efficiency guided-mode resonance filter,” Opt. Letts. 23,

1556–1558 (1998).

[51] J. Aarik, A. Aidla, T. Uustare, and V. Sammelselg, “Morphol-

ogy and structure of TiO2 thin films grown by atomic layer

deposition,” J. Cryst. Growth 148, 268–275 (1995).

[52] G. Triani, J. A. Campbell, P. J. Evans, J. Davis, B. A. Latella,

and R. P. Burford, “Low temperature atomic layer deposition

of titania thin films,” Thin Solid Films 518, 3182–3189 (2010).

[53] M. R. Saleem, P. Stenberg, T. Alasaarela, P. Silfsten, M. B.

K. S. Honkanen, and J. Turunen, “Towards athermal organic-

inorganic guided mode resonance filters,” Opt. Express 19,

24241–24251 (2011).

[54] M. R. Saleem, P. A. Stenberg, M. B. Khan, Z. M. Khan,

S. Honkanen, and J. Turunen, “Hydrogen silsesquioxane

resist stamp for replication of nanophotonic components in

polymers,” J. Micro/Nanolith. MEMS MOEMS 11, 013007–1–

013007–7 (2012).

[55] C. A. Harper, ed., Modern Plastics Handbook (McGraw-Hill,

New York, 2000).

[56] D. Bucknall, ed., Nanolithography and patterning techniques in

microelectronics (CRC Press, Cambridge, 2005).

[57] H. P. Herzig, ed., Micro-optics: Elements, systems and applica-

tions (Taylor & Francis, London, 1997).

[58] R. W. Jaszewski, H. Schift, J. Gobrecht, and P. Smith, “Hot

embossing in polymers as a direct way to pattern resist,” Mi-

croelectronic Engineering 41/42, 575–578 (1998).

62 Dissertations in Forestry and Natural Sciences No 83

Page 74: Muhammad Rizwan Saleem - UEF Electronic Publicationsepublications.uef.fi/pub/urn_isbn_978-952-61-0885-8/urn_isbn_978-952... · MUHAMMAD RIZWAN SALEEM Resonant waveguide gratings by

Bibliography

[59] K. Monkkonen, J. Hietala, P. Paakkonen, E. J. Paakkonen,

T. Kaikuranta, T. T. Pakkanen, and T. Jaaskelainen, “Repli-

cation of sub-micron features using amorphous thermoplas-

tics,” Poly. Eng. Sci. 42, 1600–1608 (2002).

[60] K. Monkkonen, J. Lautanen, V. Kettunen, V.-P. Leppanen, T. T.

Pakkanen, and T. Jaaskelainen, “Replication of an antire-

flecting element in COC plastics using a hot embossing tech-

nique,” J. Mater. Chem. 10, 2634–2636 (2000).

[61] A. C. Liou and R. H. Chen, “Injection molding of poly-

mer micro-and sub-micron structures with high-aspect ra-

tios,” Int. J. Adv. Manuf. Technol. 28, 1097–1103 (2006).

[62] Z. Cui, ed., Nanofabrication (Springer, New York, 2008).

[63] V. Kalima, J. Pietarinen, S. Siitonen, J. immonen, M. Suvanto,

M. Kuittinen, K. Monkkonen, and T. Pakkanen, “Transparent

thermoplastics: Replication of diffractive optical elements us-

ing micro-injection molding,” Opt. Mater. 30, 285–291 (2007).

[64] S. Siitonen, J. Pietarinen, P. Laakkonen, K. Jefimovs, and

M. Kuittinen, “Replicated polymer light guide interconnector

with depth modified surface relief grating couplers,” Optical

Review 14, 304–309 (2007).

[65] M. Worgull, Hot embossing. Theory and Technology of Microrepli-

cation (Elsevier, Oxford, 2009).

[66] M. G. Moharam, E. B. Grann, and D. A. Pommet, “Formu-

lation for stable and efficient implementation of the rigorous

coupled-wave analysis of binary gratings,” J. Opt. Soc. Am. A

12, 1068–1076 (1995).

[67] M. G. Moharam, D. A. Pommet, and E. B. Grann, “Sta-

ble implementation of the rigorous coupled-wave analysis

for surface-relief gratings: enhanced transmittance matrix ap-

proach,” J. Opt. Soc. Am. A 12, 1077–1086 (1995).

Dissertations in Forestry and Natural Sciences No 83 63

Page 75: Muhammad Rizwan Saleem - UEF Electronic Publicationsepublications.uef.fi/pub/urn_isbn_978-952-61-0885-8/urn_isbn_978-952... · MUHAMMAD RIZWAN SALEEM Resonant waveguide gratings by

Muhammad Rizwan Saleem: Resonant waveguide gratings by replicationand atomic layer deposition

[68] L. Li, “Use of Fourier series in the analysis of discontinuous

periodic structures,” J. Opt. Soc. Am. A 13, 1870–1876 (1996).

[69] L. Li, “New formulation of the Fourier modal method for

crossed surface-relief gratings,” J. Opt. Soc. Am. A 14, 2758–

2767 (1997).

[70] H. Kim, J. Park, and B. Lee, Fourier Modal Method and its

Applications in Computational Nanophotonics (CRC Press, Boca

Raton, 2012).

[71] L. Li, “Formulation and comparison of two recursive matrix

algorithms for modeling layered diffraction gratings,” J. Opt.

Soc. Am. A 13, 1024–1035 (1996).

[72] L. Li, “Note on the S-matrix propagation algorithm,” J. Opt.

Soc. Am. A 20, 655–660 (2003).

[73] G. A. Golubenko, V. A. Sychugov, and A. V. Tishchenko, “The

Phenomenon of full ”external” reflection of light from the

surface of a corrugated dielectric waveguide and its use in

narrow band filters,” Sov. Phys. Lebedev. Inst. Rep. 11, 36–40

(1985).

[74] D. Avrutskii, G. A. Golubenko, V. A. Sychugov, and A. V.

Tishchenko, “Light reflection from the surface of a corrugated

waveguide,” Sov. Tech. Phys. Lett. 11, 401–402 (1985).

[75] E. G. Loewen and E. Popov, Diffraction Gratings and Applica-

tions (Marcel Dekker, New York, 1997).

[76] D. Rosenblatt, A. Sharon, and A. A. Friesem, “Resonant Grat-

ing Waveguide Structures,” J. Quantum Electron 33, 2038–2059

(1997).

[77] M. Leskela and M. Ritala, “Atomic Layer Deposition Chem-

istry: Recent Developments and Future Challenges,” Angrew.

Chem. Int. Ed. 42, 5548–5554 (2003).

64 Dissertations in Forestry and Natural Sciences No 83

Page 76: Muhammad Rizwan Saleem - UEF Electronic Publicationsepublications.uef.fi/pub/urn_isbn_978-952-61-0885-8/urn_isbn_978-952... · MUHAMMAD RIZWAN SALEEM Resonant waveguide gratings by

Bibliography

[78] T. Ito and S. Okazaki, “Pushing the limits of lithography,”

Nature 406, 1027–1031 (2000).

[79] K. Suzuki and B. W. Smith, eds., Microlithography Science and

Technology (CRC Press, Taylor & Francis Group, Boca Raton,

2007).

[80] S. Rizvi, ed., Handbook of Photomask Manufacturing Technology

(CRC Press, Taylor & Francis Group, Boca Raton, 2005).

[81] A. A. Tseng, ed., Nanofabrication Fundamentals and Applications

(World Scientific Publishing Co. Pte. Ltd, Singapore, 2008).

[82] V. Sidorkin, A. V. Run, A. V. Langen-Suurling, A. Grigorescu,

and E. van der Drift, “Towards 2-10 nm electron-beam lithog-

raphy: A quantitative approach,” Microelectron. Eng. 85, 805–

809 (2008).

[83] R. F. Egerton, Physical Principles of Electron Microscopy: An In-

troduction to TEM, SEM and AEM (Springer Science+Business

Media, Inc., New York, 2005).

[84] J. N. Helbert, ed., Handbook of VLSI microlithography: Prin-

ciples, Tools, Technology and Applications (Noyes Publications,

New York, 2001).

[85] W. Henschel, Y. M. Georgiev, and H. Kurz, “Study of a high

contrast process for hydrogen silsesquioxane as a negative

tone electron beam resist,” J. Vac. Sci. Technol. B 21, 2018–2025

(2003).

[86] I. Haller, M. Hatzakis, and R. Srinivasan, “High-resolution

Positive Resists for Electron-beam Exposure,” IBM Journal of

Research and Development 12, 251–256 (1968).

[87] S. Yasin, D. G. Hasko, and H. Ahmed, “Fabrication of < 5 nm

width lines in poly(methylmethacrylate) resist using a water:

isopropyl alcohol developer and ultrasonically-assisted devel-

opment,” Appl. Phys. Letts. 78, 2760–2762 (2001).

Dissertations in Forestry and Natural Sciences No 83 65

Page 77: Muhammad Rizwan Saleem - UEF Electronic Publicationsepublications.uef.fi/pub/urn_isbn_978-952-61-0885-8/urn_isbn_978-952... · MUHAMMAD RIZWAN SALEEM Resonant waveguide gratings by

Muhammad Rizwan Saleem: Resonant waveguide gratings by replicationand atomic layer deposition

[88] J. Laukkanen, Fabrication of metallic micro-and nanostructures

for optical solutions, PhD thesis (University of Eastern Finland,

Department of Physics and Mathematics, Joensuu, Finland,

2010).

[89] W. J. Dauksher, D. Mancini, K. Nordquist, D. J. Resnick,

P. Hudek, D. Beyer, T. Groves, and O. Fortagne, “Fabrica-

tion of step and flash imprint lithography templates using a

variable shaped-beam exposure tool,” Microelectron. Eng. 75,

345–351 (2004).

[90] I. B. Baek, J. H. Yang, W. J. Cho, C. G. Ahn, K. Im, and

S. Lee, “Electron beam lithography patterning of sub-10 nm

line using hydrogen silsesquioxane for nanoscale device ap-

plications,” J. Vac. Sci. Technol. B 23, 3120–3123 (2005).

[91] H. Namatsu, Y. Takahashi, K. Yamazaki, T. Yamaguchi,

M. Nagase, and k. Kurihara, “Three-dimensional silox-

ane resist for the formation of nanopatterns with minimum

linewidth fluctuations,” J. Vac. Sci. Technol. B 16, 69–76 (1998).

[92] A. E. Grigorescu, M. C. van der Krogt, C. W. Hagen, and

p. Kruit, “10 nm lines and spaces written in HSQ, using elec-

tron beam lithography,” Microelectron. Eng. 84, 822–824 (2007).

[93] S. Choi, M. Yan, L. Wang, and I. Adesida, “Ultra-dense hy-

drogen silsesquioxane (HSQ) structures on thin silicon nitride

membranes,” Microelectron. Eng. 86, 521–523 (2009).

[94] N. Gadegaard and D. McCloy, “Direct stamp fabrication for

NIL and hot embossing using HSQ,” Microelectron. Eng. 84,

2785–2789 (2007).

[95] J. Penaud, F. Fruleux, and E. Dubois, “Transformation of hy-

drogen silsesquioxane properties with RIE plasma treatment

for advanced multiple-gate MOSFETs,” Appl. Surf. Sci. 253,

395–399 (2006).

66 Dissertations in Forestry and Natural Sciences No 83

Page 78: Muhammad Rizwan Saleem - UEF Electronic Publicationsepublications.uef.fi/pub/urn_isbn_978-952-61-0885-8/urn_isbn_978-952... · MUHAMMAD RIZWAN SALEEM Resonant waveguide gratings by

Bibliography

[96] H.-C. Liou and J. Pretzer, “Effect of curing temperature on the

mechanical properties of hydrogen silsesquioxane thin films,”

Thin Solid Films 335, 186–191 (1998).

[97] W.-C. L, C.-C. Yang, W.-C. Chen, B.-T. Dai, and M.-S. Tsai,

“The structural transformation and properties of spin-on

poly(silsesquioxane) films by thermal curing,” J. Non-Cryst.

Soilds 311, 233–240 (2002).

[98] C.-C. Yang and W.-C. Chen, “The structure and properties

of hydrogen silsesquioxane (HSQ) films produced by thermal

curing,” J. Mater. Chem 12, 1138–1141 (2002).

[99] S. M. Rossnagel, J. J. Cuomo, and W. D. Westwood, eds.,

Handbook of Plasma Processing Technology: Fundamentals, Etch-

ing, Deposition, and Surface Interactions (Noyes Publications,

New Jersey, 1990).

[100] S. Y. Chou, P. R. Krauss, and P. J. Renstrom, “Imprint of sub-

25 nm vias and trenches in polymers,” Appl. Phys. Letts. 67,

3114–3116 (1995).

[101] S. Y. Chou, P. R. Krauss, and P. J. Renstrom, “Nanoimprint

lithography,” J. Vac. Sci. Technol. B 14, 4129–4133 (1996).

[102] S. Y. Chou, P. R. Krauss, W. Zhang, L. Guo, and L. Zhuang,

“Sub-10 nm imprint lithography and applications,” J. Vac. Sci.

Technol. B 15, 2897–2904 (1997).

[103] S. Fujimori, “Fine pattern fabrication by the Molded Mask

Method (Nanoimprint Lithography) in the 1970s,” Japanese J.

Appl. Phys. 48, 06FH01–1 (2009).

[104] H. Dreuth and C. Heiden, “Thermoplastic structuring of thin

polymer films,” Sensors and Actuators 78, 198–204 (1999).

[105] B. Cui and T. Veres, “Pattern replication of 100 nm to

millimeter-scale features by thermal nanoimprint lithogra-

phy,” Microelectron. Eng. 83, 902–905 (2006).

Dissertations in Forestry and Natural Sciences No 83 67

Page 79: Muhammad Rizwan Saleem - UEF Electronic Publicationsepublications.uef.fi/pub/urn_isbn_978-952-61-0885-8/urn_isbn_978-952... · MUHAMMAD RIZWAN SALEEM Resonant waveguide gratings by

Muhammad Rizwan Saleem: Resonant waveguide gratings by replicationand atomic layer deposition

[106] R. l. Puurunen, “Surface chemistry of atomic layer deposition:

A case study for the trimethylaluminum/water process,” J.

Appl. Phys. 97, 121301–1–52 (2005).

[107] M. Ylilammi, “Monolayer thickness in atomic layer deposi-

tion,” Thin Solid Films 279, 124–130 (1996).

[108] R. Doering and Y. Nishi, eds., Handbook of Semiconductor Man-

ufacturing Technology, second ed. (CRC Press, Taylor & Francis

Group, Boca Raton, 2008).

[109] T. Alasaarela, T. Saastamoinen, J. Hiltunen, A. Saynatjoki,

A. Tervonen, P. Stenberg, M. Kuittinen, and S. Honkanen,

“Atomic layer deposited titanium dioxide and its application

in resonannt waveguide grating,” Appl. Opt. 49, 4321–4325

(2010).

[110] D. Mardare and P. Hones, “Optical dispersion analysis of

TiO2 thin films based on variable-angle spectroscopic ellip-

sometry measurements,” Mater. Sci. & Eng. B 68, 42–47 (1999).

[111] J. S. King, E. Graugnard, and C. J. Summers, “TiO2 inverse

opals fabricated using low-temperature atomic layer deposi-

tion,” Adv. Mater. 17, 1010–1013 (2005).

[112] C. R. Ottermann and K. Bange, “Correlation between the

density of TiO2 films and their properties,” Thin Solid Films

286, 32–34 (1996).

[113] J. Aarik, A. Aidla, A.-A. Kiisler, T. Uustare, and V. Sam-

melselg, “Effect of crystal structure on optical properties of

TiO2 films grown by atomic layer deposition,” Thin Solid Films

305, 270–273 (1997).

[114] D. Mergel, D. Buschendorf, S. Eggert, R. Grammes, and

B. Samset, “Density and refractive index of TiO2 films pre-

pared by reactive evaporation,” Thin Solid Films 371, 218–224

(2000).

68 Dissertations in Forestry and Natural Sciences No 83

Page 80: Muhammad Rizwan Saleem - UEF Electronic Publicationsepublications.uef.fi/pub/urn_isbn_978-952-61-0885-8/urn_isbn_978-952... · MUHAMMAD RIZWAN SALEEM Resonant waveguide gratings by

Bibliography

[115] J. Aarik, A. Aidla, H. Mandar, and T. Uustare, “Atomic layer

deposition of titanium dioxide from TiCl4 and H2O: investi-

gation of growth mechanism,” Appl. Surf. Sci. 172, 148–158

(2001).

[116] R. M. A. Azzam and N. M. Bashara, Ellipsometry and Polarized

Light (North-Holland publishing Company, AMSTERDAM,

1977).

[117] H. G. Tompkins and E. A. Irene, eds., Handbook of Ellipsometry

(William Andrew, Inc., New York, 2005).

[118] M. Born and E. Wolf, Principles of optics: Electromagnetic theory

of propagation, interference and diffraction of light (Cambridge

University Press, Cambridge, 1999).

[119] J. A. Woollam, B. Johs, C. M. Herzinger, J. Hilfiker, R. Synow-

icki, and C. L. Bungay, “Overview of variable angle spectro-

scopic ellipsometry (VASE), part I: Basic theory and typical

applications,” Proceedings of SPIE: Critical reviews of optical sci-

ence and technology CR72, 1–28 (1999).

[120] R. Leitel, P. Heger, O. Stenzel, and N. Kaiser, “Theoretical

treatment of thermal shifts in resonant grating wavaguide

structures,” J. Opt. A: Pure Appl. Opt. 8, 333–337 (2006).

[121] J. F. Shackelford and W. Alexander, eds., Materials Science and

Engineering Handbook (CRC Press LLC, Boca Raton, 2001).

[122] M. Han and A. Wang, “Temperature compensation of optical

microresonators using a surface layer with negative thermo-

optic coefficient,” Opt. Letts. 32, 1800–1802 (2007).

[123] M. R. Saleem, P. Silfsten, S. Honkanen, and J. Turunen, “Ther-

mal properties of TiO2 films grown by atomic layer deposi-

tion,” Thin Solid Films 520, 5442–5446 (2012).

[124] H. S. Nalwa, ed., Polymer optical fibers (Americal Scientific

Publishers, Valencia, CA, 2004).

Dissertations in Forestry and Natural Sciences No 83 69

Page 81: Muhammad Rizwan Saleem - UEF Electronic Publicationsepublications.uef.fi/pub/urn_isbn_978-952-61-0885-8/urn_isbn_978-952... · MUHAMMAD RIZWAN SALEEM Resonant waveguide gratings by

[125] Z. Zhang, P. Zhao, P. Lin, and F. Sun, “Thermo-optic coeffi-

cients of polymers for optical waveguide applications,” Poly-

mer 47, 4893–4896 (2006).

[126] M. R. Saleem, D. Zheng, B. Bai, P. Stenberg, M. Kuittinen,

S. Honkanen, and J. Turunen, “Replicable one-dimensional

non-polarizing guided mode resonance gratings under nor-

mal incidence,” Opt. Express 20, 16974–16980 (2012).

[127] G. Ketteler, S. Yamamoto, H. Bluhm, K. Andersson, D. E.

Starr, D. F. Ogletree, H. Ogasawara, A. Nilsson, and

M. Salmeron, “The nature of water nucleation sites on

TiO2(110) surfaces revealed by ambient pressure X-ray photo-

electron spectroscopy,” J. Phys. Chem. C 111, 8278–8282 (2007).

[128] G. Gulsen and M. N. Inci, “Thermal optical properties of TiO2

films,” Opt. Materials 18, 373–381 (2002).

[129] S. Wiechmann and J. Muller, “Thermo-optic properties of

TiO2, Ta2O5 and Al2O3 thin films for integrated optics on sil-

icon,” Thin Solid Films 517, 6847–6849 (2009).

[130] T. W. Clyne and S. C. Gill, “Residual stresses in thermal spray

coatings and their effect on interfacial adhesion: A review of

recent work,” J. Thermal Spray Technology 5, 401–418 (1996).

[131] W. N. Unertl, ed., Handbook of surface science: Physical structure,

Vol. 1, (Elsevier science B V, North-Holland, 1996).

[132] M. Ohring, The materials science of thin films (Academic Press,

San Diego, 1992).

[133] G. Laukaitis, S. Lindroos, S. Tamulevicius, and M. Leskela,

“Stress and morphological development of CdS and ZnS thin

films during the SILAR growth on (1 0 0) GaAs,” J. Appl. Surf.

Sci. 185, 134–139 (2001).

Page 82: Muhammad Rizwan Saleem - UEF Electronic Publicationsepublications.uef.fi/pub/urn_isbn_978-952-61-0885-8/urn_isbn_978-952... · MUHAMMAD RIZWAN SALEEM Resonant waveguide gratings by

Publications of the University of Eastern Finland Dissertations in Forestry and Natural Sciences No 83

Publications of the University of Eastern Finland

Dissertations in Forestry and Natural Sciences

isbn: 978-952-61-0884-1 (printed)

issnl: 1798-5668

issn: 1798-5668

isbn: 978-952-61-0885-8 (pdf)

issnl: 1798-5668

issn: 1798-5676

Muhammad Rizwan Saleem

Resonant waveguidegratings by replication and atomic layer deposition

dissertatio

ns | N

o 83 | M

uh

am

med

Rizw

an

Sa

leem | R

esona

nt w

avegu

ide gra

tings b

y replica

tion a

nd

atom

ic layer d

eposition

Muhammad Rizwan SaleemResonant waveguide

gratings by replication and atomic layer deposition

This thesis considers polymeric nano-

optical devices as sub-wavelength resonance

waveguide filters. The design, fabrication/

replication and optical characterization

of these devices are performed by the

Fourier Modal Method, e-beam lithography,

reactive ion etching, nanoimprinting, atomic

layer deposition (ALD) and ellipsometry.

The replicated gratings are coated with a

high index amorphous thin film of TiO2

by ALD. Special cases of athermal and

non-polarizing operations are analyzed

both theoretically and experimentally.

Furthermore, this thesis provides an insight

for the analyses of the behavior of thin

TiO2 films in terms of their thermo-optic

coefficients and corresponding density

changes.