materials science copyright © 2021 cmos-compatible … · 2021. 1. 13. · that hafnia-based...

11
Kim et al., Sci. Adv. 2021; 7 : eabe1341 13 January 2021 SCIENCE ADVANCES | RESEARCH ARTICLE 1 of 10 MATERIALS SCIENCE CMOS-compatible ferroelectric NAND flash memory for high-density, low-power, and high-speed three-dimensional memory Min-Kyu Kim*, Ik-Jyae Kim*, Jang-Sik Lee Ferroelectric memory has been substantially researched for several decades as its potential to obtain higher speed, lower power consumption, and longer endurance compared to conventional flash memory. Despite great deal of effort to develop ferroelectric memory based on perovskite oxides on Si, formation of unwanted interfacial layer substantially compromises the performance of the ferroelectric memory. Furthermore, three-dimensional (3D) integration has been unimaginable because of high processing temperature, non-CMOS compatibility, diffi- culty in scaling, and complex compositions of perovskite oxides. Here, we demonstrate a unique strategy to tackle critical issues by applying hafnia-based ferroelectrics and oxide semiconductors. Thus, it is possible to avoid the formation of interfacial layer that finally allows unprecedented Si-free 3D integration of ferroelectric memory. This strategy yields memory performance that could be achieved neither by the conventional flash memory nor by the previous perovskite ferroelectric memories. Device simulation confirms that this strategy can realize ultrahigh-density 3D memory integration. INTRODUCTION Current flash memory devices used in massive data storage for mo- bile devices and servers are based on floating-gate or charge-trap memory transistors using electron tunneling through a tunnel oxide (12). As the electron-tunneling process requires voltage pulses with high amplitude and long duration, current flash memory devices usually require high operation voltage of ~20 V and slow speed of ~10 −3 s and show limited endurance of ~10 4 cycles (35). In addi- tion, it requires high deposition (>600°C) and/or annealing (>900°C) temperatures to form channel and oxide layers (67). To overcome these limitations, many types of emerging memory devices have been evaluated, but still, there have been no alternatives to current flash memory (810). A ferroelectric memory transistor can be a viable candidate to replace current flash memory because of its potential to obtain fast operation at a low power (1112). In a ferroelectric memory transistor, the charges in the channel layer can be directly controlled by the polarization of a ferroelectric layer that is incorpo- rated into the gate stack of the ferroelectric transistor (13). Although previous studies that use ferroelectric perovskite oxides deposited on Si wafers show the feasibility as high-performance flash memory applications, formation of an interfacial layer with a Si channel imposes limits of memory window, endurance, and data retention properties (1417). In addition, the complex compositions of perovskite oxides limit the applicability of ferroelectric memory as next-generation flash memory devices (1819). Furthermore, three- dimensional (3D) integration of such ferroelectric memories is a key requirement for commercialization but has been unattainable because deposition of perovskite oxides and noble metals in 3D structures is a difficult task and those materials are very difficult to etch (19). Hafnia-based ferroelectric materials have gained research interest because of their potential to have complementary metal-oxide semiconductor (CMOS) compatibility, low power consumption, and fast switching speed (19). In addition, recent studies suggested that hafnia-based ferroelectrics could be scaled down to <1 nm while maintaining ferroelectricity (20). Because of these advantages, hafnia-based ferroelectric materials have been adopted in ferroelectric memory transistors, negative-capacitance field-effect transistors (FETs), ferroelectric tunneling junctions, and artificial synapses (2126). Hafnia-based ferroelectric materials can be deposited conformally on the vertical structures using atomic layer deposition (ALD), so ferroelectric transistors that use them are regarded as promising candidates for use in 3D memory such as 3D NAND (2728). How- ever, device performance issues derived from the formation of an interfacial layer with a Si channel still need to be solved (29). Here, we present unique integration strategies to overcome the aforementioned key issues in ferroelectric memory transistors by introducing indium zinc oxide (InZnO x ) as the semiconductor layer and zirconium-doped hafnium oxide (HfZrO x ) as the ferroelectric layer. First, SiO x interfacial layer inherently unavoidable from the conventional perovskite oxide– or hafnia-based ferroelectric memories on Si could be effectively prevented by using InZnO x as a semiconductor while maintaining necessary material qualities (or com- parable materials quality as that of Si) to obtain high-performance memories. This results in several hundreds of times faster operation speed (<10 −6 s) and four times lower operation voltage (<5 V) com- pared to current flash memory (330). In addition, the absence of an interfacial layer allows outstanding endurance characteristics (>10 8 cycles) of ferroelectric transistors compared to both charge-trap flash memory (~10 4 ) and ferroelectric transistor with a Si channel (~10 7 ) (5232931). As all processes can be done below 400°C, the inte- grated ferroelectric memory devices are CMOS compatible such that we are able to confirm that our process can achieve commercializa- tion milestones including demonstration of NAND flash arrays and 3D vertical structures. Our nanoscale 3D vertical flash memory ex- hibits excellent performances that promise ultrahigh-density 3D flash memory in the future. The 3D device shows a large memory window of 2.5 V and stable switching characteristics for 10 8 cycles. Device simulation confirms the operation mechanism and possibility of ultrahigh-density 3D memory integration. These results demonstrate Department of Materials Science and Engineering, Pohang University of Science and Technology (POSTECH), Pohang 37673, Korea. *These authors contributed equally to this work. †Corresponding author. Email: [email protected] Copyright © 2021 The Authors, some rights reserved; exclusive licensee American Association for the Advancement of Science. No claim to original U.S. Government Works. Distributed under a Creative Commons Attribution NonCommercial License 4.0 (CC BY-NC). on June 6, 2021 http://advances.sciencemag.org/ Downloaded from

Upload: others

Post on 27-Jan-2021

18 views

Category:

Documents


0 download

TRANSCRIPT

  • Kim et al., Sci. Adv. 2021; 7 : eabe1341 13 January 2021

    S C I E N C E A D V A N C E S | R E S E A R C H A R T I C L E

    1 of 10

    M A T E R I A L S S C I E N C E

    CMOS-compatible ferroelectric NAND flash memory for high-density, low-power, and high-speed three-dimensional memoryMin-Kyu Kim*, Ik-Jyae Kim*, Jang-Sik Lee†

    Ferroelectric memory has been substantially researched for several decades as its potential to obtain higher speed, lower power consumption, and longer endurance compared to conventional flash memory. Despite great deal of effort to develop ferroelectric memory based on perovskite oxides on Si, formation of unwanted interfacial layer substantially compromises the performance of the ferroelectric memory. Furthermore, three-dimensional (3D) integration has been unimaginable because of high processing temperature, non-CMOS compatibility, diffi-culty in scaling, and complex compositions of perovskite oxides. Here, we demonstrate a unique strategy to tackle critical issues by applying hafnia-based ferroelectrics and oxide semiconductors. Thus, it is possible to avoid the formation of interfacial layer that finally allows unprecedented Si-free 3D integration of ferroelectric memory. This strategy yields memory performance that could be achieved neither by the conventional flash memory nor by the previous perovskite ferroelectric memories. Device simulation confirms that this strategy can realize ultrahigh-density 3D memory integration.

    INTRODUCTIONCurrent flash memory devices used in massive data storage for mo-bile devices and servers are based on floating-gate or charge-trap memory transistors using electron tunneling through a tunnel oxide (1, 2). As the electron-tunneling process requires voltage pulses with high amplitude and long duration, current flash memory devices usually require high operation voltage of ~20 V and slow speed of ~10−3 s and show limited endurance of ~104 cycles (3–5). In addi-tion, it requires high deposition (>600°C) and/or annealing (>900°C) temperatures to form channel and oxide layers (6, 7). To overcome these limitations, many types of emerging memory devices have been evaluated, but still, there have been no alternatives to current flash memory (8–10). A ferroelectric memory transistor can be a viable candidate to replace current flash memory because of its potential to obtain fast operation at a low power (11, 12). In a ferroelectric memory transistor, the charges in the channel layer can be directly controlled by the polarization of a ferroelectric layer that is incorpo-rated into the gate stack of the ferroelectric transistor (13). Although previous studies that use ferroelectric perovskite oxides deposited on Si wafers show the feasibility as high-performance flash memory applications, formation of an interfacial layer with a Si channel imposes limits of memory window, endurance, and data retention properties (14–17). In addition, the complex compositions of perovskite oxides limit the applicability of ferroelectric memory as next- generation flash memory devices (18, 19). Furthermore, three- dimensional (3D) integration of such ferroelectric memories is a key requirement for commercialization but has been unattainable because deposition of perovskite oxides and noble metals in 3D structures is a difficult task and those materials are very difficult to etch (19).

    Hafnia-based ferroelectric materials have gained research interest because of their potential to have complementary metal-oxide semiconductor (CMOS) compatibility, low power consumption,

    and fast switching speed (19). In addition, recent studies suggested that hafnia-based ferroelectrics could be scaled down to

  • Kim et al., Sci. Adv. 2021; 7 : eabe1341 13 January 2021

    S C I E N C E A D V A N C E S | R E S E A R C H A R T I C L E

    2 of 10

    the plausibility of ALD-based ferroelectric memory as future 3D nonvolatile memory devices such as 3D vertical NAND.

    RESULTSCombination of hafnia-based ferroelectrics and oxide semiconductor for high-performance ferroelectric transistorTo confirm ferroelectric properties of HfZrOx, we fabricated a capacitor that had a TiN/HfZrOx/TiN structure and measured the polarization–electric field (P-E) characteristics of 24-nm-thick HfZrOx (fig. S1A). HfZrOx showed a positive remnant polarization of +Pr = 15.1 C/cm2 and a negative remnant polarization of −Pr = −13.8 C/cm2. The coercive electric field of HfZrOx was ~1.2 MV/cm; this is larger than those of ferroelectric perovskite oxides (~0.05 MV/cm) and can be advantageous in ferroelectric transistor because large coercive electric field can lead to a large memory window (18). Therefore, a sufficient memory window can be achieved using a thin HfZrOx layer compared to perovskite oxides. The ferroelectric-ity of HfZrOx was also confirmed using piezoresponse force micros-copy (PFM) and capacitance-voltage (C-V) measurements. The PFM amplitude and phase images were measured after applying −6 V to the outer square region and then with +6 V to the inner square region of the sample. The clear difference in contrast showed differ-ent polarization states of HfZrOx in PFM amplitude (fig. S1B) and phase images (fig. S1C). The C-V curve exhibited butterfly-shaped hysteresis, which is a result of the ferroelectric property of HfZrOx (fig. S1D). These results confirmed the ferroelectric nature of HfZrOx film. The endurance and polarization switching characteristics of HfZrOx were characterized. For endurance characteristics, repeated voltage pulses with an amplitude of ±6 V and a width of 5 s were applied (fig. S1E). The ferroelectric HfZrOx showed stable switching characteristics for 108 cycles. The polarization switching characteristics

    of the HfZrOx were evaluated by measuring switching and non-switching polarization characteristics under application of voltage pulses with an amplitude of 7.2 V (i.e., 3 MV/cm) and different widths (fig. S1F). As a pulse width was increased from 30 to 700 ns, the switched polarization of HfZrOx increased.

    To investigate the feasibility of our integration strategies, ferro-electric thin-film transistors (FeTFTs) with a bottom-contact struc-ture were fabricated by combining ALD-based HfZrOx and InZnOx (Fig. 1A). Their electrical characteristics were quantified by sweep-ing gate voltage VG between −5 and 5 V at source-drain voltages VDS of 0.1, 0.05, and 0.01 V. The FeTFT showed counterclockwise hysteresis, which originated from ferroelectric polarization switch-ing in the HfZrOx (Fig. 1B). The linear field-effect mobility of InZnOx channel in FeTFTs was ~1.9 cm2 V−1 s−1, which is lower than that of InZnOx on the SiO2 layer (~7.8 cm2 V−1 s−1). This difference seems to originate from the high dielectric constant of the HfZrOx layer, which can induce remote phonon scattering (32). This remote phonon scattering can be reduced by using gate electrode layers with a high electron density (33, 34). In addition, the mobility of InZnOx channel can be further increased by optimizing process parameters, such as doping and growth temperature (35). To quantify the memory window of the FeTFT, the device was programmed and erased by applying positive (5 V, 10 ms) and negative (−5 V, 10 ms) VG pulses, respec-tively. After each pulse had been applied, VG was swept from 0 to −5 V to verify the state of devices. The threshold voltages Vth of pro-grammed and erased states were extracted using linear extrapolation (Fig. 1C) (36). The memory window, which is the difference between Vth of programmed and erased states, was larger in our FeTFT (>2 V) than previously reported FeTFTs with hafnia-based ferroelectric materials and oxide semiconductors (0.5 to 1 V) (21, 22). To deter-mine the switching characteristics of FeTFT based on HfZrOx and InZnOx, the VG pulses with different amplitudes and widths were

    100 102 104 106 108−2.0

    −1.8

    −1.6

    −1.4

    −1.2

    V th (

    V)

    Pulse cycles3 4 5

    −3

    −2

    −1

    V th (

    V)

    Pulse amplitude (V)

    10−10

    10−9

    10−8

    10−7

    10−6

    VDS= 0.1 V VDS= 0.05 V VDS= 0.01 V

    I DS (

    A)

    VG(V)−4 −2 00

    2 x 10−7

    4 x 10−7

    I DS (

    A)

    VG(V)

    CBA

    ED F

    10−7 10−6−3

    −2

    −1

    V th (

    V)

    Pulse width (s)

    Fig. 1. FeTFT for nonvolatile memory applications. (A) Schematic illustration of FeTFT that uses HfZrOx and InZnOx. (B) Transfer curves of FeTFT with VDS = 0.1, 0.05, and 0.01 V. (C) IDS-VG curves of FeTFT in erased and programmed states. Threshold voltage Vth is extracted using the linear extrapolation. A memory window is the difference between erased and programmed Vth of FeTFT. Vth change of FeTFT according to (D) amplitudes and (E) widths of program pulses. In operation with different pulse am-plitudes, pulse amplitudes are increased from 3 to 5 V and a width is fixed at 1 s. In operation with different pulse widths, pulse widths are increased from 100 ns to 1 s and an amplitude is fixed at 5 V. (F) Endurance characteristics of FeTFT for 108 cycles using positive (5 V, 500 ns) and negative (−7 V, 1 s) triangular pulses for program and erase operations, respectively.

    on June 6, 2021http://advances.sciencem

    ag.org/D

    ownloaded from

    http://advances.sciencemag.org/

  • Kim et al., Sci. Adv. 2021; 7 : eabe1341 13 January 2021

    S C I E N C E A D V A N C E S | R E S E A R C H A R T I C L E

    3 of 10

    applied. Before measurement, FeTFTs were erased by applying a negative VG pulse (−5 V, 10 ms). Then, pulses with a width of 1 s and amplitudes of 3 to 5 V in increments of 0.2 V were applied. As the amplitudes of pulses were increased, the Vth of the device was changed (Fig. 1D). A memory window of ~2 V could be achieved using a pulse that had an amplitude of 5 V, which is about four times lower than the pulse amplitude required for conventional flash memory (37, 38). Vth change was also observed as pulse widths were increased from 100 ns to 1 s at an amplitude of 5 V (Fig. 1E). These switching characteristics may be a result of partial polarization switching of the HfZrOx layer, which can be controlled by the con-dition of applied pulses (39, 40). A memory window of ~1 V could be achieved using a voltage pulse width of 500 ns, which was about several hundreds times faster than the erase operation speed of con-ventional flash memory for acquiring a similar memory window (30, 41). The memory window of flash memory is dependent on memory operations, such as multilevel data storage, and flash memory can be operated faster when a small memory window is required (42). However, still much higher program/erase voltages are required, compared to the ferroelectric memory presented in this study (30). To confirm the reliability of FeTFT based on HfZrOx and InZnOx, we investigated the endurance properties using devices with differ-ent memory windows of 0.5 and 1.5 V. Memory windows of 0.5 and 1.5 V were obtained by applying triangular pulses (5 V, −7 V) and (6 V, −8 V), respectively (Fig. 1F and fig. S2). The pulse width of 500 ns and 1 s was used for program and erase operation, respectively. After consecutive program and erase pulses had been applied, the device states were confirmed by sweeping VG from 0 to −5 V. With a memory window of 0.5 V, FeTFT using ALD-based HfZrOx and InZnOx showed stable switching characteristics for 108 cycles. The robust endurance characteristics of ALD-based FeTFTs seem to originate from its metal-ferroelectric-semiconductor structure without interfacial layer (29). When a Si layer is used as a channel, SiOx inter-facial layer can be formed between the channel and ferroelectric layer, so ferroelectric transistors with a Si channel have a metal- ferroelectric-insulator-semiconductor (MFIS) structure (31, 43, 44). When VG is applied to the MFIS structure, much of the applied elec-tric field can be induced in SiOx interfacial layer because of its low dielectric constant compared to the ferroelectric layer. The high electric field in SiOx interfacial layer can cause charge tunneling across the SiOx interfacial layer and induce charge trapping in the ferroelectric layer; it can degrade endurance characteristics (29, 31). In our FeTFTs, the formation of an interfacial layer can be sup-pressed by using an oxide semiconductor channel; the absence of an interfacial layer yielded stable endurance characteristics. In addition, a comparison was made between this work and previous memory devices such as charge-trap memory, perovskite oxide–based ferro-electric transistors, and hafnia-based ferroelectric transistors (table S1) (12, 23, 29, 31, 45–51). The use of HfZrOx and InZnOx resulted in lower operation voltage, faster operation speed, and lower pro-cessing temperature compared to conventional charge-trap memory and perovskite oxide–based ferroelectric transistor. In addition, by avoiding the formation of the SiOx interfacial layer, robust endur-ance characteristics were achieved compared to charge-trap memory and ferroelectric transistors.

    Memory operation of integrated ferroelectric NANDThe structure of a ferroelectric NAND (FeNAND) flash memory array is analogous to that of NAND flash devices (fig. S3A). The

    difference is the type of memory cell; FeNAND uses ferroelectric transistors, whereas NAND flash uses conventional flash memory. In FeNAND, a page consists of ferroelectric transistor memory cells that share a word line (WL). An FeNAND string includes ferroelectric transistor memory cells connected in series. All of the FeNAND strings share a source line (SL). Each NAND string is connected to bit lines (BLs) (12, 52). We fabricated a 4 × 4 FeNAND array by in-tegrating FeTFTs with a ferroelectric HfZrOx layer and an InZnOx channel layer (fig. S3B). The fabrication process of FeNAND was CMOS compatible and could be done below 400°C. First, TiN was deposited for WLs on the SiO2/Si substrate, and HfZrOx layer was deposited for the ferroelectric layer. A Mo layer was deposited for BL/SL, and an InZnOx layer was deposited for the channel layer. ALD was used to deposit the HfZrOx and InZnOx layers. The an-nealing process to induce the ferroelectric phase in the HfZrOx layer was performed at 400°C after deposition of the InZnOx layer. The processing temperature of FeNAND is lower than that of ferroelec-tric devices based on perovskite oxides (>700°C) (12, 48, 49). Last, etching process was done to open the contacts for WLs. The fabri-cated 4 × 4 FeNAND array was composed of four WLs (WLn; n = 0, 1, 2, and 3) and four NAND strings (Fig. 2A). Each NAND string was connected to BLs (BLm; m = 0, 1, 2, and 3). All NAND strings were connected to the same SL. In this 4 × 4 FeNAND array, each of the 16 memory cells Cnm was positioned on the cross-point of WLn and NAND strings on BLm. This array was used to demonstrate program operation of FeNAND. In a NAND structure, an unwanted programming may occur in memory cells that share a WL with the selected memory cell during program operation; this phenomenon is called program disturbance (12, 52). To avoid program distur-bance, the program-inhibit operation method was used. As an ex-ample, memory cells of C20 and C21 were selected as programmed and program-inhibited cells, respectively. Before program operation, FeTFT memory cells in FeNAND array were erased by applying an erase pulse with an amplitude of VE = −5 V and a width of 10 ms to WL2, while 0 V was applied to the BLs and SL (Fig. 2B). Then, to program C20, a program pulse with an amplitude of VP = 5 V and a width of 10 ms was applied to the selected WL2, and 0 V was applied to the BL0. To inhibit the program of C21 that shared the WL2 with C20, program-inhibit pulses with an amplitude of Vinhibit = 2.5 V and a width of 30 ms were applied to BL1 and SL (Fig. 2C). Pass voltage with an amplitude of Vpass = 2.5 V and a width of 30 ms was applied to unselected WLs. Vpass can also disturb the states of the memory cell. Therefore, the effect of Vpass on pass disturbance was investi-gated by increasing the amplitude of Vpass from 0.5 to 4 V. In the range of Vpass > 3 V, pass disturbance occurred, so Vpass with an amplitude of 2.5 V was used for program-inhibit operation (fig. S4). After erase and program operations, the states of memory cells were confirmed by applying WL voltage VWL sweep (0 → −5 V) to the selected WL2. During these operations, only the memory cell C20 could be programmed by the difference in voltage between the gate and channel layer (53). Otherwise, the program could be inhibited by program-inhibit operation in the program-inhibited cell C21. While Vinhibit was applied to BL1 and SL, the channel potential could be increased; the difference in voltage between gate and channel could be decreased to VP − Vinhibit (52–54). The program of C21 was pre-vented by program-inhibit operation method using Vinhibit = 2.5 V because polarization switching of HfZrOx layer in C21 was hindered (Fig. 2D). These results indicated that the difference in voltage between gate and channel was small enough to avoid erroneous program of

    on June 6, 2021http://advances.sciencem

    ag.org/D

    ownloaded from

    http://advances.sciencemag.org/

  • Kim et al., Sci. Adv. 2021; 7 : eabe1341 13 January 2021

    S C I E N C E A D V A N C E S | R E S E A R C H A R T I C L E

    4 of 10

    C21. To investigate how program-inhibit pulses affect the program- inhibited cell, the program operation was performed using program-inhibit pulses with different amplitudes (fig. S5). The am-plitudes of program-inhibit pulses were increased from 0 to 2.5 V in increments of 0.5 V. The program-inhibit behaviors depended on the amplitudes of Vinhibit. At Vinhibit 

  • Kim et al., Sci. Adv. 2021; 7 : eabe1341 13 January 2021

    S C I E N C E A D V A N C E S | R E S E A R C H A R T I C L E

    5 of 10

    between the SiO2 layers as middle TFT (m-TFT). The effective channel area of the m-TFT was 10 m2 (channel length/width, 100 nm/100 m). The electrical characteristics of m-TFT were in-vestigated by applying VG sweep to the m-TFT gate electrode at VDS = 1 V, while applying Vpass of 1 V to the unselected gate (i.e., top and bottom TiN) electrodes (Fig. 4D). An n-type transfer character-istic with counterclockwise hysteresis was observed when VG sweep of −5 → 5 → −5 V was applied to the m-TFT gate electrode. The memory window of vertical FeTFT was about 2.5 V. This result im-plies that the FeTFTs can be operated in a vertically stacked struc-ture with a channel length of 100 nm. The switching characteristics of the m-TFT were verified by applying voltage pulses with different pulse widths and amplitudes (Fig. 4E). The required pulse width for program operation was decreased as the amplitude of voltage pulse increased. To confirm the reliability of m-TFT, the endurance properties were investigated by applying positive (6 V, 1 s) and negative (−7 V, 1 s) triangular pulses as program and erase pulses, respectively (Fig. 4F). After consecutive program and erase pulses had been applied, the device states were confirmed by sweeping VG from 0 to −3 V. m-TFT showed stable switching characteristics for 108 cycles. In addition, similar transfer characteristic with counter-clockwise hysteresis was observed in m-TFT device with the effec-tive channel area of 0.2 m2 (length/width, 20 nm/10 m). These results indicate that fabrication processes using our integration strategies are compatible with 3D structured devices with a large memory window and excellent endurance characteristics.

    We simulated the vertical FeTFT array using Technology Computer- Aided Design (TCAD) tool (Fig. 5A). Before FeTFT simulation, we first simulated P-E characteristics of a ferroelectric capacitor using 24-nm-thick HfZrOx and compared with experimental results (fig. S10) (55, 56). TiN was used for both top and bottom electrodes; saturation polarization, remnant polarization, coercive electric field, and Landau- Khalatnikov parameters of the HfZrOx were extracted from the exper-imental data (55, 57). The simulated P-E hysteresis was similar to the experimental result, which indicated that the ferroelectric character-istics could be properly simulated using the TCAD tool. The materials and their thicknesses were set by reference to the experimental results (Fig. 5B). Positive (5 V, 100 s) and negative (−5 V, 100 s) pulses were applied to the m-TFT gate electrode (i.e., selected cell) for program and erase operation while applying Vpass = 1 V to unselected gate electrodes. The polarization in HfZrOx layer was clearly changed after program and erase operation (Fig. 5, C and D). Last, VG sweep from −3.5 to 2 V was applied to fabricated and simulated vertical FeTFT arrays after program and erase operation (Fig. 5E). Experimental and simulated results showed similar program and erase characteristics. The results confirmed that the electrical characteristics and opera-tion of FeTFTs could be properly simulated using the TCAD tool.

    Device simulation of 3D FeNANDTo estimate the feasibility of FeTFTs based on HfZrOx and InZnOx in future 3D FeNAND, we simulated a single string that contained 16 WLs, a string select line, and a ground select line (Fig. 6A)

    Fig. 3. Memory operation of FeNAND. (A) IBL-VWL of 16 memory cells in programmed and erased states. (B) Statistical distribution of readout current Ireadout of 16 mem-ory cells in programmed (blue) and erased (red) states. (C) NAND operations: All programmed cells (case 1), one erased and all other programmed cells (case 2), and all erased cells (case 3). (D) Ireadout of NAND strings in cases 1, 2, and 3. Only the memory string that has all programmed cells shows the on state; when even one cell is erased, the off state is obtained.

    on June 6, 2021http://advances.sciencem

    ag.org/D

    ownloaded from

    http://advances.sciencemag.org/

  • Kim et al., Sci. Adv. 2021; 7 : eabe1341 13 January 2021

    S C I E N C E A D V A N C E S | R E S E A R C H A R T I C L E

    6 of 10

    (58, 59). The single string of 3D FeNAND was fabricated using the gate-last process, which is similar to terabit cell array transistors (fig. S11) (5, 60). First, nitride and oxide layers were sequentially deposited on the p-type (100) Si substrate in which n-well, p-well, and source were formed by implantation of phosphorous of 1013

    cm−3, boron of 1013 cm−3, and arsenic of 1016 cm−3, respectively. A channel hole with a radius of 80 nm was etched; a 10-nm-thick oxide semiconductor channel was deposited, and the channel hole was filled with SiO2 (i.e., filler material). Nitride layers were etched, and 24-nm-thick HfZrOx was deposited. Last, TiN WLs and Mo BLs

    10−10

    10−9

    I DS (

    A)

    VG (V)

    B

    A

    C

    FED

    100 102 104 106 108−3

    −2

    −1

    V th (

    V)

    Pulse cycles

    100 µm

    10−7 10−6 10−5 10−4 10−3−3

    −2

    −1

    0V t

    h (V)

    Pulse width (s)

    Pulse amplitude 4 V 5 V 6 V

    Fig. 4. Nanoscale vertical FeTFT array. (A) Fabrication process flow for vertical FeTFT array. (B) Optical image of the vertical FeTFT device array. S and D stand for source and drain, respectively. (C) Cross-sectional scanning electron microscope image (false colors) of the vertical FeTFT array. (D) Transfer curve of m-TFT with counterclock-wise hysteresis. For characterization, VG sweep is applied to m-TFT gate electrode, while Vpass = 1 V is applied to unselected gate electrodes. (E) Vth change of m-TFT device according to program pulse amplitudes and widths. (F) Endurance characteristics of m-TFT device for 108 cycles using positive (6 V, 1 s) and negative (−7 V, 1 s) trian-gular pulses for program and erase operations, respectively.

    –3 –2 –1 0 1 2

    10–9

    2 × 10–9

    I DS (

    A)

    VG (V)

    Experiment (PGM) Experiment (ERS) Simulation (PGM) Simulation (ERS)

    A

    DC E

    B Material Thickness

    SiO insulatorm-TFT gate TiN

    Ferroelectric HfZrOxInZnOx channel

    Fig. 5. Device simulation of vertical FeTFT array. (A) Device structure of simulated vertical FeTFT array. (B) Materials and their thicknesses used for simulation. (C) Mag-nified image of the vertical FeTFT array. (D) Simulated polarization in HfZrOx layer at programmed and erased states. For program and erase operations, voltage pulses (5 V, 100 s) and (−5 V, 100 s) are applied to the m-TFT gate, respectively. Polarization in the HfZrOx layer is clearly changed after program and erase operations. (E) Ex-perimental and simulated IDS-VG curves of the m-TFT at programmed and erased state.

    on June 6, 2021http://advances.sciencem

    ag.org/D

    ownloaded from

    http://advances.sciencemag.org/

  • Kim et al., Sci. Adv. 2021; 7 : eabe1341 13 January 2021

    S C I E N C E A D V A N C E S | R E S E A R C H A R T I C L E

    7 of 10

    were deposited. In our suggested 3D FeNAND, 30-nm-thick TiN, 24-nm-thick HfZrOx, 10-nm-thick InZnOx, and Mo were used as WL, ferroelectric gate insulator, oxide semiconductor channel, and BL, respectively, and the thickness of the SiO2 spacer between adja-cent WLs was 30 nm. To observe the operation characteristics of the 3D FeNAND, block-erase and program operations were performed (Fig.  6,  B  and  C). First, all WLs were block-erased by applying a voltage pulse (10 V, 10 s) to the substrate. Then, WL11 and WL13 cells were sequentially programmed by applying a voltage pulse (4 V, 1 s) to the selected WLs. After programming WL11 and WL13 cells, WL12 cell was programmed using the same method. The po-larization in the HfZrOx layer was clearly changed after block-erase and program operations. In addition, the polarization state in WL12 was not changed after programming WL11 and WL13; this result in-dicated that adjacent cells caused no noticeable disturbance. Last, VWL sweep from −3 to 1 V was done to WL12 after block-erase and program operations (Fig. 6D). The IBL-VWL characteristics of WL12 were not changed after programming adjacent cells (i.e., WL11 and WL13), and it was clearly switched to programmed state after pro-gramming WL12. In addition, 3D FeNAND with a higher number of stacked cells was simulated using TCAD tool. The number of stacked cells was 32, 64, and 128 (fig. S12). The electrical character-istics of 3D FeNAND were characterized using the same program and block-erase operation method discussed above. All 3D FeNANDs were successfully programmed and block-erased using above volt-age pulses, and the memory window was not significantly affected by the number of stacked cells, which confirmed that the 3D FeNAND could be operated with highly stacked structures. These

    results indicated that the suggested 3D FeNAND composed of FeTFTs with low power consumption and fast operation speed could replace 3D NAND flash memory.

    DISCUSSIONWe demonstrated a combination of ferroelectric HfZrOx and InZnOx oxide semiconductor channel as unique integration strategies to solve the key issues in ferroelectric memory transistors. The devices were fabricated using CMOS-compatible processes at low process-ing temperature (400°C) and showed fast operation speed (

  • Kim et al., Sci. Adv. 2021; 7 : eabe1341 13 January 2021

    S C I E N C E A D V A N C E S | R E S E A R C H A R T I C L E

    8 of 10

    TiN gate electrode and SiO2 insulating layer to investigate its feasi-bility for 3D FeNAND. We verified that the FeTFTs could be operated in the vertical structure, and operation mechanism was confirmed using the device simulation. Last, the possibility of ultrahigh- density 3D memory integration was confirmed by simulating program and block-erase operation in 3D FeNAND cells. These results suggest that ALD-based FeTFTs have the potential in future high-density 3D memory applications.

    MATERIALS AND METHODSMaterialsHf[N(C2H5)CH3]4 [tetrakis(ethylmethylamido)hafnium (TEMAH)] and Zr[N(C2H5)CH3]4 [tetrakis(ethylmethylamido)zirconium (TEMAZ)] were purchased from UP Chemical, Korea. C10H28NSi2In4 [bis(trimethysilyl)amidodiethyl indium (INCA-1)] and Zn(C2H5)2 [diethylzinc (DEZ)] were purchased from iChems, Korea. Si wafers with 100-nm-thick thermally grown SiO2 were used as substrates.

    Device fabricationThe devices were fabricated on the SiO2/Si substrate. The photo-lithography was done using a mask aligner (MA6, Suss MicroTec). An FeNAND array was fabricated by integrating FeTFTs. First, DC sputtering was used to deposit TiN on the SiO2/Si substrate as the gate electrode for FeTFT and as WLs for FeNAND. The TiN layer was patterned using a lift-off method. Then, 24-nm-thick HfZrOx film was deposited on the TiN/SiO2/Si by alternating ALD cycles of HfO2:ZrO2 at 280°C, using TEMAH, TEMAZ, and O3 as the Hf pre-cursor, Zr precursor, and oxygen source, respectively. Electron beam evaporation was used to deposit Mo as source/drain electrodes for FeTFTs and as SL/BLs for FeNAND. The Mo layer was patterned using a lift-off method. A layer of 20-nm-thick InZnOx film was deposited at 150°C using INCA-1, DEZ, and O3 as an indium pre-cursor, zinc precursor, and oxygen source, respectively. The InZnOx layer was patterned using a combination of lithography and wet etching. The channel length and width were 10 and 50 m, respec-tively. Then, the devices were thermally annealed for 10  min at 400°C under N2 environment. Etching process was done to open the contacts for WLs. For the vertical FeTFT array, 100-nm-thick TiN and 50-nm-thick SiO2 layers were sequentially deposited using sputtering and plasma-enhanced chemical vapor deposition, re-spectively. TiN/SiO2/TiN/SiO2/TiN layers were etched by dry etch (NE-7800, ULVAC) using sulfur hexafluoride (SF6) plasma. The 24-nm-thick HfZrOx layer, 20-nm-thick InZnOx channel layer, and Mo source/drain electrodes were deposited using the same method described above.

    CharacterizationsAll electrical properties were measured under ambient conditions and at room temperature. The electrical characteristics were obtained using a semiconductor parameter analyzer (4200A-SCS, Keithley Instruments). The ferroelectric characteristics were measured after applying 103 cycles of rectangular bipolar pulses (±7.2 V, 5 s) for the wake-up of HfZrOx (fig. S1). The P-E curves were measured using a pulse measurement unit (4225-PMU, Keithley Instruments). PFM images were obtained using a scanning probe microscopy system (NX10, Park Systems). For PFM measurement, a cantilever that had a Pt-coated conductive tip was used to apply voltages to the sample, and the bottom electrode was grounded. An area of 2.5 m by 2.5 m

    (outer square regions) was poled by application of −6 V at a scan rate of 0.5 Hz; then, 1.5 m by 1.5 m (inner square regions) was scanned by application of 6 V at a scan rate of 0.5 Hz. After that, the reading process was performed over an area of 3 m by 3 m to verify the polarization states. The C-V curve was measured using an impedance analyzer (4194A, HP). Optical images of the devices were captured using an optical microscope (LV100ND, Nikon). The cross-sectional images of the devices were obtained using a high-resolution field-emission scanning electron microscope (JSM-7800F PRIME, JEOL). Simulations were conducted using Sentaurus TCAD (Synopsys Inc.) software.

    SUPPLEMENTARY MATERIALSSupplementary material for this article is available at http://advances.sciencemag.org/cgi/content/full/7/3/eabe1341/DC1

    REFERENCES AND NOTES 1. S. J. Whang, K. H. Lee, D. G. Shin, B. Y. Kim, M. S. Kim, J. H. Bin, J. H. Han, S. J. Kim, B. M. Lee,

    Y. K. Jung, S. Y. Cho, C. H. Shin, H. S. Yoo, S. M. Choi, K. Hong, S. Aritome, S. K. Park, S. J. Hong, Novel 3-dimensional dual control-gate with surrounding floating-gate (DC-SF) NAND flash cell for 1 Tb file storage application, in 2010 IEEE International Electron Devices Meeting (IEDM) (IEEE, 2010), pp. 29.7.1-29.7.4.

    2. C. Kim, D. Kim, W. Jeong, H. Kim, I. H. Park, H. Park, J. Lee, J. Park, Y. Ahn, J. Y. Lee, S. Kim, H. Yoon, J. D. Yu, N. Choi, N. Kim, H. Jang, J. Park, S. Song, Y. Park, J. Bang, S. Hong, Y. Choi, M. Kim, H. Kim, P. Kwak, J. Ihm, D. S. Byeon, J. Lee, K. Park, K. Kyung, A 512-Gb 3-b/Cell 64-stacked WL 3-D-NAND flash memory. IEEE J. Solid-State Circuits 53, 124–133 (2018).

    3. C.-H. Lee, S.-H. Hur, Y.-C. Shin, J.-H. Choi, D.-G. Park, K. Kim, Charge-trapping device structure of SiO2∕SiN∕high-k dielectric Al2O3 for high-density flash memory. Appl. Phys. Lett. 86, 152908 (2005).

    4. W. He, J. Pu, D. S. H. Chan, B. J. Cho, Performance improvement in charge-trap flash memory using lanthanum-based high- blocking oxide. IEEE Trans. Electron Devices 56, 2746–2751 (2009).

    5. J. Jang, H.-S. Kim, W. Cho, H. Cho, J. Kim, S. I. Shim, Y. Jang, J. Jeong, B. Son, D. W. Kim, K. Kim, J. Shim, J. S. Lim, K. Kim, S. Y. Yi, J. Lim, D. Chung, H.-C. Moon, S. Hwang, J.-W. Lee, Y.-H. Son, U. Chung, W.-S. Lee, Vertical cell array using TCAT (Terabit Cell Array Transistor) technology for ultra high density NAND flash memory, in 2009 IEEE Symposium on VLSI Technology (VLSIT) (IEEE, 2009), pp. 192–193.

    6. S. Spiga, F. Driussi, A. Lamperti, G. Congedo, O. Salicio, Effects of thermal treatments on the trapping properties of HfO2 films for charge trap memories. Appl. Phys. Express 5, 021102 (2012).

    7. S. B. Hong, J. H. Park, T. H. Lee, J. H. Lim, C. Shin, Y. W. Park, T. G. Kim, Variation of poly-Si grain structures under thermal annealing and its effect on the performance of TiN/Al2O3/Si3N4/SiO2/poly-Si capacitors. Appl. Surf. Sci. 477, 104–110 (2019).

    8. J. S. Meena, S. M. Sze, U. Chand, T.-Y. Tseng, Overview of emerging nonvolatile memory technologies. Nanoscale Res. Lett. 9, 526 (2014).

    9. F. Pan, S. Gao, C. Chen, C. Song, F. Zeng, Recent progress in resistive random access memories: Materials, switching mechanisms, and performance. Mater. Sci. Eng. R Rep. 83, 1–59 (2014).

    10. S. Yu, P. Chen, Emerging memory technologies: Recent trends and prospects. IEEE Solid-State Circuits Mag. 8, 43–56 (2016).

    11. X. Zhang, K. Miyaji, M. Takahashi, K. Takeuchi, S. Sakai, 0.5V bit-line-voltage self-boost-programming in ferroelectric-NAND flash memory, in 2011 IEEE International Memory Workshop (IMW) (IEEE, 2011), pp. 1–4.

    12. X. Zhang, M. Takahashi, K. Takeuchi, S. Sakai, 64 kbit ferroelectric-gate-transistor-integrated NAND flash memory with 7.5 V program and long data retention. Jpn. J. Appl. Phys. 51, 04DD01 (2012).

    13. Y. Kaneko, Y. Nishitani, H. Tanaka, M. Ueda, Y. Kato, E. Tokumitsu, E. Fujii, Correlated motion dynamics of electron channels and domain walls in a ferroelectric-gate thin-film transistor consisting of a ZnO/Pb(Zr,Ti)O3 stacked structure. J. Appl. Phys. 110, 084106 (2011).

    14. K. Takahashi, K. Aizawa, B.-E. Park, H. Ishiwara, Thirty-day-long data retention in ferroelectric-gate field-effect transistors with HfO2 buffer layers. Jpn. J. Appl. Phys. 44, 6218–6220 (2005).

    15. T. Fukushima, T. Yoshimura, K. Masuko, K. Maeda, A. Ashida, N. Fujimura, Electrical characteristics of controlled-polarization-type ferroelectric-gate field-effect transistor. Jpn. J. Appl. Phys. 47, 8874–8879 (2008).

    16. T. Horiuchi, M. Takahashi, K. Ohhashi, S. Sakai, Memory window widening of Pt/SrBi2Ta2O9/HfO2/Si ferroelectric-gate field-effect transistors by nitriding Si. Semicond. Sci. Technol. 24, 105026 (2009).

    on June 6, 2021http://advances.sciencem

    ag.org/D

    ownloaded from

    http://advances.sciencemag.org/cgi/content/full/7/3/eabe1341/DC1http://advances.sciencemag.org/cgi/content/full/7/3/eabe1341/DC1http://advances.sciencemag.org/

  • Kim et al., Sci. Adv. 2021; 7 : eabe1341 13 January 2021

    S C I E N C E A D V A N C E S | R E S E A R C H A R T I C L E

    9 of 10

    17. M. T. Do, N. Gauquelin, M. D. Nguyen, J. Wang, J. Verbeeck, F. Blom, G. Koster, E. P. Houwman, G. Rijnders, Interfacial dielectric layer as an origin of polarization fatigue in ferroelectric capacitors. Sci. Rep. 10, 7310 (2020).

    18. E. Yurchuk, J. Müller, J. Paul, T. Schlösser, D. Martin, R. Hoffmann, S. Müeller, S. Slesazeck, U. Schröeder, R. Boschke, R. V. Bentum, T. Mikolajick, Impact of scaling on the performance of HfO2-based ferroelectric field effect transistors. IEEE Trans. Electron Devices 61, 3699–3706 (2014).

    19. S. J. Kim, J. Mohan, S. R. Summerfelt, J. Kim, Ferroelectric Hf0.5Zr0.5O2 thin films: A review of recent advances. JOM 71, 246–255 (2019).

    20. S. S. Cheema, D. Kwon, N. Shanker, R. dos Reis, S.-L. Hsu, J. Xiao, H. Zhang, R. Wagner, A. Datar, M. R. McCarter, C. R. Serrao, A. K. Yadav, G. Karbasian, C.-H. Hsu, A. J. Tan, L.-C. Wang, V. Thakare, X. Zhang, A. Mehta, E. Karapetrova, R. V. Chopdekar, P. Shafer, E. Arenholz, C. Hu, R. Proksch, R. Ramesh, J. Ciston, S. Salahuddin, Enhanced ferroelectricity in ultrathin films grown directly on silicon. Nature 580, 478–482 (2020).

    21. Y. Li, R. Liang, J. Wang, Y. Zhang, H. Tian, H. Liu, S. Li, W. Mao, Y. Pang, Y. Li, Y. Yang, T. L. Ren, A ferroelectric thin film transistor based on annealing-free HfZrO film. IEEE J. Electron Devices Soc. 5, 378–383 (2017).

    22. F. Mo, Y. Tagawa, C. Jin, M. Ahn, T. Saraya, T. Hiramoto, M. Kobayashi, Experimental demonstration of ferroelectric HfO2 FET with ultrathin-body IGZO for high-density and low-power memory application, in 2019 IEEE Symposium on VLSI Technology (VLSIT) (IEEE, 2019), pp. T42-T43.

    23. K. Chatterjee, S. Kim, G. Karbasian, D. Kwon, A. J. Tan, A. K. Yadav, C. R. Serrao, C. Hu, S. Salahuddin, Challenges to partial switching of Hf0.8Zr0.2O2 gated ferroelectric FET for multilevel/analog or low-voltage memory operation. IEEE Electron Device Lett. 40, 1423–1426 (2019).

    24. D. Kwon, S. Cheema, N. Shanker, K. Chatterjee, Y. Liao, A. J. Tan, C. Hu, S. Salahuddin, Negative capacitance FET with 1.8-nm-thick Zr-doped HfO2 oxide. IEEE Electron Device Lett. 40, 993–996 (2019).

    25. Y. Goh, S. Jeon, Enhanced tunneling electroresistance effects in HfZrO-based ferroelectric tunnel junctions by high-pressure nitrogen annealing. Appl. Phys. Lett. 113, 052905 (2018).

    26. M.-K. Kim, J.-S. Lee, Ferroelectric analog synaptic transistors. Nano Lett. 19, 2044–2050 (2019).

    27. K. Florent, S. Lavizzari, L. D. Piazza, M. Popovici, E. Vecchio, G. Potoms, G. Groeseneken, J. V. Houdt, First demonstration of vertically stacked ferroelectric Al doped HfO2 devices for NAND applications, in 2017 IEEE Symposium on VLSI Technology (VLSIT) (IEEE, 2017), pp. T158-T159.

    28. K. Florent, M. Pesic, A. Subirats, K. Banerjee, S. Lavizzari, A. Arreghini, L. D. Piazza, G. Potoms, F. Sebaai, S. R. C. McMitchell, M. Popovici, G. Groeseneken, J. V. Houdt, Vertical ferroelectric HfO2 FET based on 3-D NAND architecture: Towards dense low-power memory, in 2018 IEEE International Electron Devices Meeting (IEDM) (IEEE, 2018), pp. 2.5.1–2.5.4.

    29. K. Ni, P. Sharma, J. Zhang, M. Jerry, J. A. Smith, K. Tapily, R. Clark, S. Mahapatra, S. Datta, Critical role of interlayer in Hf0.5Zr0.5O2 ferroelectric FET nonvolatile memory performance. IEEE Trans. Electron Devices 65, 2461–2469 (2018).

    30. H. Tanaka, M. Kido, K. Yahashi, M. Oomura, R. Katsumata, M. Kito, Y. Fukuzumi, M. Sato, Y. Nagata, Y. Matsuoka, Y. Iwata, H. Aochi, A. Nitayama, Bit cost scalable technology with punch and plug process for ultra high density flash memory, in 2007 IEEE Symposium on VLSI Technology (VLSIT) (IEEE, 2007), pp. 14–15.

    31. T. Ali, P. Polakowski, S. Riedel, T. Büttner, T. Kämpfe, M. Rudolph, B. Pätzold, K. Seidel, D. Löhr, R. Hoffmann, M. Czernohorsky, K. Kühnel, P. Steinke, J. Calvo, K. Zimmermann, J. Müller, High endurance ferroelectric hafnium oxide-based FeFET memory without retention penalty. IEEE Trans. Electron Devices 65, 3769–3774 (2018).

    32. M. V. Fischetti, D. A. Neumayer, E. A. Cartier, Effective electron mobility in Si inversion layers in metal–oxide–semiconductor systems with a high- insulator: The role of remote phonon scattering. J. Appl. Phys. 90, 4587–4608 (2001).

    33. R. Shah, M. M. D. Souza, Impact of a nonideal metal gate on surface optical phonon-limited mobility in high- gated MOSFETs. IEEE Trans. Electron Devices 54, 2991–2997 (2007).

    34. C. Y. Han, Y. X. Ma, W. M. Tang, X. L. Wang, P. T. Lai, A study on pentacene organic thin-film transistor with different gate materials on various substrates. IEEE Electron Device Lett. 38, 744–747 (2017).

    35. J. Sheng, H.-J. Lee, S. Oh, J.-S. Park, Flexible and high-performance amorphous indium zinc oxide thin-film transistor using low-temperature atomic layer deposition. ACS Appl. Mater. Interfaces 8, 33821–33828 (2016).

    36. A. Ortiz-Conde, F. J. García-Sánchez, J. J. Liou, A. Cerdeira, M. Estrada, Y. Yue, A review of recent MOSFET threshold voltage extraction methods. Microelectron. Reliab. 42, 583–596 (2002).

    37. C. Lee, J. Choi, C. Kang, Y. Shin, J. Lee, J. Sel, J. Sim, S. Jeon, B. Choe, D. Bae, K. Park, K. Kim, Multi-level NAND flash memory with 63 nm-Node TANOS (Si-Oxide-SiN-Al2O3-TaN) cell structure, in 2006 IEEE Symposium on VLSI Technology (VLSIT), 2006. Digest of Technical Papers (IEEE, 2006), pp. 21–22.

    38. R. Katsumata, M. Kito, Y. Fukuzumi, M. Kido, H. Tanaka, Y. Komori, M. Ishiduki, J. Matsunami, T. Fujiwara, Y. Nagata, L. Zhang, Y. Iwata, R. Kirisawa, H. Aochi, A. Nitayama, Pipe-shape BiCS flash memory with 16 stacked layers and multi-level-cell operation for ultra high density storage devices, in 2009 IEEE Symposium on VLSI Technology (VLSIT) (IEEE, 2009), pp. 136–137.

    39. Y. Nishitani, Y. Kaneko, M. Ueda, T. Morie, E. Fujii, Three-terminal ferroelectric synapse device with concurrent learning function for artificial neural networks. J. Appl. Phys. 111, 124108 (2012).

    40. Y. Nishitani, Y. Kaneko, M. Ueda, E. Fujii, A. Tsujimura, Dynamic observation of brain-like learning in a ferroelectric synapse device. Jpn. J. Appl. Phys. 52, 04CE06 (2013).

    41. Y. Komori, M. Kido, M. Kito, R. Katsumata, Y. Fukuzumi, H. Tanaka, Y. Nagata, M. Ishiduki, H. Aochi, A. Nitayama, Disturbless flash memory due to high boost efficiency on BiCS structure and optimal memory film stack for ultra high density storage device, in 2008 IEEE International Electron Devices Meeting (IEDM) (IEEE, 2008), pp. 1–4.

    42. S. Wang, H. Lue, T. Hsu, P. Du, S. Lai, Y. Hsiao, S. Hong, M. Wu, F. Hsu, N. Lian, C. Lu, J. Hsieh, L. Yang, T. Yang, K. Chen, K. Hsieh, C. Lu, A high-endurance (>100k) BE-SONOS NAND flash with a robust nitrided tunnel oxide/Si interface, in 2010 IEEE International Reliability Physics Symposium (IRPS) (IEEE, 2010), pp. 951–955.

    43. K. Florent, S. Lavizzari, M. Popovici, L. D. Piazza, U. Celano, G. Groeseneken, J. V. Houdt, Understanding ferroelectric Al:HfO2 thin films with Si-based electrodes for 3D applications. J. Appl. Phys. 121, 204103 (2017).

    44. M. H. Lee, C. Y. Kuo, C.-H. Tang, H.-H. Chen, C.-Y. Liao, R.-C. Hong, S.-S. Gu, Y.-C. Chou, Z.-Y. Wang, S.-Y. Chen, P. Chen, M. Liao, K. Li, Ferroelectric characteristics of ultra-thin Hf1-xZrxO2 gate stack and 1T memory operation applications, in 2018 IEEE Electron Devices Technology and Manufacturing Conference (EDTM) (IEEE, 2018), pp. 271–273.

    45. Y. Q. Wang, W. S. Hwang, G. Zhang, G. Samudra, Y. Yeo, W. J. Yoo, Electrical characteristics of memory devices with a high-k HfO2 trapping layer and dual SiO2/Si3N4 tunneling layer. IEEE Trans. Electron Devices 54, 2699–2705 (2007).

    46. G. V. d. Bosch, L. Breuil, A. Cacciato, A. Rothschild, M. Jurczak, J. V. Houdt, Investigation of window instability in program/erase cycling of TANOS NAND flash memory, in 2009 IEEE International Memory Workshop (IMW) (IEEE, 2009), pp. 1–2.

    47. B. Tsui, J. Lai, A study on poly-Si thin-film transistor (TFT) SONOS memory cells with source/drain engineering, in 2011 Proceedings of the European Solid-State Device Research Conference (ESSDERC) (IEEE, 2011), pp. 199–202.

    48. K. Yan, M. Takahashi, S. Sakai, Electrical properties of ferroelectric-gate FETs with SrBi2Ta2O9 formed using MOCVD technique. Appl. Phys. A 108, 835–842 (2012).

    49. W. Zhang, M. Takahashi, Y. Sasaki, M. Kusuhara, S. Sakai, 3.3 V write-voltage Ir/Ca0.2Sr0.8Bi2Ta2O9/HfO2/Si ferroelectric-gate field-effect transistors with 109 endurance and good retention. Jpn. J. Appl. Phys. 56, 04CE04 (2017).

    50. J. Müller, E. Yurchuk, T. Schlösser, J. Paul, R. Hoffmann, S. Müller, D. Martin, S. Slesazeck, P. Polakowski, J. Sundqvist, M. Czernohorsky, K. Seidel, P. Kücher, R. Boschke, M. Trentzsch, K. Gebauer, U. Schröder, T. Mikolajick, Ferroelectricity in HfO2 enables nonvolatile data storage in 28 nm HKMG, in 2012 IEEE Symposium on VLSI Technology (VLSIT) (IEEE, 2012), pp. 25–26.

    51. T. Ali, P. Polakowski, K. Kühnel, M. Czernohorsky, T. Kämpfe, M. Rudolph, B. Pätzold, D. Lehninger, F. Müller, R. Olivo, M. Lederer, R. Hoffmann, P. Steinke, K. Zimmermann, U. Mühle, K. Seidel, J. Müller, A multilevel FeFET memory device based on laminated HSO and HZO ferroelectric layers for high-density storage, in 2019 IEEE International Electron Devices Meeting (IEDM) (IEEE, 2019), pp. 28.27.21–28.27.24.

    52. S. Wang, M. Takahashi, Q.-H. Li, K. Takeuchi, S. Sakai, Operational method of a ferroelectric (Fe)-NAND flash memory array. Semicond. Sci. Technol. 24, 105029 (2009).

    53. P. Wang, Z. Wang, W. Shim, J. Hur, S. Datta, A. I. Khan, S. Yu, Drain–erase scheme in ferroelectric field-effect transistor—Part I: Device characterization. IEEE Trans. Electron Devices 67, 955–961 (2020).

    54. T. Hatanaka, M. Takahashi, S. Sakai, K. Takeuchi, A zero VTH meory cell ferroelectric-NAND flash memory with 32% read disturb, 24% program distrub, 10% data retention improvement for enterprise SSD, in 2009 Proceedings of the European Solid State Device Research Conference (ESSDERC) (IEEE, 2009), pp. 225–228.

    55. K. Jang, N. Ueyama, M. Kobayashi, T. Hiramoto, Experimental observation and simulation model for transient characteristics of negative-capacitance in ferroelectric HfZrO2 capacitor. IEEE J. Electron Devices Soc. 6, 346–353 (2018).

    56. S. K. Thirumala, S. K. Gupta, Reconfigurable ferroelectric transistor—Part I: Device design and operation. IEEE Trans. Electron Devices 66, 2771–2779 (2019).

    57. M. Kobayashi, N. Ueyama, K. Jang, T. Hiramoto, Experimental study on polarization-limited operation speed of negative capacitance FET with ferroelectric HfO2, in 2016 IEEE International Electron Devices Meeting (IEDM) (2016), pp. 12.13.11–12.13.14.

    58. A. Saeidi, A. Biswas, A. M. Ionescu, Modeling and simulation of low power ferroelectric non-volatile memory tunnel field effect transistors using silicon-doped hafnium oxide as gate dielectric. Solid-State Electron. 124, 16–23 (2016).

    59. H. Mehta, H. Kaur, Modeling and simulation study of novel Double Gate Ferroelectric Junctionless (DGFJL) transistor. Superlattices Microstruct. 97, 536–547 (2016).

    on June 6, 2021http://advances.sciencem

    ag.org/D

    ownloaded from

    http://advances.sciencemag.org/

  • Kim et al., Sci. Adv. 2021; 7 : eabe1341 13 January 2021

    S C I E N C E A D V A N C E S | R E S E A R C H A R T I C L E

    10 of 10

    60. W. Cho, S. I. Shim, J. Jang, H. Cho, B. You, B. Son, K. Kim, J. Shim, C. Park, J. Lim, K. Kim, D. Chung, J. Lim, H. Moon, S. Hwang, H. Lim, H. Kim, J. Choi, C. Chung, Highly reliable vertical NAND technology with biconcave shaped storage layer and leakage controllable offset structure, in 2010 IEEE Symposium on VLSI Technology (VLSIT) (IEEE, 2010), pp. 173–174.

    Acknowledgments Funding: This work was supported by Samsung Research Funding and Incubation Center of Samsung Electronics under project no. SRFC-TA1903-05. The Sentaurus TCAD simulator was provided by the Electronic Design Automation (EDA) tool program of IC Design Education Center (IDEC) in Korea. Author contributions: J.-S.L. conceived and directed the research. J.-S.L., M.-K.K., and I.-J.K. designed and planned the experiment, analyzed the data, and wrote the manuscript. M.-K.K. and I.-J.K. performed the experiment and acquired the data.

    Competing interests: The authors declare that they have no competing interests. Data and materials availability: All data needed to evaluate the conclusions in the paper are present in the paper and/or the Supplementary Materials. Additional data related to this paper may be requested from the authors.

    Submitted 2 August 2020Accepted 20 November 2020Published 13 January 202110.1126/sciadv.abe1341

    Citation: M.-K. Kim, I.-J. Kim, J.-S. Lee, CMOS-compatible ferroelectric NAND flash memory for high-density, low-power, and high-speed three-dimensional memory. Sci. Adv. 7, eabe1341 (2021).

    on June 6, 2021http://advances.sciencem

    ag.org/D

    ownloaded from

    http://advances.sciencemag.org/

  • high-speed three-dimensional memoryCMOS-compatible ferroelectric NAND flash memory for high-density, low-power, and

    Min-Kyu Kim, Ik-Jyae Kim and Jang-Sik Lee

    DOI: 10.1126/sciadv.abe1341 (3), eabe1341.7Sci Adv

    ARTICLE TOOLS http://advances.sciencemag.org/content/7/3/eabe1341

    MATERIALSSUPPLEMENTARY http://advances.sciencemag.org/content/suppl/2021/01/11/7.3.eabe1341.DC1

    REFERENCES

    http://advances.sciencemag.org/content/7/3/eabe1341#BIBLThis article cites 41 articles, 0 of which you can access for free

    PERMISSIONS http://www.sciencemag.org/help/reprints-and-permissions

    Terms of ServiceUse of this article is subject to the

    is a registered trademark of AAAS.Science AdvancesYork Avenue NW, Washington, DC 20005. The title (ISSN 2375-2548) is published by the American Association for the Advancement of Science, 1200 NewScience Advances

    License 4.0 (CC BY-NC).Science. No claim to original U.S. Government Works. Distributed under a Creative Commons Attribution NonCommercial Copyright © 2021 The Authors, some rights reserved; exclusive licensee American Association for the Advancement of

    on June 6, 2021http://advances.sciencem

    ag.org/D

    ownloaded from

    http://advances.sciencemag.org/content/7/3/eabe1341http://advances.sciencemag.org/content/suppl/2021/01/11/7.3.eabe1341.DC1http://advances.sciencemag.org/content/7/3/eabe1341#BIBLhttp://www.sciencemag.org/help/reprints-and-permissionshttp://www.sciencemag.org/about/terms-servicehttp://advances.sciencemag.org/