manejo plc abb

36
AUTOMATIZACIÓN INDUSTRIAL UNIVERSIDAD DISTRITAL Elaboró: HUMBERTO GUTIÉRREZ R. FRANCISCO JOSÉ DE CALDAS 1 MANEJO PLC ABB LENGUAJE LADDER (LD) Listado de instrucciones En Language of the POU, selecciona LADDER (LD) Luego de picar en OK aparece la siguiente ventana: FIG4 Allí se distinguen dos zonas: la zona de declaración en donde se colocan todas las variables. La zona de ejecución en donde va el circuito. Los bloques de temporizadores tienen un Terminal indicado como ET, igual los contadores tienen un terminal marcado como CV. En estos terminales no se conecta físicamente nada, pero al incluirlos en el programa y al simular, se observa cómo cambia el tiempo o el valor de conteo de los contadores. Entonces con estos terminales adicionales, permite ver en tiempo real la evolución del programa cuando está simulando. Para su utilización, se declaran unas variables; para los temporizadores la variable es de tipo TIME y para los contadores la variable es tipo INT. En el siguiente programa, en la zona de declaración se incluyen estas variables con el nombre VER para los temporizadores (VER1:TIME, etc) y CONTROL para el contador (CONTROL:INT) _____________________________________________________________________

Upload: john-william

Post on 18-Nov-2015

41 views

Category:

Documents


0 download

DESCRIPTION

PLC ABB

TRANSCRIPT

  • AUTOMATIZACIN INDUSTRIAL UNIVERSIDAD DISTRITAL Elabor: HUMBERTO GUTIRREZ R. FRANCISCO JOS DE CALDAS

    1

    MANEJO PLC ABB

    LENGUAJE LADDER (LD) Listado de instrucciones En Language of the POU, selecciona LADDER (LD)

    Luego de picar en OK aparece la siguiente ventana:

    FIG4

    All se distinguen dos zonas: la zona de declaracin en donde se colocan todas las variables. La zona de ejecucin en donde va el circuito.

    Los bloques de temporizadores tienen un Terminal indicado como ET, igual los contadores tienen un terminal marcado como CV. En estos terminales no se conecta fsicamente nada, pero al incluirlos en el programa y al simular, se observa cmo cambia el tiempo o el valor de conteo de los contadores. Entonces con estos terminales adicionales, permite ver en tiempo real la evolucin del programa cuando est simulando.

    Para su utilizacin, se declaran unas variables; para los temporizadores la variable es de tipo TIME y para los contadores la variable es tipo INT. En el siguiente programa, en la zona de declaracin se incluyen estas variables con el nombre VER para los temporizadores (VER1:TIME, etc) y CONTROL para el contador (CONTROL:INT)

    _____________________________________________________________________

  • AUTOMATIZACIN INDUSTRIAL UNIVERSIDAD DISTRITAL Elabor: HUMBERTO GUTIRREZ R. FRANCISCO JOS DE CALDAS

    2

    EJEMPLO:

    Disear el ladder y convertir a listado de instrucciones la siguiente carta de proceso, de la FIG21 (PROCESO_FIFO):

    FIG21

    El proceso tiene un pulsador de inicio, parada de emergencia y contador de lote para un nmero n_productos.

    El circuito elctrico desarrollado en AUTOMATION STUDIO (5.0) se presenta en la sigui-ente figura (FIG22):

    FIG22

    Los tiempos se han asignado arbitrariamente y el nmero de productos se fij en tres.

    Desarrollando el ladder en PLC ABB, se obtiene la siguiente imagen de las variables declaradas (FIG23); como se observa, se han separado las variables distinguiendo las variables de entrada, salida y las variables internas (temporizadores y contador). Se han adicionado variables que muestran la evolucin de los tiempos y conteo.

    EM ERGENCIA

    INICIOKM 1

    T3

    KM 1

    KM 1 KM 3

    T5

    T1T2

    T3

    T4

    T1

    KM 2

    T2

    KM 3

    KM 3

    T4 T5

    KM 3

    CT U

    3 0

    CONTADOR_LOTE

    EM ERGENCIA

    KM 1 KM 2 KM 3 LOTE

    H2 H3 H4 H1

    LOTE

    LOTE

  • AUTOMATIZACIN INDUSTRIAL UNIVERSIDAD DISTRITAL Elabor: HUMBERTO GUTIRREZ R. FRANCISCO JOS DE CALDAS

    3

    FIG23

    FIG24

  • AUTOMATIZACIN INDUSTRIAL UNIVERSIDAD DISTRITAL Elabor: HUMBERTO GUTIRREZ R. FRANCISCO JOS DE CALDAS

    4

    El programa desarrollado en listado de instrucciones

    FIG25

    FIG26

  • AUTOMATIZACIN INDUSTRIAL UNIVERSIDAD DISTRITAL Elabor: HUMBERTO GUTIRREZ R. FRANCISCO JOS DE CALDAS

    5

    LENGUAJE GRAFCET

    Es el lenguaje de etapas y transiciones

    A las etapas se les asocian acciones. Para este caso, el lenguaje del GRAFCET es nico, es decir, no se combina con otros lenguajes y trabaja con la norma IEC (etapas-acciones).

    FIG27

    En esta primera parte se estudia esta configuracin.

    Cada accin est conformada por dos rectngulos horizontales. El de la izquierda se llama CALIFICADOR, el de la derecha es la ACCIN. Los calificadores estn codifica-dos con las siguientes letras:

    N: este calificador pone en alto las variables de la accin cuando la etapa est activa. Cuando se desactiva la etapa, las variables se desactivan.

    R: el calificador pone en bajo la variable de la accin correspondiente y queda permanentemente en ese estado. Solo un SET (S) lo cambiar de estado.

    S: el calificador pone en alto permanentemente a la variable de la accin correspondiente. Esta variable pasa a bajo cuando se coloque en RESET (R).

  • AUTOMATIZACIN INDUSTRIAL UNIVERSIDAD DISTRITAL Elabor: HUMBERTO GUTIRREZ R. FRANCISCO JOS DE CALDAS

    6

    L: Este calificador acta exactamente igual que un temporizador al pulso (TP). Cuando la etapa en que est el calificador queda activa, la carga asociada se activa y permanece en ese estado el tiempo programado, ejemplo: L T#10s, tarda 10 segundos activa y luego se desactiva. Necesariamente para esta operacin, la etapa debe estar activa un tiempo mayor que el programado para el calificador.

    D: el calificador se asocia con un tiempo y acta igual que un temporizador TON: Cuando la etapa en que est el calificador se activa, comienza la temporizacin, ejemplo: D T#5s. Cuando transcurra los 5 segundos la carga asociada al calificador se activa y permanece en ese estado mientras la etapa est activa.

    Cuando la etapa se desactiva, la carga tambin se desactiva. Para la operacin normal de este calificador, la etapa debe permanecer tiempo mayor que el programado para el temporizador.

    SD: tambin requiere programar un tiempo: SD T#10s

    Desde el momento que la etapa que contiene a este calificador queda activa, se inicia a contar el tiempo programado.

    Si la etapa permanece menos tiempo activa que el tiempo del calificador SD, el tiempo sigue corriendo para el calificador y la carga asociada a este calificador se energiza cuando se completa el tiempo programado, aunque la etapa que lo contenga est desactivada. Se puede asociar a un TONR.

    Si la etapa que contiene al calificador permanece mayor tiempo que el tiempo programado para SD, simplemente cuando se completa ese tiempo, se energiza la carga permaneciendo en ese estado indefinidamente.

    Una vez la carga asociada al calificador SD se activa, permanece en este estado indefinidamente. La nica forma de desactivarla es mediante un Reset.

    DS: este calificador tiene semejante comportamiento al anterior, pero la condicin para activar la carga asociada es que el tiempo que permanece la etapa que contiene al calificador debe ser mayor que el tiempo programado para DS. Por ejemplo:

    DS T#10S

    La etapa que contiene al calificador debe permanecer activa un tiempo mayor que 10s. Cuando transcurren los 10s, la carga asociada al calificador DS se activa y permanecer en ese estado indefinidamente hasta que se aplique un Reset a dicha carga.

    P: es un pulso muy corto. Cuando la etapa en que est este calificador se activa su carga asociada activa por un tiempo muy corto y no vuelve a activar mientras el programa est corriendo. El tiempo que activa la carga asociada es el de un ciclo de trabajo

    Para iniciar el trazado del GRAFCET, se parte de: File New SFC - OK

  • AUTOMATIZACIN INDUSTRIAL UNIVERSIDAD DISTRITAL Elabor: HUMBERTO GUTIRREZ R. FRANCISCO JOS DE CALDAS

    7

    La primera ventana que aparece es:

    FIG28

    Contiene en la zona de ejecucin la etapa inicial (INIT), una accin y una transicin con retorno a init (Jump)

    Nueve iconos necesarios para la construccin de los GRAFCET

    Para adicionar otra(s) acciones a una etapa, pica sobre la etapa con botn derecho y habilita: associate action

    Para adicionar la siguiente etapa puede picar el icono indicado como Step transition (before) o picando en el salto Init con botn derecho luego Step-Transition.

    Si desea borrar etapa-transicin, ubica la etapa en donde inicia el borrado y pica con botn izquierdo; la etapa queda encerrada en un recuadro punteado, luego presiona tecla SHIFT y con flecha del teclado () desplaza hasta donde quiere borrar, libera y luego con la tecla SUPRIMIR, borra la zona seleccionada. No se puede borrar nicamente la etapa o la transicin, siempre se permite borrar la pareja etapa-transicin.

    Importante: con el icono IEC-Step habilitado, la etapa aparece con las acciones como indica el grfico. Si el icono se deshabilita, aparece la etapa sin los rectngulos de las acciones.

    El ejemplo a analizar:

    En la siguiente pgina se presenta un programa en el que se incluyen la mayora de los calificadores. Por comodidad y para observar la evolucin del GRAFCET y la actuacin de las cargas, se manejan solo tiempos en las transiciones (excepto la etapa INIT, en donde para evolucionar se utiliza un pulsador P1, pero se puede forzar a estado TRUE)

    Se presenta en la columna de la izquierda en la zona de declaracin todas las variables involucradas y en la derecha est el GRAFCET con detalle en su explicacin.

  • AUTOMATIZACIN INDUSTRIAL UNIVERSIDAD DISTRITAL Elabor: HUMBERTO GUTIRREZ R. FRANCISCO JOS DE CALDAS

    8

    FIG29

  • AUTOMATIZACIN INDUSTRIAL UNIVERSIDAD DISTRITAL Elabor: HUMBERTO GUTIRREZ R. FRANCISCO JOS DE CALDAS

    9

    Luego de correr y observar el comportamiento de los calificadores y la actuacin de las cargas, hacer los siguientes ensayos:

    * Adicionar en la etapa INIT calificadores de Reset para las cargas que quedaron permanentemente activadas.

    * En las etapas ETAPA6 y ETAPA7 reemplazar el calificador SD por el calificador SL, correr el programa y observar el comportamiento de este calificador.

    Igual que en los ejemplos anteriores, a medida que se va dibujando el GRAFCET y se van introduciendo las variables, luego de editarlas quedan dispuestas en la zona de declaracin, pero aqu se han ordenado por comodidad.

    Puede implementar los tiempos utilizando los temporizadores TON, TOF o TP. Para ello, se crea otra carpeta asignndole un nombre. Por ejemplo: TIMERS. Esto se hace, picando al lado izquierdo debajo de POU, sobre el texto: PLC_PRG(PRG), luego sigue el orden: Project Add Action. Se escoge el lenguaje a utilizar, asigna un nombre y OK. El resultado se muestra en la siguiente figura.

    FIG30

  • AUTOMATIZACIN INDUSTRIAL UNIVERSIDAD DISTRITAL Elabor: HUMBERTO GUTIRREZ R. FRANCISCO JOS DE CALDAS

    10

    Observe que se adicion la accin TIMERS y en la etapa INIT, una de las acciones se llama TIMERS.

    Para este ejemplo, esta nueva accin se construy en lenguaje de texto y tiene la siguiente presentacin.

    FIG31

    Se configuran dos temporizadores. Para el primero a la variable T1 se asigna el temporizador TON1, en donde: T1 es una variable Bool y TON1 es el nombre del temporizador y se edita como un temporizador TON. La variable T2IN es tambin variable booleana y es un contacto que se coloca a la entrada del temporizador.

    El segundo temporizador, se ha asignado a la variable booleana T2.

    Ya el programa se observa en la grfica anterior. All se combina diferentes calificadores: N, D, SD.

    Es importante tener en cuenta que una etapa puede tener mximo 9 acciones.

    Para realizar diferentes acciones sobre las etapas, adems de las acciones mencionadas, se dispone de otras dos herramientas dispuestas para tal fin.

    Estas herramientas se identifican como: ENTRY ACTION y EXIT ACTION.

    Una ENTRY ACTION se realiza despus que la etapa ha sido a activada; se identifica con la letra E.

    Una EXIT ACTION se realiza antes que la etapa se desactive y se identifica con la letra X.

    Estas dos acciones se pueden configurar con cualquiera de los lenguajes de programacin. En la FIG31 se ilustra la presentacin de estas acciones en la etapa y la forma como se accede a ellas: ubicado sobre la etapa, con botn derecho al desplegar la ventana se escoge la accin que se requiera; luego de fijarlas en la etapa, se despliega otra ventana en donde se puede escoger el lenguaje con que se definirn.

    Observar en la FIG32, que en los vrtices inferiores de la etapa, en recuadro estn estas acciones indicadas con las letras E y X.

  • AUTOMATIZACIN INDUSTRIAL UNIVERSIDAD DISTRITAL Elabor: HUMBERTO GUTIRREZ R. FRANCISCO JOS DE CALDAS

    11

    FIG32

    Es interesante ver que se pueden trabajar etapas combinadas con la norma y sin la norma. Recordar que cuando se trabaja con la norma, las etapas no permiten trabajar con otro lenguaje.

    Se presenta a continuacin el PROCESO_FIFO desarrollado anteriormente.

    En la siguiente imagen aparecen las variables en la zona de declaracin:

    Las variables T2, T3, corresponden a los nombres asignados a las transiciones.

    C es una variable para el contador y del tipo entero (INT)

    FIG33

  • AUTOMATIZACIN INDUSTRIAL UNIVERSIDAD DISTRITAL Elabor: HUMBERTO GUTIRREZ R. FRANCISCO JOS DE CALDAS

    12

    Y la siguiente imagen corresponde al GRAFCET. La etapa 8 no est con norma y se ha escrito un pequeo programa en texto estructurado, para efecto de configurar el contador.

    FIG34

    El siguiente es otro ejemplo de GRAFCET.

    FIG35

  • AUTOMATIZACIN INDUSTRIAL UNIVERSIDAD DISTRITAL Elabor: HUMBERTO GUTIRREZ R. FRANCISCO JOS DE CALDAS

    13

    El programa es:

    FIG36

    Cada una de las etapas contiene el siguiente programa:

  • AUTOMATIZACIN INDUSTRIAL UNIVERSIDAD DISTRITAL Elabor: HUMBERTO GUTIRREZ R. FRANCISCO JOS DE CALDAS

    14

    FIG37

    FIG38

    FIG39

  • AUTOMATIZACIN INDUSTRIAL UNIVERSIDAD DISTRITAL Elabor: HUMBERTO GUTIRREZ R. FRANCISCO JOS DE CALDAS

    15

    Como se observa, se ha combinado lenguaje en listado y Ladder.

    Importante: si quiere que la etapa Step pueda ser editada con otro lenguaje, antes de llamar la etapa, se debe deshabilitar el icono IEC-Step, luego traer el bloque Step-Transition y con doble clic sobre la etapa, seleccionar el lenguaje que se quiere utilizar como accin para esa etapa.

    Al picar alguna etapa con botn derecho, se despliega la siguiente ventana:

    FIG40

    De esa ventana se deduce:

    Colocando puntero sobre una transicin y se pica: Step-Transition (before o after) se inserta un bloque Step-Transition antes o despus del punto en donde est.

    Si pica puntero sobre una transicin y pica Alternative Branch (right) o (left) se crea una bifurcacin OR.

    Si ubica puntero sobre ltima etapa y pica Parallel Branch, se crea una bifurcacin AND.

    Cuando trabaja diagrama con Norma IEC-Steps (habilitado este icono), la etapa tiene asociados los rectngulos de accin Calificador-accin. Si desea eliminar alguna de estas acciones picar Clear Action/Transition.

    Si quiere adicionar mas acciones, picar Associate Action.

    Si desea adicionar acciones Add Entry-Action, o Add Exit-Action, picar los correspondientes textos de la ventana

    Adicional a la accin de un Step, se pueden aadir acciones de entrada (Entry Action) y acciones de salida (Exit Action), a la etapa.

    Una accin Entry es ejecutada instante despus que el paso ha sido activado. Una accin Exit es ejecutada instantes antes de que el paso se desactive.

  • AUTOMATIZACIN INDUSTRIAL UNIVERSIDAD DISTRITAL Elabor: HUMBERTO GUTIRREZ R. FRANCISCO JOS DE CALDAS

    16

    En la siguiente grfica se ilustran algunas de las situaciones anotadas

    FIG41

    Para las transiciones, indiferentemente que se trabaje con la norma, su expresin booleana se puede escribir con los lenguajes: LD, IL, FBD o ST.

    La transicin no permite como lenguaje el GRAFCET.

    Para escribir el lenguaje en la transicin, da un doble click sobre la transicin, se escoger lenguaje, luego OK y escribir el programa que se requiera en esa transicin.

  • AUTOMATIZACIN INDUSTRIAL UNIVERSIDAD DISTRITAL Elabor: HUMBERTO GUTIRREZ R. FRANCISCO JOS DE CALDAS

    17

    _____________________________________________________________________

    TEXTO ESTRUCTURADO Ahora desarrolla el programa en lenguaje TEXTO ESTRUCTURADO (ST).

    Este lenguaje utiliza un formato de alto nivel tipo Pascal. A diferencia del listado, los bloques no se llaman con la instruccin CAL, sino que se declaran sin llamado y las variables de salida se asignan con el smbolo :=

    Cada expresin es terminada en punto y coma (;)

    Igual que en los lenguajes anteriores, las variables en la zona de declaracin se van adicionando a medida que se escribe el programa en la zona de ejecucin

    Para programas complejos, el texto estructurado tiene una serie de instrucciones poderosas que lo hacen mucho ms verstil que los lenguajes anteriores. Estas instrucciones son:

    FIG42

    FIG43

  • AUTOMATIZACIN INDUSTRIAL UNIVERSIDAD DISTRITAL Elabor: HUMBERTO GUTIRREZ R. FRANCISCO JOS DE CALDAS

    18

    Algunas operaciones realizadas en la zona de ejecucin son:

    1. Operaciones de asignacin: motor:= KM1; banda_transp:= inicia OR banda_transp AND NOT pare;

    2. Llamado de bloques de funcin: retardo1(IN:=carga, PT:= T#10s); lote(CU:=etiqueta, RESET:=nuevo_lote, PV:=100);

    3. Condicional: IF-THEN IF inicia = TRUE THEN tolva_1:= TRUE; END_IF;

    IF sensor_1 = TRUE THEN valvula_llenado:= FALSE; valvula_salida:=TRUE; IF nivel_maximo = FALSE THEN valvula_llenado:= TRUE; ELSE valvula_salida:= FALSE; END_IF; END_IF;

    meta(CU:= posicin, RESET:= fin_conteo, PV:= 100); IF meta

  • AUTOMATIZACIN INDUSTRIAL UNIVERSIDAD DISTRITAL Elabor: HUMBERTO GUTIRREZ R. FRANCISCO JOS DE CALDAS

    19

    5. Instruccin FOR-TO-DO FOR lote:= 1 to 100 BY 1 DO Valvula_entrada_grano:=FALSE; valvula_salida_solucion:=TRUE; valvula_salida_tolva:=TRUE; molino:=TRUE; mezclador:=TRUE CASE lote OF 20,40,60,80: valvula_salida_tolva:=FALSE AND valvula_entrada_grano:=TRUE AND valvula_salida_solucion:=FALSE; ELSE IF sensor_nivel_min:=FALSE THEN valvula_entrada_grano:=FALSE; valvula_salida_solucion:=FALSE; valvula_salida_tolva:=FALSE; molino:=FALSE; mezclador:=FALSE; END_IF END_CASE END_FOR

    6. Instruccin WHILE-DO produccion:=100; WHILE produccion 0 DO control_calidad:=TRUE; tiempo_operacion:=TRUE; mantenimiento_maquina:=FALSE; fin_produccion:=FALSE; produccion:=produccion -1; END_WHILE; fin_produccion:=TRUE; 7. Instruccin REPEAT-UNTIL hora_trabajo_maquina =0; REPEAT produccion_maquina1:=TRUE; produccion_maquina2:=TRUE; transporte_maquinas:=TRUE; hora_trabajo_maquina:= hora_trabajo_maquina +1; IF hora_trabajo_maquina = 50 THEN mantenimiento:=TRUE; tiempo_mantenimiento:=0 REPEAT produccion_maquina1:=FALSE; produccion_maquina2:=FALSE; transporte_maquinas:=FALSE; tiempo_mantenimiento:= tiempo_mantenimiento+1; UNTIL tiempo_mantenimiento:=100 END_REPEAT; END_IF; UNTIL hora_trabajo_maquina:=100; END_REPEAT;

  • AUTOMATIZACIN INDUSTRIAL UNIVERSIDAD DISTRITAL Elabor: HUMBERTO GUTIRREZ R. FRANCISCO JOS DE CALDAS

    20

    _____________________________________________________________________

    Considerando el ejemplo desarrollado en el Ladder con el nombre PROCESO_FIFO, al llevarlo al lenguaje de texto estructurado, se obtiene el programa mostrado en la FIG44 y 45.

    FIG44

    FIG45

  • AUTOMATIZACIN INDUSTRIAL UNIVERSIDAD DISTRITAL Elabor: HUMBERTO GUTIRREZ R. FRANCISCO JOS DE CALDAS

    21

    Otro ejemplo del uso de algunas de las instrucciones anotadas se propone el siguiente proceso industrial.

    Consiste en obtener lotes de dos productos lquidos resultado de la combinacin de varias sustancias, mezcla y calentamiento, se incluye tapado de recipientes, sellado y almacenaje.

    El proceso es semiautomtico y est conformado por un proceso principal en donde se obtiene un producto base, dos subprocesos en los que se obtienen dos distintos productos ya terminados y un ltimo subproceso en donde se lleva a cabo el tapado de recipientes, su sellado y almacenaje.

    FIG46

  • AUTOMATIZACIN INDUSTRIAL UNIVERSIDAD DISTRITAL Elabor: HUMBERTO GUTIRREZ R. FRANCISCO JOS DE CALDAS

    22

    FIG46(cont)

    La descripcin detallada del proceso es la siguiente:

    PROCESO PRINCIPAL 1. Se programa la cantidad de productos que forman el lote a producir (por ejemplo n_productos) y la

    cantidad de uno y otro producto.

    2. El operario acciona el pulsador de INICIA. (la forma en que coloca los envases no se considera)

    3. La banda transportadora BT1 lleva cada recipiente desocupado y un sensor de posicin SP1 detecta envase. Se detiene la banda transportadora

    4. Se vierten las sustancias que contienen las TOLVA1 y TOLVA2 a una tercera tolva o recipiente, en donde se efecta la mezcla con un agitador MIX. Cuando se alcanza la cantidad de sustancias suministrado por cada tolva, lo cual es sensado por S1 y S2, se cierran las vlvulas de las tolvas y el mezclador continua su operacin durante un tiempo T1, al cabo del cual, la banda transportadora BT1 reinicia su movimiento, llevando los recipientes con la sustancia base. Cada recipiente cuando llega al extremo de BT1 acciona un sensor SP2.

    5. Este proceso se repite el nmero de veces programado (cantidad del lote). Mediante un contador, se lleva la cuenta de las veces que se acciona SP2, de manera que cuando llega a n_productos, el proceso principal termina y se inician simultneamente los subprocesos SUB-PROCESO1 y SUB-PROCESO2.

    6. Al completarse en el proceso principal la cantidad de envases previsto de lote, las bandas transportadoras BT2 y BT3 inician simultneamente movimiento. La colocacin de los productos base en estas bandas no se considera. Puede ser manual o automtico.

    SUB-PROCESO1

    7. Siguiendo el producto base por la banda transportadora BT2, el envase se posiciona bajo otro sistema de dos sustancias, lo cual es detectado por el sensor SP3. All, las sustancias SUST1 y SUST2 son depositadas en cada recipiente y simultneamente se produce su calentamiento en un horno que est sobre la banda transportadora. Cuando las cantidades de las dos sustancias se completa, lo que se indica con el sensor S3, se cierra la vlvula de admisin de estas sustancias y

  • AUTOMATIZACIN INDUSTRIAL UNIVERSIDAD DISTRITAL Elabor: HUMBERTO GUTIRREZ R. FRANCISCO JOS DE CALDAS

    23

    el calentamiento se prolonga durante un tiempo T2. al cabo del cual, la BT2 reinicia su movimiento, llevando el envase con el producto final al extremo derecho de la banda. Este proceso se repite el nmero de veces que se haya programado para el producto final 1. Este conteo se lleva cada vez que el recipiente acta el sensor SP5.

    SUB-PROCESO2 8. Simultneamente al SUBPROCESO1, se efecta el SUBPROCESO2, que lleva los recipientes con la

    sustancia base, bajo un sistema dispensador de SUST3 y calentamiento. Cuando la BT3 lleva el recipiente y se acciona el sensor SP4, se detiene la banda, y se inicia el llenado y calentamiento del envase. Cuando se llega a la cantidad prevista de SUST3, lo cual se detecta con el sensor S5, se cierra la vlvula de este dispensador y el calentamiento se prolonga durante un tiempo T3, luego del cual, BT3 reinicia movimiento llevando el producto final al extremo derecho de la banda. Este proceso se repite el nmero de veces que se haya programado para el producto final 2. Este conteo se lleva cada vez que el recipiente acta el sensor SP6.

    9. La cantidad de productos finales de cada sustancia (Producto1 y producto2) ya estn en los extremos derechos de las bandas BT2 y BT3. Aqu terminan los dos subprocesos y se tienen los dos productos finales, con las cantidades requeridas.

    SUB-PROCESO FINAL

    10. Cuando se tienen los productos finales, viene el subproceso final de tapado, sellado y almacenado. Los productos se colocan sobre la banda BT4, que est en movimiento; cuando cada envase es detectado por el sensor SP7, se detiene BT4, un dispensador de tapas, coloca la tapa al recipiente, al finalizar esta parte, el sensor SP8 pone en movimiento a BT4, luego, al sensar la posicin en SP9, la banda se detiene y se pone en funcionamiento el mecanismo de marcado de cada recipiente. Al finalizar, segn el sensor SP10, la banda BT4 nuevamente se pone en movimiento, llevando los productos ya terminado, tapados y sellados, al extremo derecho, en donde se almacenan. Este proceso puede ser manual.

    11. Cuando se completa el lote de los productos, una seal audio-visual, indica que ya termin la fabricacin del lote de los dos productos, as que con el pulsador FIN_PROCESO, se est listo para iniciar una nueva fabricacin.

    El programa en texto estructurado se muestra a continuacin: En la FIG47 presenta las variables del proceso en la zona de declaracin.

    FIG47

  • AUTOMATIZACIN INDUSTRIAL UNIVERSIDAD DISTRITAL Elabor: HUMBERTO GUTIRREZ R. FRANCISCO JOS DE CALDAS

    24

    Para mejor comprensin del cdigo, se pueden aadir comentarios indicando la funcin de las distintas variables. En la FIG48 se ilustra la zona de declaracin como queda ordenando las variables y con los comentarios.

    FIG48

  • AUTOMATIZACIN INDUSTRIAL UNIVERSIDAD DISTRITAL Elabor: HUMBERTO GUTIRREZ R. FRANCISCO JOS DE CALDAS

    25

    FIG49

    FIG50

  • AUTOMATIZACIN INDUSTRIAL UNIVERSIDAD DISTRITAL Elabor: HUMBERTO GUTIRREZ R. FRANCISCO JOS DE CALDAS

    26

    Para la simulacin en el PLC ABB, se debe considerar que todos los sensores son accionados manualmente, mientras que en el proceso real, slo se tienen dos pulsa-dores manuales: el de inicio y el que finaliza el proceso luego de completar los lotes programados.

    Entonces, seguir el siguiente proceso para la simulacin.

    Luego de compilar (Rebuld all), dejar en modo de simulacin y correr (F5), forzar las entradas en la siguiente forma: INICIO: TRUE- FALSE

    Inicio PROCESO PRINCIPAL

    SP1: TRUE, S1: TRUE, S2: TRUE, SP2: TRUE, SP2: FALSE, SPA1: FALSE, S1: FALSE, S2: FALSE.

    Esta rutina la repite el nmero de veces que est programado por el contador CONTEO1. Observar que a cada conmutacin de SP2 aumenta la cuenta.

    FIN PROCESO PRINCIPAL

    Siguen los sub-procesos 1 y 2: SP3 y SP4: TRUE, S3 y S5: TRUE, SP5 y SP6: TRUE, SP5 y SP6: FALSE, SP3 y SP4: FALSE, S3 y S5: FALSE.

    Se repite la rutina tantas veces como estn programados los contadores CONTEO2 y CONTEO 3. En esta forma se realizan los sub-procesos 2 y 3 que se desarrollan simultneamente; esta simultaneidad implica paralelismo pero no recurrencia. Estos contadores cambian con cada transicin de los sensores SP5 y SP6.

    FIN SUB-PROCESOS 1 Y 2

    Sigue el sub-proceso 3. SP7: TRUE, SP8: TRUE, SP9: TRUE, SP10: TRUE, SP10: FALSE, SP7: FALSE, SP8: FALSE, SP9: FALSE.

    Esta rutina se repite hasta que el contador CONTEO4 llegue al valor controlado. Este contador cambia con cada transicin del sensor SP10.

    FIN SUB-PROCESO 3

    FIN DEL PROGRAMA.

    _____________________________________________________________________

    Ejemplo

    En el ejemplo, se tiene un montacargas que recoge cajas de dos tamaos y las lleva a depsitos, separndolas segn el tamao. En cada depsito cabe un nmero determinado de cajas. La seleccin de las cajas se hace arbitrariamente, mediante dos botones (pulsadores) que son accionados por el operario. Cuando el depsito

  • AUTOMATIZACIN INDUSTRIAL UNIVERSIDAD DISTRITAL Elabor: HUMBERTO GUTIRREZ R. FRANCISCO JOS DE CALDAS

    27

    completa el cupo de cajas, se indica ese estado y para continuar el proceso se acciona el pulsador de inicio. Disear el programa utilizando GRAFCET y texto estructurado y disear el HMI, que muestre el animado.

    FIG51

    El HMI que se propone se muestra en la figura Fig51.

    En la siguiente figura se presentan todas las variables que intervienen en el programa

    FIG52

  • AUTOMATIZACIN INDUSTRIAL UNIVERSIDAD DISTRITAL Elabor: HUMBERTO GUTIRREZ R. FRANCISCO JOS DE CALDAS

    28

    FIG53

  • AUTOMATIZACIN INDUSTRIAL UNIVERSIDAD DISTRITAL Elabor: HUMBERTO GUTIRREZ R. FRANCISCO JOS DE CALDAS

    29

    La etapa E1 tiene una accin Entry que se que inicializa las variables que se muestran en la imagen siguiente:

    La etapa E7A define en texto estructurado el siguiente programa

    Que muestra la variable de cantidad de cajas pequeas que se pueden almacenar en el depsito correspondiente. Algo semejante se programa en la etapa E7B, solo que cambia la variable a caja grande (CG)

    El nmero programado es dos cajas por depsito, pero se puede programar nmero diferente, tanto de cajas grandes como de cajas pequeas.

    Por otro lado algunas acciones se definen como subprogramas que tambin se pueden programar con cualquiera de los lenguajes.

    Por ejemplo la etapa E1 tiene una accin que se llama ADELANTE. Para definirla tal como se muestra en la siguiente imagen se muestra en la zona de programas los distintos subprogramas, entre ellos ADELANTE.

    FIG54

  • AUTOMATIZACIN INDUSTRIAL UNIVERSIDAD DISTRITAL Elabor: HUMBERTO GUTIRREZ R. FRANCISCO JOS DE CALDAS

    30

    Para configurar estos subprogramas, se procede como se muestra en la figura siguiente: picando PLC_PRG (PRG) con botn derecho se despliega la ventana mostrada con varias opciones, luego pica en Add Action, despliega otra ventana indicada como New Action. All se coloca en el espacio New of the New Action el nombre de la accin y selecciona el lenguaje, juego acepta OK, y se realiza el programa que se ejecutar en esa accin, cuando la etapa quede activa.

    FIG55

    En las siguientes imgenes se muestran los programas de los distintos subprogramas que se muestran

    FIG56

  • AUTOMATIZACIN INDUSTRIAL UNIVERSIDAD DISTRITAL Elabor: HUMBERTO GUTIRREZ R. FRANCISCO JOS DE CALDAS

    31

    Para el diseo del HMI, primero se habilita esta opcin, siguiendo los tres pasos mostrados en la siguiente imagen

    FIG57

    En el paso 2 de obtiene la ventana picando con botn derecho en PLC_PRG(PRG)

    Luego de este procedimiento, se obtiene una ventana de trabajo con una paleta para dibujar los diferentes elementos.

    El montacargas se descompone en varias partes como se muestra en la siguiente imagen. Todas las partes tienen movimiento

    FIG58

    Tomando como configuracin el cuadro marcado como inicio, inicialmente se dibuja el cuadro con el elemento de la paleta de dibujo indicada como cuadro. Para editarlo, sigue los pasos indicados en la imagen

  • AUTOMATIZACIN INDUSTRIAL UNIVERSIDAD DISTRITAL Elabor: HUMBERTO GUTIRREZ R. FRANCISCO JOS DE CALDAS

    32

    FIG59

  • AUTOMATIZACIN INDUSTRIAL UNIVERSIDAD DISTRITAL Elabor: HUMBERTO GUTIRREZ R. FRANCISCO JOS DE CALDAS

    33

    El mismo procedimiento se realiza para las 5 partes del montacargas mostrados en la imagen. Estos elementos se desplazan solo en sentido X, por esto en la ventana 3 con category: Motion Absolute, en X-Offset se escribe: PLC_PRG.AD

    FIG60

    Para la parte indicada como ua, esta se desplaza en X e Y, as que en la ventana category: Motion Absolute, se configura como muestra la siguiente ventana

    FIG61

  • AUTOMATIZACIN INDUSTRIAL UNIVERSIDAD DISTRITAL Elabor: HUMBERTO GUTIRREZ R. FRANCISCO JOS DE CALDAS

    34

    Para la edicin de los bloques fijos, el bloque completo est en la derecha de la siguiente imagen; a la izquierda est la descomposicin de los bloques. Para facilitar el dibujo de cada parte se han enumerado las partes para indicar el orden del trazado.

    FIG62

    1 y 2 representan las cajas pequea y grande, respectivamente y como aparece en la figura, estn superpuestas. Ests tienen movimiento en X e Y.

    El cuadro 5 est sobre las cajas y tienen el texto indicado. 4 es un bloque gua para alinear al bloque 5.

    3 es una caja que se ubica como referencia de la posicin de la caja en el bloque DEPSITO DE CAJAS PEQUEAS. No es necesario dibujarla

    6 es el cuadro que representa el depsito de cajas pequeas, igual que 8 es el cuadro que representa el depsito de cajas grandes.

    7 y 9 son cuadros con texto: Depsito lleno.

    10 es un cuadro que encierra toda la estructura de cuadros.

    La edicin de algunos de los bloques es:

    Para los bloques 1 y 2 se presenta en la siguiente imagen

  • AUTOMATIZACIN INDUSTRIAL UNIVERSIDAD DISTRITAL Elabor: HUMBERTO GUTIRREZ R. FRANCISCO JOS DE CALDAS

    35

    FIG63

    Cuadros 7 la edicin se muestra en la siguiente imagen. Para el cuadro 9 es igual solo que cambia la variable por: ALARMA_CG

    FIG64

  • AUTOMATIZACIN INDUSTRIAL UNIVERSIDAD DISTRITAL Elabor: HUMBERTO GUTIRREZ R. FRANCISCO JOS DE CALDAS

    36

    El bloque 11 es un botn que se selecciona de los conos en la paleta de dibujo. La edicin de este botn se muestra en la siguiente imagen

    FIG65

    El bloque 12 tiene edicin semejante, solo que cambia las variables por ser el botn que selecciona la caja grande.

    Para este ejemplo, es necesario que la posicin de los elementos quede bien ubicados, puesto que los movimientos en X e Y estn basados en incrementos y decrementos de variables, pero que dependen de tiempos.

    Segn las indicaciones, seguir la descripcin y escribir el programa y diseo de HMI en el programa de ABB. Verificar su funcionamiento.