important notice for users of medici version 4...

1064
IMPORTANT NOTICE For Users of Medici Version 4.1 Appendix C of this User’s Manual contains a complete description of the changes in Medici 4.1. If you are a user of Medici 4.0, read Appendix C carefully before using version 4.1 to make efficient use of the program. TCAD Business Unit

Upload: trankhue

Post on 09-Sep-2018

226 views

Category:

Documents


0 download

TRANSCRIPT

  • IMPORTANT NOTICE

    For Users of Medici Version 4.1

    Appendix C of this Users Manual contains a complete description of the changes inMedici 4.1.If you are a user of Medici 4.0, read Appendix C carefully before using version 4.1 tomake efficient use of the program.

    TCAD Business Unit

  • Reader Comments: Medici 4.1 Users Manual

    Avant! TCAD Business Unit welcomes your comments and suggestions concerning this manual.Please mail this form (Attn.: Technical Publications Department) to the address on the reverseside of this sheet, or fax a copy to (510) 413-7766, or e-mail your comments [email protected]. Attach additional pages if needed.

    What model computer are you using?________________Operating system?________________

    Did you find any errors in this manual? If so, please list the page number and describe the error.

    Have you encountered program features that need to be better described in this manual?

    What additional information should be included?

    How can we improve this document?

    Other comments and suggestions:

  • _______________________________Fold here and tape_________________________________________

    To:Avant! CorporationTCAD Business Unit, Bldg. 2Attn: Technical Publications Dept.46871 Bayside ParkwayFremont, CA 94538USA

    ______________________________Fold here and tape________________________________

    From:(Optional Information)

    Name, Position: ..........................................................................................

    Company ....................................................................................................

    Address: .....................................................................................................

    ......................................................................................................

    .......................................................................................................

    Phone, fax, or e-mail...................................................................................

  • Draft 7/11/98Avant! Corporation, TCAD Business Unit Fremont, California

    Medici

    Two-Dimensional DeviceSimulation Program

    Version 4.1

    Users Manual

    July 1998

  • Copyright Notice Medici Users Manual

    ii Confidential and Proprietary MD 4.1

    Draft 7/11/98

    Medici Users Manual , Release 4 .1 First Printing: July, 1998Copyright 1998 Avant! Corporation and Avant! subsidiary. All rights reserved.Unpublishedrights reserved under the copyright laws of the United States.

    Avant! software Medici v4.1 Copyright 1998 Avant! Corporation and Avant! subsidiary.All rights reserved.Unpublishedrights reserved under the copyright laws of the United States.

    Use of copyright notices is precautionary and does not imply publication or disclosure. Use, duplication,or disclosure by the Government is subject to restrictions as set forth in subparagraph (c) (1) (ii) of theRights in Technical Data and Computer Software clause at DFARS 252.227-7013

    DisclaimerAVANT! CORPORATION RESERVES THE RIGHT TO MAKE CHANGES WITHOUT FURTHERNOTICE TO ANY PRODUCTS DESCRIBED HEREIN. AVANT! CORPORATION MAKES NOWARRANTY, REPRESENTATION, OR GUARANTEE REGARDING THE SUITABILITY OF ITSPRODUCTS FOR ANY PARTICULAR PURPOSE, NOR DOES AVANT! CORPORATION ASSUMEANY LIABILITY ARISING OUT OF THE APPLICATION OR USE OF ANY PRODUCT, ANDSPECIFICALLY DISCLAIMS ANY AND ALL LIABILITY, INCLUDING WITHOUT LIMITATION,CONSEQUENTIAL OR INCIDENTAL DAMAGES.

    Proprietary Rights NoticeThis document contains information of a proprietary nature. No part of this manual may be copied ordistributed without the prior written consent of Avant! corporation. This document and the softwaredescribed herein is only provided under a written license agreement or a type of written non-disclosureagreement with Avant! corporation or its subsidiaries. ALL INFORMATION CONTAINED HEREINSHALL BE KEPT IN CONFIDENCE AND USED STRICTLY IN ACCORDANCE WITH THETERMS OF THE WRITTEN NON-DISCLOSURE AGREEMENT OR WRITTEN LICENSEAGREEMENT WITH AVANT! CORPORATION OR ITS SUBSIDIARIES.

    Trademark/Service-Mark NoticeADM, Apollo, ApolloGA, Aquarius, AquariusBV, AquariusDP, AquariusGA, AquariusXO, ArcCell,ArcChip, ArcUtil, ATEM, Aurora, Avan Testchip, AvanWaves, Baseline, Baseline Software Accelera-tor, Cyclelink, Davinci, Depict, Device Model Builder, DFM WorkBench, DriveLine, Dynamic ModelSwitcher, EVaccess, Explorer, Hercules, HSPICE, HSPICE-Link, Liquid, LTL, Mars-Rail, Master Tool-box, Medici, Milkyway, Planet, PlanetPL, PlanetRTL, Polaris, Polaris-CBS, Polaris-MT, ProGen, Pros-pector, Proteus, PureSpeed, Raphael, Raphael NES, SimLine, Sirius, Smart Extraction, Solar, SolarII,Star-DC, Star-Hspice, Star-HspiceLink, Star-Hspice-XO, Star-MTB, Star-Power, Star-RC, Star-Sim,Star-Time, VeriCheck, VeriView, Taurus, Tech Composer, Terrain, TMA Layout, TMA SUPREM-3,TSUPREM-4, TMA Visual, TMA WorkBench, YChips, YCrunch, and YTime are trademarks of Avant!Corporation and its subsidiaries. Avant! Corporation, Avant! logo, and AvanLabs are trademarks and ser-vice-marks of Avant! Corporation. All other trademarks are the property of their respective owners.

    Medici incorporates Galaxy Run Time Components, which are copyright 1993-1998, Visix SoftwareInc. All rights reserved.

    SubsidiariesAnagram, Inc., ArcSys, Inc., Frontline Design Automation, Inc., Galax!, ISS, Inc., Meta-Software, Inc.,NexSyn, Inc., and Technology Modeling Associates, Inc. are subsidiaries of Avant! Corporation.

    Contacting Avant! Corporation:

    Telephone: (510) 413-8000(800) 369-0080

    FAX: (510) 413-7766e-mail [email protected]: http://www.avanticorp.com/

    Avant! CorporationTCAD Business Unit46871 Bayside ParkwayFremont, CA 94538

  • Table of Contents

    CONTENTS

    List of Figures xli

    Introduction to Medici lv

    Overview. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . lvAnalyzing Devices and Effects . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . lvSubmicron Simulation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . lvMedici Grid . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . lvPhysical Models . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . lviAdditional Features . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . lviAdvanced Application Modules. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . lviBackward Compatibility . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . lvi

    Manual Overview . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . lviiAdvanced Application Modules . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . lviiiTypeface Conventions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . lixRelated Publications . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . lx

    Reference Materials . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . lxProblems and Troubleshooting . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . lx

    Using Medici 1-1

    Introduction. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1-1Program Execution and Output. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1-1

    Execution Command . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1-1Execution on IBM SP2 Systems with More than One Processor. . 1-3

    Syntax Errors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1-3Execution Errors and Warnings . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1-3Program Output . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1-4

    Printed Output . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1-4Graphical Output . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1-4

    MD 4.1 Confidential and Proprietary iii

  • Table of Contents Medici Users Manual

    File Specifications. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1-5Output File Identifiers . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1-5Command Input File Identifier and . . . . . . . . . . . 1-5Initially Assigned Names . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1-6Environment Variables . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1-7Library Directory . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1-7

    Input Files . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1-8Command Input File . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1-8

    Statement Overview . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1-8Two-Dimensional Process Files. . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1-8One-Dimensional Process Files . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1-9

    Output Files. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1-9Standard Output File.out . . . . . . . . . . . . . . . . . . . . . . . . . . 1-9Informational Output File.inf . . . . . . . . . . . . . . . . . . . . . . 1-9Diagnostic Output File.dia . . . . . . . . . . . . . . . . . . . . . . . 1-10Graphical Output . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1-10Formatted Plot Output Files . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1-10Binary Plot Output Files . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1-11Mesh Files . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1-11Profile Files . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1-11Boundary Files . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1-11Solution Files . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1-11TIF Files . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1-12Saved Solution Files. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1-12Log Files . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1-12Execution Time Files . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1-13

    Miscellaneous Files. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1-13Path Filemdpath . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1-13Plot Device Definition Filemdpdev . . . . . . . . . . . . . . . . . . . . . . . 1-14Authorization Filemdauth . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1-14Formatted Key Filemdfky0 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1-14Unformatted Key Filemduky0 . . . . . . . . . . . . . . . . . . . . . . . . . . . 1-15Error Message Filemderr0 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1-15Circuit Analysis Formatted Key Filemdfky1 . . . . . . . . . . . . . . . . 1-15Temporary Scratch Files . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1-15

    .prs . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1-16.ttn . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1-16.cont . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1-16

    Medici Description 2-1

    Introduction. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-1Physical Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-2

    Basic Equations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-2Poissons Equation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-2

    iv Confidential and Proprietary MD 4.1

  • Medici Users Manual Table of Contents

    Continuity Equations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-2Boltzmann Transport Theory . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-2

    SRH, Auger, and Direct Recombination . . . . . . . . . . . . . . . . . . . . . . 2-3Surface Recombination . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-4Concentration Dependent Lifetimes . . . . . . . . . . . . . . . . . . . . . . . . . 2-4Lattice Temperature-Dependent Lifetimes . . . . . . . . . . . . . . . . . . . . 2-5Recombination Including Tunneling . . . . . . . . . . . . . . . . . . . . . . . . . 2-5Boltzmann Statistics. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-7Bandgap and Effective Density of States. . . . . . . . . . . . . . . . . . . . . . 2-8Fermi-Dirac Statistics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-9Incomplete Ionization of Impurities. . . . . . . . . . . . . . . . . . . . . . . . . 2-10Low Temperature Simulation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-11Quantum Mechanical Effects in MOSFET Inversion Layers . . . . . 2-12

    Mobility Models . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-13Low Field Mobility . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-13

    Constant Mobility. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-13Concentration Dependent Mobility . . . . . . . . . . . . . . . . . . . . . . . 2-13Analytic Mobility . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-15Aurora Mobility Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-15Carrier-Carrier Scattering Mobility . . . . . . . . . . . . . . . . . . . . . . . 2-17Philips Unified Mobility. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-18

    Surface Scattering . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-20Surface Mobility Degradation Factors . . . . . . . . . . . . . . . . . . . . . 2-20Surface Mobility Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-20Enhanced Surface Mobility Model. . . . . . . . . . . . . . . . . . . . . . . . 2-21Universal Mobility Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-22Perpendicular Electric Field Mobility Model. . . . . . . . . . . . . . . . 2-23Lombardi Surface Mobility Model. . . . . . . . . . . . . . . . . . . . . . . . 2-24Generalized Mobility Curve Mobility Model. . . . . . . . . . . . . . . . 2-25Transverse Field- Dependent Mobility Model . . . . . . . . . . . . . . . 2-27

    High Field Effects . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-31Parallel Field Mobility . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-32

    Caughey-Thomas Expression . . . . . . . . . . . . . . . . . . . . . . . . . . 2-32Gallium Arsenide-Like Mobility . . . . . . . . . . . . . . . . . . . . . . . 2-33

    Hewlett-Packard Mobility Model. . . . . . . . . . . . . . . . . . . . . . . . . 2-33Electric Field Calculations for Mobility Models . . . . . . . . . . . . . . . 2-34

    Effective Electric Fields at Interfaces. . . . . . . . . . . . . . . . . . . . . . 2-34Electric Field Components. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-35

    Mobility Model Choices . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-37Comparison of Mobility Models . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-38GSURFN Results . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-38Transverse Field Mobility Results . . . . . . . . . . . . . . . . . . . . . . . . 2-38EJ.MOBIL Results . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-39

    Comparison of CPU Time . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-39EJ.MOBIL and Subsurface Current Flow . . . . . . . . . . . . . . . . . 2-40

    Electron-Hole Scattering . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-41Boundary Conditions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-42

    MD 4.1 Confidential and Proprietary v

  • Table of Contents Medici Users Manual

    Ohmic Contacts . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-42Schottky Contacts . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-43

    Imposing Finite Surface Recombination Velocities. . . . . . . . . . . 2-43Barrier Lowering . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-43

    Contacts to Insulators. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-45Neumann Boundaries . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-45Interface Charge and Traps . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-45Lumped Resistance, Capacitance, and Inductance Elements. . . . . . 2-46

    Lumped Element Boundary Conditions . . . . . . . . . . . . . . . . . . . . 2-47Specification . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-47

    Current Boundary Conditions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-48Specification . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-48

    Distributed Contact Resistance . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-49Majority Carrier Contact . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-50

    Numerical Methods. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-50Relevant Statements . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-50

    Selecting Equations to Solve . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-51Discretization . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-51

    Box Method . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-51Carrier Flux Formulation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-51

    Nonlinear System Solutions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-51Common Concepts . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-52

    Convergence Rate . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-52Error Norms . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-52Convergence Criteria . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-53Error Norm Selection . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-53Linear Solution Options . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-53

    Initial Guesses . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-54INITIAL . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-54PREVIOUS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-54LOCAL. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-54PROJECT . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-54P.LOCAL . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-54Post-Regrid Initial Guess . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-54Initial Guess Selection . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-55

    Quasi-Fermi Level Adjustments. . . . . . . . . . . . . . . . . . . . . . . . . . 2-55Coupled Solutions (Newtons Method) . . . . . . . . . . . . . . . . . . . . . . 2-55

    Jacobian Matrix in Newton . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-56Accelerating the Newton Iteration . . . . . . . . . . . . . . . . . . . . . . . . 2-56When to Choose Full Newton . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-57Newton Damping . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-57

    Decoupled Solutions (Gummels Method) . . . . . . . . . . . . . . . . . . . 2-57When to Use Gummel . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-58Accelerating Gummel. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-58Using ICCG . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-58ICCG Parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-58Poisson Damping . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-58

    vi Confidential and Proprietary MD 4.1

  • Medici Users Manual Table of Contents

    Continuation Method . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-58Continuation Steps . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-59Parameter C.DVMAX . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-60

    Linear Matrix Solution. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-60Overview . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-61Jacobian Matrix . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-61

    Matrix Density . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-61Matrix Structure . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-61

    Direct Method. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-62Advantages . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-63Disadvantages . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-63

    ICCG Solver . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-63Preconditioning and Approximate Factorization . . . . . . . . . . . 2-63

    Incomplete Cholesky Decomposition. . . . . . . . . . . . . . . . . . . . . . 2-63Iteration Sequence. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-63Advantages . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-64Disadvantages . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-64

    ILUCGS Solver . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-64Advantages . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-65Newton Method Implications . . . . . . . . . . . . . . . . . . . . . . . . . . 2-65AC Implications . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-66Convergence Degradation. . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-66Improving ILUCGS Convergence . . . . . . . . . . . . . . . . . . . . . . 2-66

    Grid in Medici. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-67Grid Allocation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-67Coordinate Systems . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-68

    Cartesian Coordinates. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-68Cylindrical Coordinates . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-68

    Maximum Number of Nodes and Memory Use. . . . . . . . . . . . . . . . 2-68 Memory Requirements . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-69

    Grid Specification . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-69Grid Refining Restrictions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-69Initial Grid Generation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-69

    Rectangular Mesh Specification . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-69Mesh Statements. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-70

    Initial Mesh Specification . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-71Grid Spacings . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-71Horizontal Spacing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-71Vertical Spacing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-71Mesh Spacing Parameters. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-71

    Specifying Mesh Within a Section. . . . . . . . . . . . . . . . . . . . . . . . . . 2-72Uniform Mesh Spacing. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-72Monotonically Increasing or Decreasing Mesh Spacing . . . . . . . 2-73Increasing or Decreasing Mesh Section Spacing . . . . . . . . . . . . . 2-73TCAD Compatibility . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-74

    Regrid. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-74Regrid Algorithm . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-74

    MD 4.1 Confidential and Proprietary vii

  • Table of Contents Medici Users Manual

    Limiting the Refinement. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-74Interpolation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-75Reading Doping Information . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-75Refinement Criterion . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-76

    Mesh Smoothing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-76Node Smoothing. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-77Element Smoothing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-77Undesirable Effects of Obtuse Elements . . . . . . . . . . . . . . . . . . . 2-78

    Transient Device Simulation. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-79BDF1 Formula . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-79BDF2 Formula . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-80

    Time Steps Selection . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-80Dynamic Error Tolerance . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-81Time Step Restrictions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-81User Specifications. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-81Impulse Response. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-81

    AC Small-Signal Analysis . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-81Basic Concepts . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-82Sinusoidal Steady-State Analysis. . . . . . . . . . . . . . . . . . . . . . . . . . . 2-82Successive Over-Relaxation Method (SOR) . . . . . . . . . . . . . . . . . . 2-84Bi-CGSTAB Method . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-84

    Convergence Difficulties . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-85AC Analysis Beyond the Basic Equations . . . . . . . . . . . . . . . . . . . . 2-85

    Impact Ionization Analysis . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-85Post-Processing Method. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-85

    Specification . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-86Output . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-86

    Self-Consistent Method . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-86Electron-Hole Generation Rate . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-87Examining Results . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-88

    Gate Current Analysis. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-88Lucky-Electron Gate Current Model . . . . . . . . . . . . . . . . . . . . . . . . 2-89

    Probability Factors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-89Gate Current Parameters. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-90

    Angle-Dependent Gate Current Model . . . . . . . . . . . . . . . . . . . . . . 2-91Insulator Probability . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-92Electron Scattering . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-92Surface Generation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-93

    Non-Maxwellian Hot Carrier Generation Option . . . . . . . . . . . . . . 2-93Carrier Temperature Dependent Gate Current Models . . . . . . . . . . 2-94

    Electron Probability . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-94Conversion to Local Electric Field Model . . . . . . . . . . . . . . . . . . 2-94

    Ionization Integrals . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-95Calculations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-95Specification. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-96Electric Field Lines . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-96

    Band-to-Band Tunneling . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-97

    viii Confidential and Proprietary MD 4.1

  • Medici Users Manual Table of Contents

    Energy Balance Equations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-98Implemented Differential Equations . . . . . . . . . . . . . . . . . . . . . . . . 2-98

    Compound Semiconductor EB Model . . . . . . . . . . . . . . . . . . . . 2-101Boundary Conditions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-101Physical Models . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-102

    Carrier Temperature-Based Mobility . . . . . . . . . . . . . . . . . . . . . 2-102Energy Relaxation Times . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-103Impact Ionization . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-104Thermally Enhanced Diffusion Current . . . . . . . . . . . . . . . . . . . 2-105Energy Balance Post- Processing Analysis . . . . . . . . . . . . . . . . 2-106

    Plotting Simulation Results . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-106Decoupled Solution . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-106Coupled Solution . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-107

    Regional Specification of Semiconductor Parameters . . . . . . . . . . . . 2-108Programmable Device Advanced Application Module . . . . . . . . . . . 2-108

    Charge Boundary Condition . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-108Fowler-Nordheim Tunneling . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-109

    Tunneling Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-109Hot Carrier Injection . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-110Specifying Structure (Electrodes and Charges) . . . . . . . . . . . . . . . 2-111Graphical Output . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-111

    Circuit Analysis Advanced Application Module . . . . . . . . . . . . . . . . 2-112Numerical Method Used in the CA-AAM. . . . . . . . . . . . . . . . . . . 2-112

    Construction of Circuit and Device Equations. . . . . . . . . . . . . . 2-112Multiple Device Matrix Description . . . . . . . . . . . . . . . . . . . . . 2-113

    Lattice Temperature Advanced Application Module . . . . . . . . . . . . . 2-114Heat Equation Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-114

    Heat Flow Equation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-114Overriding Default Modules. . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-115Electric Field Terms . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-116

    Poissons Equation. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-117Current Density Equations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-117Numeric Methods. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-117

    Parameters and Statements . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-118Decoupled Block Iterative Method . . . . . . . . . . . . . . . . . . . . . . 2-118Optimizing Convergence . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-118

    Thermal Electrodes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-118Thermal Lumped Elements . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-119Physical Models . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-119

    Heterojunction Device Advanced Application Module . . . . . . . . . . . 2-120Material Parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-120

    Band Diagram. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-121Materials . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-121

    Device Equations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-122Energy Bandgap Models . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-122

    Energy Bandgap and Electron Affinity . . . . . . . . . . . . . . . . . . . 2-123Lattice Temperature-Dependent Energy Bandgap . . . . . . . . . . . 2-123

    MD 4.1 Confidential and Proprietary ix

  • Table of Contents Medici Users Manual

    Strained Energy Bandgap Model . . . . . . . . . . . . . . . . . . . . . . . . 2-123Unstrained Bandgap Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-123Models Specific to III-V Compound Semiconductors . . . . . . . . 2-124

    Mobility Models Specific to III-V Compound Semiconductors . . 2-127Low-Field Mobility Model. . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-127High-Field Mobility Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-128

    Virtual Nodes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-129Poisson Equation and Band Alignment . . . . . . . . . . . . . . . . . . . 2-130Heterojunction Currents . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-130Thermionic Emision Current Model . . . . . . . . . . . . . . . . . . . . . 2-131Tunneling Current Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-131

    Trapped Charge Advanced Application Module . . . . . . . . . . . . . . . . 2-132Analysis with Trapped Charge. . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-132

    Recombination . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-132Modeling. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-133

    Electron Trap . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-134Hole Trap . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-134Transient Simulation Trap States . . . . . . . . . . . . . . . . . . . . . . 2-134

    Optical Device Advanced Application Module . . . . . . . . . . . . . . . . . 2-135Transmittance and Reflectance Calculation. . . . . . . . . . . . . . . . . . 2-135

    Simple Interface . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-135Transmission Through Planar Optical Layers . . . . . . . . . . . . . . 2-136

    Photogeneration Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-138Absorption Models. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-140

    Wavelength Dependent Empirical Tables . . . . . . . . . . . . . . . . . 2-140Absorption Mechanisms. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-140Fundamental Absorption (Band-to-Band Transitions) . . . . . . . . 2-140

    Direct Transitions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-141Indirect Transitions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-141Amorphous Materials . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-142

    Band-Tail Absorption. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-142Free Carrier Absorption . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-142Optical Energy Gap . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-143

    Anisotropic Material Advanced Application Module. . . . . . . . . . . . . 2-143Permittivity Tensor. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-144Thermal Diffusion . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-145Advanced Band Structure. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-146Parameters and Statements . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-146

    Symbol Definitions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-147References. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-159

    Input Statement Descriptions 3-1

    Introduction. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-1Input Statements . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-1

    x Confidential and Proprietary MD 4.1

  • Medici Users Manual Table of Contents

    Format . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-1Input Limits . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-2Syntax. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-2

    Appending . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-2Statements with Parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-2Statements without Parameters. . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-3

    Parameters. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-3Logical . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-3

    True or False Value . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-3Character and Numerical Value . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-3

    Numerical. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-3Array . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-4

    Format. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-4Character . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-4

    Value. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-4Length. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-4

    Numerical Expressions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-5Components and Use . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-5

    Numerical Values . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-5Logical Values . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-5Assigned Names . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-5Character Expressions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-5Delimiters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-6Arithmetic Operators . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-6Relational Operators . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-6Logical Operators . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-6Numerical Functions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-6Logical Functions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-7Conversion Functions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-7

    Component Precedence . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-7Examples of Numerical Expressions . . . . . . . . . . . . . . . . . . . . . . . . . 3-8

    Character Expressions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-9Syntax. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-9Length . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-9Character Expression Examples. . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-9

    Statement Description Format . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-10Parameter Definition Table . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-10Parameter Type . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-10Example . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-10Syntax of Parameter Lists . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-11

    Value Types < > . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-11Defining Groups { }, [ ], ( ), | . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-11Optional Groups [ ]. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-12List of Groups {}, | . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-12Group Hierarchy ( ) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-12

    3.1 Device Structure Specification. . . . . . . . . . . . . . . . . . . . . . . . . . . 3-13Rectangular Mesh Specification . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-14

    MD 4.1 Confidential and Proprietary xi

  • Table of Contents Medici Users Manual

    Mesh Statements. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-14MESH . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-15

    Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-20See Also . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-20

    Generating an Initial Mesh. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-20Cartesian and Cylindrical Coordinates. . . . . . . . . . . . . . . . . . . . . 3-20Statement Specification . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-20

    Mesh Smoothing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-21Periodic Boundary Conditions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-22Automatic Boundary Conforming Mesh Generation. . . . . . . . . . . . 3-22

    Algorithm . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-22Lateral and Normal Mesh Spacings . . . . . . . . . . . . . . . . . . . . . . . 3-23Unstructured Delaunay Mesh . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-23Selecting Aspect Ratios . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-23Automatic Failure Handling . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-23Obtuse Elements. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-24Junction Conforming Mesh . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-24Terminal Handling . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-24Large Geometry Features . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-24

    Previously Generated Meshes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-24Restrictions and Limitations. . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-25TSUPREM-4 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-25Other Programs and TIF. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-25

    Smoothing Region Shape. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-25X.MESH . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-27

    Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-27See Also . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-28

    Node Placement . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-28NODE, LOCATION, and RATIO. . . . . . . . . . . . . . . . . . . . . . . . . . 3-28LOCATION and SPACING . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-28WIDTH, N.SPACES, H1, H2, H3, and RATIO . . . . . . . . . . . . . . 3-29

    Y.MESH . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-30Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-30

    See Also . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-31Node Placement . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-31NODE, LOCATION, and RATIO. . . . . . . . . . . . . . . . . . . . . . . . . . 3-31LOCATION and SPACING . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-31DEPTH, N.SPACES, H1, H2, H3, and RATIO . . . . . . . . . . . . . . 3-32

    ELIMINATE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-33Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-33

    See Also . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-34Restrictions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-34

    SPREAD . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-35Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-36

    See Also . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-36Examples . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-36

    BOUNDARY . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-38

    xii Confidential and Proprietary MD 4.1

  • Medici Users Manual Table of Contents

    Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-39See Also . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-40

    Input and Boundaries . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-40Statement Ordering. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-40Restrictions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-40

    File I/O . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-40Termination, Overlap, and Intersect Examples . . . . . . . . . . . . . . . . 3-41

    Termination . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-41Overlap . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-41Intersect . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-42

    Compatibility . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-42Avant! TCAD . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-42Process Simulator Data Files . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-43

    Transformation and Truncating . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-43Boundary Analysis . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-43

    Kinks. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-43Boundary Matching (Intersections) . . . . . . . . . . . . . . . . . . . . . . . 3-45Aspect-Ratio-Based Subdivision . . . . . . . . . . . . . . . . . . . . . . . . . 3-45

    Troubleshooting . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-45Unsuccessful Termination, Subdivision Error . . . . . . . . . . . . . . . 3-45Unassigned Elements . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-46Overwriting Region . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-46Gridlines Removed. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-47

    Examples . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-47TSUPREM-4 LOCOS Process . . . . . . . . . . . . . . . . . . . . . . . . . . 3-47

    Initial Grid Lines. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-48Partitioning the Mesh . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-48Extraction, Conformity, and Subdivision . . . . . . . . . . . . . . . . . 3-48Regions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-48

    TSUPREM4 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-50Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-51Examples . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-51

    REGION . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-53Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-56

    See Also . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-57Material Types . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-57Boundaries . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-57

    Bounding Box. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-57Polygon. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-57Rotation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-57Point Within an Existing Region . . . . . . . . . . . . . . . . . . . . . . . . . 3-57

    Examples . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-58ELECTRODE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-59

    Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-61See Also . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-61

    Boundaries . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-61Bounding Box. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-61

    MD 4.1 Confidential and Proprietary xiii

  • Table of Contents Medici Users Manual

    Polygon. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-62Rotation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-62Region Name . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-62Point Within a Region Specification . . . . . . . . . . . . . . . . . . . . . . 3-62

    Reducing Nodes Within Electrodes . . . . . . . . . . . . . . . . . . . . . . . . . 3-63Adding Electrodes to Existing Structures . . . . . . . . . . . . . . . . . . . . 3-63

    RENAME . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-64Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-64

    See Also . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-64Examples . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-64

    PROFILE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-65Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-69

    See Also . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-69Analytic Profiles . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-70

    Vertical Variation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-70Lateral Direction Variation. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-70Junction Depth . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-71Doping Dose. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-71

    One-Dimensional Profiles from Data Files . . . . . . . . . . . . . . . . . . . 3-71Vertical Range . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-71Lateral Range . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-71Rotational Profile Extension. . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-72Choosing Impurity Profiles to Input. . . . . . . . . . . . . . . . . . . . . . . 3-72

    Two-Dimensional Profiles From Data Files . . . . . . . . . . . . . . . . . . 3-72Vertical and Lateral Ranges . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-73Choosing Profiles to Input . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-73Polygonal Profiles . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-73Profile Rotation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-74

    REGRID . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-75Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-78

    See Also . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-78Grid Refinement. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-78

    Triangular Refinement . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-78Refinement Levels . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-79Node Management . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-79Increasing Mesh Size . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-79

    Other Notes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-79STITCH . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-80STITCH Statement Usage . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-80

    3.2 Solution Specification . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-83MODELS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-84

    Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-87See Also . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-87

    Impact Ionization . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-88Requesting . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-88Carrier Temperature . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-88

    Fowler-Nordheim Tunneling . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-88

    xiv Confidential and Proprietary MD 4.1

  • Medici Users Manual Table of Contents

    Quantum Mechanical Effects in MOSFET Inversion Layers . . . . . 3-88Models in Solution Files . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-89

    PHOTOGEN . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-90Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-95

    See Also . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-95Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-95

    Photogeneration Equation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-96Time-Dependent Term . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-96Pulse Light Type Source . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-97Radial Dependence. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-97Length Dependence . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-97Spatially Dependent Terms . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-98

    Shockley-Read-Hall Lifetime Modifier . . . . . . . . . . . . . . . . . . . . . . 3-99Examples . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-99

    Single-Event Upset (SEU) #1. . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-99Single-Event Upset (SEU) #2. . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-99Gaussian X-Ray Pulse . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-100Uniform X-Ray Pulse . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-101Visible Light Simulation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-101

    Ray Tracing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-102Incident Ray Quantities . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-102TM and TE Wave . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-102Boundary Conditions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-102Ray Termination. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-103Spectral Intensity File. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-104Transmission Through a Stack of Material Layers . . . . . . . . . . 3-104Example Statements . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-105Black-Body Radiation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-105

    TRAPS. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-106Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-107

    See Also . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-107Trap Model. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-108

    Trap States . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-108Saved Information . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-108

    Trap Statement Examples . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-108Single Electron Trap. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-108Time Dependent Traps . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-109TFT Simulation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-109

    Electron Traps. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-110Hole Traps. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-110

    Interface Traps . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-111Identifying Interface Nodes . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-111Specifying Interface States . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-111Trap Placement. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-112Heterojunction Limitations. . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-112

    SYMBOLIC . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-113Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-114

    MD 4.1 Confidential and Proprietary xv

  • Table of Contents Medici Users Manual

    See Also . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-114Usage . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-114

    METHOD . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-115Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-120

    See Also . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-120Solution Methods . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-120Convergence Criteria . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-121

    Default . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-121Error Tolerances . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-121Energy Balance Solution . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-122Lattice Temperature Solution . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-122ILUCGS and Bi-CGSTAB. . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-122

    ILU.XTOL . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-122Gummels Method . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-123

    Damping . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-123Newtons Method . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-123

    Speeding Convergence with AUTONR . . . . . . . . . . . . . . . . . . 3-123Maximum Potential Update with N.DVLIM . . . . . . . . . . . . . 3-124Rose-Bank Damping. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-124

    Continuation Methods . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-124Transient Simulation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-124

    SOLVE. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-126Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-133

    See Also . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-133Initial Guesses . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-133Bias Selection. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-134Multiple Solutions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-134Synchronization in Saving Solutions . . . . . . . . . . . . . . . . . . . . . . . 3-134Circuit Analysis Parameters. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-135Continuation Method . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-135

    User-Input. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-135Trace Back Problems . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-136

    Transient Simulations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-136Ramped Voltage or Current . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-136

    Post-Processing Impact Ionization. . . . . . . . . . . . . . . . . . . . . . . . . 3-137Gate Current . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-137

    Fowler-Nordheim Tunneling Analysis. . . . . . . . . . . . . . . . . . . . 3-137AC Small-Signal Analysis . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-138S-parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-138AC Analysis with Circuit Simulation. . . . . . . . . . . . . . . . . . . . . 3-138

    Optical Analysis . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-139Monochrome Light DC Response . . . . . . . . . . . . . . . . . . . . . . . 3-139Multi-Spectral Light DC Response . . . . . . . . . . . . . . . . . . . . . . 3-139Light Modulation Analysis. . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-140

    3.3 Input/Output . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-141EXTRACT . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-142

    Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-145

    xvi Confidential and Proprietary MD 4.1

  • Medici Users Manual Table of Contents

    See Also . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-145Extraction with Expressions and Names . . . . . . . . . . . . . . . . . . . . 3-145

    Extraction of Maximum Electric Field. . . . . . . . . . . . . . . . . . . . 3-146Calculation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-146Binary Search . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-146Creating a Ring of Constant Doping . . . . . . . . . . . . . . . . . . . . . 3-147

    Optimization. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-147Optimization Guidelines . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-148

    Parameter Selection . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-149Initial Guess and Limits . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-149Examining the Results . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-149Terminating the Process . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-149

    Simple Extraction. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-149Extraction of MOS Device Parameters . . . . . . . . . . . . . . . . . . . . . 3-150Predefined Quantities in Expressions . . . . . . . . . . . . . . . . . . . . . . 3-151

    PRINT. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-155Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-157

    PLOT.1D . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-158Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-167

    See Also . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-167Distance Plots. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-168

    Structure Definition . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-168Quantities . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-168Minimum or Maximum Quantity and Location . . . . . . . . . . . . . 3-168

    Plots of Log File Data . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-169Parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-169Axis Quantities . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-169Circuit Analysis AAM . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-169

    Other Parameters and Processes . . . . . . . . . . . . . . . . . . . . . . . . . . 3-170PLOT.2D . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-171

    Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-174See Also . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-175

    Disabling Clear . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-175Physical Device Boundaries. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-175Junctions, Depletion Regions, and Grid. . . . . . . . . . . . . . . . . . . . . 3-175Schematic Representations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-176Device Structure. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-176Required Solutions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-176

    PLOT.3D . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-177Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-184

    See Also . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-184Viewport, Plot Axes, and Rotation Angles . . . . . . . . . . . . . . . . . . 3-185

    Viewport . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-185Plot Axes and Rotation Angle . . . . . . . . . . . . . . . . . . . . . . . . . . 3-185

    3D.SURFACE. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-186Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-187

    See Also . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-187

    MD 4.1 Confidential and Proprietary xvii

  • Table of Contents Medici Users Manual

    Limitations and Plotting Combinations . . . . . . . . . . . . . . . . . . . . . 3-187Quantity Ranges . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-187

    CONTOUR . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-188Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-193

    See Also . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-193Minimum, Maximum, and Interval Values . . . . . . . . . . . . . . . . . . 3-193

    Quantities . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-193Default Values . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-194

    Examples . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-194Impurity Concentration Example . . . . . . . . . . . . . . . . . . . . . . . . 3-194Potential Example. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-194

    VECTOR . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-195Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-196

    See Also . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-196Length . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-197Magnitude . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-197Size. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-197

    FILL . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-198Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-200

    See Also . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-200Properties and Parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-200

    E.LINE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-201Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-206

    See Also . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-206Identifying Output and Input . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-206First Potential Gradient Path . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-206Previously Defined Solutions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-206Line Type . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-207

    LABEL. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-208Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-210

    See Also . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-210Example . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-210Parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-210

    Sizing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-211Location . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-211

    Default Selection. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-211Line and Arrowhead . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-212Starting Coordinates . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-212

    Default Selection. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-212LOG . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-213

    Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-215See Also . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-215

    Medici Log Files. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-215Aurora Data Files. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-216IC-CAP Data Files . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-216

    Variables. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-216Parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-217

    xviii Confidential and Proprietary MD 4.1

  • Medici Users Manual Table of Contents

    IC-CAP Example . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-217Syntax and Format . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-217

    LOAD . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-218Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-218

    See Also . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-219Parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-219

    Single Solution . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-219Two Solutions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-219Analyzing Differences . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-219Storing a Solution . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-219

    Solution File Data . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-219Setup Information . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-220

    SAVE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-221Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-224

    See Also . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-224Format . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-225Syntax. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-225

    3.4 Coefficients and Material Parameters . . . . . . . . . . . . . . . . . . . 3-227MATERIAL . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-228

    Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-240See Also . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-240

    Applicable Regions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-240Parameters from Solution Files . . . . . . . . . . . . . . . . . . . . . . . . . . 3-240Optical Device AAM Parameters . . . . . . . . . . . . . . . . . . . . . . . . . 3-241

    Changing Table Values . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-241Coefficient Files . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-241 Physical Absorption Models . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-242

    Default Parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-243Other Semiconductor Parameters . . . . . . . . . . . . . . . . . . . . . . . . 3-248

    MOBILITY . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-249Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-261

    See Also . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-261Impurity Dependent Mobility Tables. . . . . . . . . . . . . . . . . . . . . . . 3-262

    Modifying the Default Tables . . . . . . . . . . . . . . . . . . . . . . . . . . 3-262Mobility Parameters from Solution Files. . . . . . . . . . . . . . . . . . . . 3-262Default Mobility Parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-263

    CONTACT . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-271Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-273

    See Also . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-273Work Function Potentials. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-274Cautions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-274

    Contacts to P-type Regions . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-274Insulator Contacts . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-274N+ Poly Gate MOS Simulations . . . . . . . . . . . . . . . . . . . . . . . . 3-274

    Boundary Conditions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-274Schottky Contacts . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-275

    INTERFACE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-276

    MD 4.1 Confidential and Proprietary xix

  • Table of Contents Medici Users Manual

    Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-277See Also . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-277

    Selecting the Interface . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-277Insulator Charge . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-278Persistency of Interface Parameters . . . . . . . . . . . . . . . . . . . . . . . . 3-278

    Reusing Interface Parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-278CA-AAM Exception Mesh Files . . . . . . . . . . . . . . . . . . . . . . . . 3-278

    ANISOTROPIC . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-279Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-285

    See Also . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-286Simple Anisotropic Models . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-286

    Anisotropic Properties of Materials . . . . . . . . . . . . . . . . . . . . . . 3-286Carrier Thermal Diffusivities . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-287Example . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-288

    General Anisotropic Models . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-288Impact Ionization . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-288Thermal Conductivity. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-289Examples . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-289

    Advanced Band Structure Parameters . . . . . . . . . . . . . . . . . . . . . . 3-2903.5 Circuit Analysis. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-291Circuit Mode Overview . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-292Syntax and Use . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-292

    Control Statements . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-292Circuit Elements. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-293Punctuation and Case . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-293Circuit Nodes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-293

    Current Nodes. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-293Medici Devices. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-293

    Parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-294Numerical Parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-294

    Unit Identifiers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-294Specifying Values . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-294

    Logical Parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-295Character Parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-295Regional Parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-295

    Lumped Semiconductor Elements . . . . . . . . . . . . . . . . . . . . . . . . . 3-295Order of Execution . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-295

    START. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-296C. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-296D. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-297E. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-297F. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-298G. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-298H. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-299I. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-300K. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-302L. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-302

    xx Confidential and Proprietary MD 4.1

  • Medici Users Manual Table of Contents

    M. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-303P. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-304Q. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-305R. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-305V. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-307W. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-310.MODEL . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-311

    Example . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-315See Also . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-315

    SPICE Models . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-315.NODESET . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-316.IC . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-316.OPTIONS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-317.LOAD. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-319FINISH . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-3193.6 Documentation and Control. . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-321Control Statements . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-322

    Obtaining Help . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-322Interactive and Batch Input Modes . . . . . . . . . . . . . . . . . . . . . . . . 3-322Statement Line Numbers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-322

    Processing Order . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-323 Example. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-323

    Currently Available Input Statements. . . . . . . . . . . . . . . . . . . . . . 3-323Output of Statement Information . . . . . . . . . . . . . . . . . . . . . . . . . . 3-324Output to Terminal. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-324Controlling Program Execution . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-324CALL Statement . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-324Conditional Statements. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-324LOOP Statements . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-325ASSIGN Statement. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-325RETURN Statement. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-325STOP Statement . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-325IGNORE Statement. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-325

    Optimization. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-325Optimization Process . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-326

    Sensitivity Analysis . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-326Sensitivity Analy