implementation of resource sharing strategy for power optimization in embedded processors

12
Computer Engineering and Intelligent System ISSN 2222-1719 (Paper) ISSN 2222-2863 (O Vol 3, No.8, 2012 Implementation Optimi 1. Electronics & Communication E 2. Electrical Engineering Departme *Email o Abstract The processors are better suited to automated systems. For better mobi in power efficiency of the processo This paper discusses the modified a power improvement is achieved by i level and results are verified satisfa using tools such as VHDL simulato and XPower Analyzer for power esti Keywords: 32 – bit Low Power Pro Architecture, low – power design, low 1. Introduction The continuous increase in power affect the system size and cost so ba to justify in a competitive market. T further increases total power consum The trend in the desktop world of c instruction issue strategies and the s the tradeoffs in energy consumption of design choices. Power consump traditional speed (performance) and Improvements in circuit densit for high-end desktop systems. Cu sustained without addressing power by computers and other electrical e techniques. Hence, designing a low advantage of it is the extension of de leads to increase the reliability of the This processor design is based used to eliminate the latency of m performed on data present in the reg on pipelining and the modifications dynamic power dissipation which ca Where C is output node capacitance the circuit per cycle and fclk is the common available resources frequen reduces the unnecessary switching the implementation of this technique ms Online) 35 of Resource Sharing Strategy fo zation in Embedded Processors Kiritkumar Bhatt 1* Prof. A I Trivedi 2 Engineering Department, Sardar Vallabhbhai Patel Inst Vasad -388306, Gujarat – India. ent, Faculty of Technology & Engineering, M S Univers Gujarat, India. of corresponding author: [email protected] o the diverse applications in daily life ranging from ility and reliability; the longer battery life is an essent or is achieved by implementing resource sharing logic architecture of a 32 - bit RISC processor having four implementing the proposed technique called resource actorily. The proposed work is simulated, synthesized or, Xilinx Sparten – 3E FPGA, ModelSim SE-6.5 and imation and analysis purpose. ocessor , Power Efficient Embedded Processor, Resourc power system, power minimization, power optimization, sy consumption with each successive generation of pr adly that this power/performance trade-off has becom The processor complexity leads to demand more com mption in the system and the power consumption imp continuous growth in complexity and size of the unde supporting micro-architecture needs to be re-examine n versus the improved performance obtained may ach ption arises as a third axis in the optimization sp area (cost) Dimensions. ty and the corresponding increase in heat generation m urrent trends in technology scaling of CMOS circu consumption issues. Environmental concerns relating equipment are another reason for interest in low-pow w-power processor is very important in today’s mobil evice battery life and another one is the reduction in s e device. on 32-bit RISC principle and it emphasis on load/stor memory operations. The processor has many registe gisters. This paper focus on design and implementation s has been introduced in the data path at the hardw an be expressed by (K R Bhatt et al 2011,,Jevtic 2008) e, VDD is supply voltage and E(sw) is the average n e clock frequency. In this design power reduction is a ntly instead of deploying a dedicated resource in the activity (Esw)(Collange 2009, Steve Furber 2007). F e for which one has to redefine certain instructions and www.iiste.org or Power titute of Technology sity of Baroda – 390001, small toys to complex tial need. Improvement c at the hardware level. - pipeline stages. Here sharing at the hardware , tested and verified by d Xilinx ISE – 13.1 tool ce Sharing, Low-Power ystem design. rocessors has started to me increasingly difficult mputation which in turn pacts the system design. erlying CPU in terms of ed for these devices, as hieved by a different set ace in addition to the must be addressed even uits cannot be reliably g to energy consumption wer designs and design le devices. The greatest switching current which re mechanism, which is ers, and operations are n of the processor based ware level to reduce the ). (1) number of transistors in achieved by sharing the hardware, this strategy Further sections discuss d the relevant logic is to

Upload: alexander-decker

Post on 05-Dec-2014

453 views

Category:

Technology


1 download

DESCRIPTION

 

TRANSCRIPT

Page 1: Implementation of resource sharing strategy for power optimization in embedded processors

Computer Engineering and Intelligent Systems

ISSN 2222-1719 (Paper) ISSN 2222-2863 (Online)

Vol 3, No.8, 2012

Implementation

Optimization in Embedded Processors

1. Electronics & Communication Engineering Department, Sardar Vallabhbhai Patel Institute of Technology

2. Electrical Engineering Department, Faculty of Technology & Engineering, M S University of Baroda

*Email of corresponding author

Abstract

The processors are better suited to the diverse applications in daily life ranging from small toys to complex

automated systems. For better mobility and reliability; the longer battery life is an essential need. Improvement

in power efficiency of the processor is achieved by implementing

This paper discusses the modified architecture of a 32

power improvement is achieved by implementing the proposed technique called resource sharing at th

level and results are verified satisfactorily. The proposed work is simulated, synthesized, tested and verified by

using tools such as VHDL simulator, Xilinx Sparten

and XPower Analyzer for power estimation and analysis purpose.

Keywords: 32 – bit Low Power Processor , Power Efficient Embedded Processor, Resource Sharing, Low

Architecture, low – power design, low –

1. Introduction

The continuous increase in power consumption with each successive generation of processors has started to

affect the system size and cost so badly that this power/performance trade

to justify in a competitive market. The processor complexity leads to demand more computation which in turn

further increases total power consumption in the system and the power consumption impacts the system design.

The trend in the desktop world of continuous growth in compl

instruction issue strategies and the supporting micro

the tradeoffs in energy consumption versus the improved performance obtained may achieved by a

of design choices. Power consumption arises as a third axis in the optimization space in addition to

traditional speed (performance) and area (cost)

Improvements in circuit density and the corresponding increase in heat gene

for high-end desktop systems. Current trends in technology scaling of CMOS

sustained without addressing power consumption issues. Environmental concerns relating to energy consumption

by computers and other electrical equipment are another reason for interest in low

techniques. Hence, designing a low

advantage of it is the extension of device battery li

leads to increase the reliability of the device.

This processor design is based on 32

used to eliminate the latency of memor

performed on data present in the registers. This paper focus on design and implementation of the processor based

on pipelining and the modifications has been introduced in the data path at

dynamic power dissipation which can be expressed

��������� � �

� ���

Where C is output node capacitance, VDD is supply voltage and E(sw) is the average number of transistors in

the circuit per cycle and fclk is the clock frequency. In this design power reduction is achieved by sharing the

common available resources frequently instea

reduces the unnecessary switching activity (Esw)(Collange 2009, Steve Furber 2007). Further sections discuss

the implementation of this technique for which one has to redefine certain instr

Computer Engineering and Intelligent Systems

2863 (Online)

35

Implementation of Resource Sharing Strategy for Power

Optimization in Embedded Processors

Kiritkumar Bhatt 1*

Prof. A I Trivedi2

Electronics & Communication Engineering Department, Sardar Vallabhbhai Patel Institute of Technology

Vasad -388306, Gujarat – India.

rical Engineering Department, Faculty of Technology & Engineering, M S University of Baroda

Gujarat, India.

of corresponding author: [email protected]

to the diverse applications in daily life ranging from small toys to complex

automated systems. For better mobility and reliability; the longer battery life is an essential need. Improvement

in power efficiency of the processor is achieved by implementing resource sharing logic at the hardware level.

This paper discusses the modified architecture of a 32 - bit RISC processor having four

power improvement is achieved by implementing the proposed technique called resource sharing at th

level and results are verified satisfactorily. The proposed work is simulated, synthesized, tested and verified by

using tools such as VHDL simulator, Xilinx Sparten – 3E FPGA, ModelSim SE-6.5 and Xilinx ISE

ower estimation and analysis purpose.

bit Low Power Processor , Power Efficient Embedded Processor, Resource Sharing, Low

– power system, power minimization, power optimization, system design.

The continuous increase in power consumption with each successive generation of processors has started to

affect the system size and cost so badly that this power/performance trade-off has become increasingly difficult

titive market. The processor complexity leads to demand more computation which in turn

further increases total power consumption in the system and the power consumption impacts the system design.

The trend in the desktop world of continuous growth in complexity and size of the underlying CPU in terms of

instruction issue strategies and the supporting micro-architecture needs to be re-examined for these devices, as

the tradeoffs in energy consumption versus the improved performance obtained may achieved by a

of design choices. Power consumption arises as a third axis in the optimization space in addition to

traditional speed (performance) and area (cost) Dimensions.

Improvements in circuit density and the corresponding increase in heat generation must be addressed even

end desktop systems. Current trends in technology scaling of CMOS circuits cannot be reliably

sustained without addressing power consumption issues. Environmental concerns relating to energy consumption

nd other electrical equipment are another reason for interest in low-power designs and design

Hence, designing a low-power processor is very important in today’s mobile devices. The greatest

advantage of it is the extension of device battery life and another one is the reduction in switching current which

leads to increase the reliability of the device.

This processor design is based on 32-bit RISC principle and it emphasis on load/store mechanism, which is

used to eliminate the latency of memory operations. The processor has many registers, and operations are

performed on data present in the registers. This paper focus on design and implementation of the processor based

on pipelining and the modifications has been introduced in the data path at the hardware level to reduce the

dynamic power dissipation which can be expressed by (K R Bhatt et al 2011,,Jevtic 2008).

�� ���������

output node capacitance, VDD is supply voltage and E(sw) is the average number of transistors in

the circuit per cycle and fclk is the clock frequency. In this design power reduction is achieved by sharing the

common available resources frequently instead of deploying a dedicated resource in the hardware, this strategy

reduces the unnecessary switching activity (Esw)(Collange 2009, Steve Furber 2007). Further sections discuss

the implementation of this technique for which one has to redefine certain instructions and the relevant logic is to

www.iiste.org

of Resource Sharing Strategy for Power

Electronics & Communication Engineering Department, Sardar Vallabhbhai Patel Institute of Technology –

rical Engineering Department, Faculty of Technology & Engineering, M S University of Baroda – 390001,

to the diverse applications in daily life ranging from small toys to complex

automated systems. For better mobility and reliability; the longer battery life is an essential need. Improvement

resource sharing logic at the hardware level.

bit RISC processor having four - pipeline stages. Here

power improvement is achieved by implementing the proposed technique called resource sharing at the hardware

level and results are verified satisfactorily. The proposed work is simulated, synthesized, tested and verified by

6.5 and Xilinx ISE – 13.1 tool

bit Low Power Processor , Power Efficient Embedded Processor, Resource Sharing, Low-Power

power system, power minimization, power optimization, system design.

The continuous increase in power consumption with each successive generation of processors has started to

off has become increasingly difficult

titive market. The processor complexity leads to demand more computation which in turn

further increases total power consumption in the system and the power consumption impacts the system design.

exity and size of the underlying CPU in terms of

examined for these devices, as

the tradeoffs in energy consumption versus the improved performance obtained may achieved by a different set

of design choices. Power consumption arises as a third axis in the optimization space in addition to the

ration must be addressed even

circuits cannot be reliably

sustained without addressing power consumption issues. Environmental concerns relating to energy consumption

power designs and design

power processor is very important in today’s mobile devices. The greatest

fe and another one is the reduction in switching current which

bit RISC principle and it emphasis on load/store mechanism, which is

y operations. The processor has many registers, and operations are

performed on data present in the registers. This paper focus on design and implementation of the processor based

the hardware level to reduce the

by (K R Bhatt et al 2011,,Jevtic 2008).

(1)

output node capacitance, VDD is supply voltage and E(sw) is the average number of transistors in

the circuit per cycle and fclk is the clock frequency. In this design power reduction is achieved by sharing the

d of deploying a dedicated resource in the hardware, this strategy

reduces the unnecessary switching activity (Esw)(Collange 2009, Steve Furber 2007). Further sections discuss

uctions and the relevant logic is to

Page 2: Implementation of resource sharing strategy for power optimization in embedded processors

Computer Engineering and Intelligent Systems

ISSN 2222-1719 (Paper) ISSN 2222-2863 (Online)

Vol 3, No.8, 2012

be implemented at the hardware level.

2. Low – Power CPU Design

The architecture of processor is given

fetch, decode, and execute and writ

Memory and register blocks, the Data Forward Unit and the Hazard Detection Unit to maintain the proper flow

of data through the pipeline stages

pipeline architecture are separated by the pipeline registers.

2.1 Data path:

The pipeline stages for different type of instructions mentioned in Table 1 are shown in the Fig. 2. In the fetch

stage, instructions are fetched at every cycle from the instruction memory whose address is pointed by the

program counter (PC). During the decode stage, the registers are read from the register file and the op

passed to the control unit which asserts the required control si

calculation of effective address. In the execute stage, for Register type instructions, the ALU operations are

performed according to the ALU operation control signals and for load and store instructions, effecti

calculation is done. The load and store instructions write to and read from the data memory using the data

forwarding logic while the ALU results and the data read from the data memory are written in to the register file

by the register type and load instructions respectively in the write

L. Benini 2000).

The data-path contains pipeline registers in

carry-over results from the previous to the follo

2.2 Control Logic:

The pipeline is controlled by setting control values during each pipeline stage. Each control signal is active only

during a single pipeline stage and hence the control lines can be divided according to the four pipelined s

These signals will be forwarded to the adjacent stage through the pipeline registers

2.3 Data Forward Unit:

It maintains correct data flow to the ALU also compares the destination register address of the data waiting in

buffer and writes back pipeline registers to be written back to the register file with the current data required by

ALU and forward the latest data to it

appropriate time, this unit assures pipeline

an instruction needs to use the result of one of the processes before that result has returned to the register file.

The forwarding paths allow results to be passed between stages as soo

pipeline requires each source operand to be forwarded from any of intermediate result registers.

2.3 Memory and Register Blocks:

The data and code memories are 32 X 256 bytes and 32X1024 bytes respectively while

32X32 bytes. The code memory is implemented as on

RAM inside the FPGA.

2.4 Hazard Detection Unit:

This module detects the conditions under which data forwarding is not possible and t

two clock cycles in order to make sure that instructions are executed with the correct data set. When it detect

stall, it disables any write operation in the instruction decode pipeline, stops PC from incrementing, and clears

the control signals generated by the control unit. By taking these steps it can delay the execution of any

instruction by one clock cycle. It can do this as many times as necessary to ensure proper execution of

instruction.

2.5 Instructions Supported by Architecture:

This processor architecture supports mainly three types of instructions: Register Type (R

(I-Type) and Jump Type (J- Type). Table1 lists the total instructions implemented in the processor

3. Proposed Resource Sharing Strategy for Power Optimization

This proposed technique is implemented during the designing of the decode stage of the processor.

the processors’ design supports two types of the instructions

immediate addressing and direct register addressing types. For example the addition operation can be performed

by both the types as mentioned in Table 2.

Here, both the instructions performs almost the same operation i.e. addition and the operand 1

i.e. register r1. The operand 2 can be either immediate data value or a register depending on the type of

instruction. To introduce resource sharing technique both the opcode is required to decode to same operation that

is for addition Addi the opcode is 001110 and for Add the opcode is 000001 is decoded to perform the addition

Computer Engineering and Intelligent Systems

2863 (Online)

36

el.

The architecture of processor is given in Fig.1, which consists of four stage pipeline. These stages are instruction

fetch, decode, and execute and write back. It also incorporates major units such as Data path, Control logic,

Memory and register blocks, the Data Forward Unit and the Hazard Detection Unit to maintain the proper flow

of data through the pipeline stages (Kiritkumar Bhatt et al 2012, D Patterson 2005). The different stages of

pipeline architecture are separated by the pipeline registers.

The pipeline stages for different type of instructions mentioned in Table 1 are shown in the Fig. 2. In the fetch

ched at every cycle from the instruction memory whose address is pointed by the

program counter (PC). During the decode stage, the registers are read from the register file and the op

passed to the control unit which asserts the required control signals. Sign extension is taken care for the

calculation of effective address. In the execute stage, for Register type instructions, the ALU operations are

performed according to the ALU operation control signals and for load and store instructions, effecti

calculation is done. The load and store instructions write to and read from the data memory using the data

logic while the ALU results and the data read from the data memory are written in to the register file

load instructions respectively in the write-back stage (Pande et.al.2008,Gautam P 2009,

s pipeline registers in-between each of the stages. These registers are used to

us to the following stages.

The pipeline is controlled by setting control values during each pipeline stage. Each control signal is active only

during a single pipeline stage and hence the control lines can be divided according to the four pipelined s

These signals will be forwarded to the adjacent stage through the pipeline registers (D. Folegnani 2001)

It maintains correct data flow to the ALU also compares the destination register address of the data waiting in

nd writes back pipeline registers to be written back to the register file with the current data required by

ALU and forward the latest data to it(B.Mayer 2001,M.Krste et.al. 2002). By forwarding the data at the

appropriate time, this unit assures pipeline does not stall as a result of the data dependencies, which arise when

an instruction needs to use the result of one of the processes before that result has returned to the register file.

The forwarding paths allow results to be passed between stages as soon as they are available. And the 4

pipeline requires each source operand to be forwarded from any of intermediate result registers.

The data and code memories are 32 X 256 bytes and 32X1024 bytes respectively while

32X32 bytes. The code memory is implemented as on-chip distributed ROM while the data memory as block

This module detects the conditions under which data forwarding is not possible and the pipeline stalls for one or

two clock cycles in order to make sure that instructions are executed with the correct data set. When it detect

stall, it disables any write operation in the instruction decode pipeline, stops PC from incrementing, and clears

the control signals generated by the control unit. By taking these steps it can delay the execution of any

instruction by one clock cycle. It can do this as many times as necessary to ensure proper execution of

y Architecture:

This processor architecture supports mainly three types of instructions: Register Type (R-

Type). Table1 lists the total instructions implemented in the processor

ce Sharing Strategy for Power Optimization

This proposed technique is implemented during the designing of the decode stage of the processor.

the processors’ design supports two types of the instructions’ addressing modes out of many others wh

immediate addressing and direct register addressing types. For example the addition operation can be performed

in Table 2.

Here, both the instructions performs almost the same operation i.e. addition and the operand 1

i.e. register r1. The operand 2 can be either immediate data value or a register depending on the type of

instruction. To introduce resource sharing technique both the opcode is required to decode to same operation that

opcode is 001110 and for Add the opcode is 000001 is decoded to perform the addition

www.iiste.org

in Fig.1, which consists of four stage pipeline. These stages are instruction

Data path, Control logic,

Memory and register blocks, the Data Forward Unit and the Hazard Detection Unit to maintain the proper flow

. The different stages of

The pipeline stages for different type of instructions mentioned in Table 1 are shown in the Fig. 2. In the fetch

ched at every cycle from the instruction memory whose address is pointed by the

program counter (PC). During the decode stage, the registers are read from the register file and the op-code is

gnals. Sign extension is taken care for the

calculation of effective address. In the execute stage, for Register type instructions, the ALU operations are

performed according to the ALU operation control signals and for load and store instructions, effective address

calculation is done. The load and store instructions write to and read from the data memory using the data

logic while the ALU results and the data read from the data memory are written in to the register file

(Pande et.al.2008,Gautam P 2009,

between each of the stages. These registers are used to

The pipeline is controlled by setting control values during each pipeline stage. Each control signal is active only

during a single pipeline stage and hence the control lines can be divided according to the four pipelined stages.

(D. Folegnani 2001).

It maintains correct data flow to the ALU also compares the destination register address of the data waiting in

nd writes back pipeline registers to be written back to the register file with the current data required by

. By forwarding the data at the

does not stall as a result of the data dependencies, which arise when

an instruction needs to use the result of one of the processes before that result has returned to the register file.

n as they are available. And the 4 – stage

pipeline requires each source operand to be forwarded from any of intermediate result registers.

The data and code memories are 32 X 256 bytes and 32X1024 bytes respectively while the register block is

chip distributed ROM while the data memory as block

he pipeline stalls for one or

two clock cycles in order to make sure that instructions are executed with the correct data set. When it detect

stall, it disables any write operation in the instruction decode pipeline, stops PC from incrementing, and clears all

the control signals generated by the control unit. By taking these steps it can delay the execution of any

instruction by one clock cycle. It can do this as many times as necessary to ensure proper execution of

-Type), Immediate Type

Type). Table1 lists the total instructions implemented in the processor[7,12,17].

This proposed technique is implemented during the designing of the decode stage of the processor. All most all

addressing modes out of many others which are

immediate addressing and direct register addressing types. For example the addition operation can be performed

Here, both the instructions performs almost the same operation i.e. addition and the operand 1 is common

i.e. register r1. The operand 2 can be either immediate data value or a register depending on the type of

instruction. To introduce resource sharing technique both the opcode is required to decode to same operation that

opcode is 001110 and for Add the opcode is 000001 is decoded to perform the addition

Page 3: Implementation of resource sharing strategy for power optimization in embedded processors

Computer Engineering and Intelligent Systems

ISSN 2222-1719 (Paper) ISSN 2222-2863 (Online)

Vol 3, No.8, 2012

operation and assigned opecode is 000101

Instead of using the separate resources for both the instructions which perform the same operation, this

technique channelize the instruction into one and hence the saving of half the resources is achieved from the

decode stage which would have been required if both the instructions were executed differently using their

separate resources. Thus in execute st

amount of power was saved by resource sharing just by adding some control signals in the decode stage and

eliminating many flip – flops, comparators and muxes which would have been

Through Resource sharing following instructions are channelized to one instruction and the considerable power

saving was achieved. This technique can be applied to all the stages with different logic as applied to decode

stage here. Thus from fetching, executing and write back stages considerable amount of resources are saved

Ayala2002, 2003, X Guan 2008, D. Brooks200)

is either incremented by 1 or incremented/d

position of PC. To perform this operation two adders and one subtractor is required. During the design of

processor twos’ complement logic was used for instruction fetching during the branching o

removes one subtractor. Further, the resource sharing is implemented by using 2:1 MUX with inputs of value 1 and 2’s complement

branch address and a branch signal as select line. This MUX output is then added to current PC to generate the

next PC value. This reduces further one adder as shown in following

Where X1 is X “00000001”, X2 is PC (31 downto 0) and Y1 is

operation can be expressed as follows:

PC = PC + PC_incr;

In Execute Stage the ALU required 2 Adders for the operations such as addition and increment i.e. one for

addition ( Add, Addi) and one for Increment (Inc) and 2 subtractors for subtraction

subtraction(Sub, Subi) and one for Decrement (D

In this proposed work during execute stage instead of using 4 adders/subtractors dedicated to each operation

one adder and a multiplexer have been implemented during the design of the CPU

have been optimized by which the p

newer logic which is implemented in the CPU can be explained through the following example and implemented

as shown in figure 4. Let us consider four operations are to be taken care

Scoones 2007):

(a) Add: a + b; (b) Inc: a + 1;

(c) Sub: a – b; (d) Dec: a

To achieve the resource sharing b register is given the input value through the 4:1 MUX with the opcode as

select line, as shown in following figure 4. And after the implementation of this logic the

generate the results and the logic for all the cases

4. Power Analysis of The Proposed

This section describes the power summary generated using the Xpower Analyzer. Two times the power analysis

has been carried upon the system under consideration, once before the implementation of the resource sharing

logic at the design level and the next time afte

for different clock frequencies upon which the

H Anderson 2004).

It is noted from the table that the power consumption is i

for both the architectures but the modified CPU always consumes less power compared to the conventional CPU

structure for all the clock frequencies.

5.

First time the power analysis was carried on the conventional CPU and the power summary sheet is as

shown in the figure 6 and the second time on the CPU with the modified logic structure in order to achieve the

power improvement.

The power summary sheet generated by the system after the implementation of resource sharing strategy is

as shown in figure 7.

The figure 8 shows the graphical comparison of power requirements of the

both the standard CPU and for the CPU with modified logic structure.

It is noted that the power improvement is achieved by 3mW

of the Resource Sharing logic in the conventional CPU at the hardware design level.

Thus the CPU with modified

Computer Engineering and Intelligent Systems

2863 (Online)

37

operation and assigned opecode is 000101(Z.Zhu 2005,I.Ahmad 2011).

Instead of using the separate resources for both the instructions which perform the same operation, this

technique channelize the instruction into one and hence the saving of half the resources is achieved from the

decode stage which would have been required if both the instructions were executed differently using their

separate resources. Thus in execute stage only one adder does the work for both types of instructions. Thus much

amount of power was saved by resource sharing just by adding some control signals in the decode stage and

flops, comparators and muxes which would have been required in the later stage.

Through Resource sharing following instructions are channelized to one instruction and the considerable power

saving was achieved. This technique can be applied to all the stages with different logic as applied to decode

Thus from fetching, executing and write back stages considerable amount of resources are saved

Ayala2002, 2003, X Guan 2008, D. Brooks200). During the instruction fetch operation the Program Counter (PC)

is either incremented by 1 or incremented/decremented by the content of branch address from the current

position of PC. To perform this operation two adders and one subtractor is required. During the design of

complement logic was used for instruction fetching during the branching o

Further, the resource sharing is implemented by using 2:1 MUX with inputs of value 1 and 2’s complement

branch address and a branch signal as select line. This MUX output is then added to current PC to generate the

next PC value. This reduces further one adder as shown in following figure 3.

Where X1 is X “00000001”, X2 is PC (31 downto 0) and Y1 is PC_incr signals. The Program Counter

operation can be expressed as follows:

_incr;

e Stage the ALU required 2 Adders for the operations such as addition and increment i.e. one for

addition ( Add, Addi) and one for Increment (Inc) and 2 subtractors for subtraction and decrement i.e. one for

subtraction(Sub, Subi) and one for Decrement (Dec).

In this proposed work during execute stage instead of using 4 adders/subtractors dedicated to each operation

one adder and a multiplexer have been implemented during the design of the CPU and the resource utilization

the power reduction has been achieved. The design and the functionality of the

newer logic which is implemented in the CPU can be explained through the following example and implemented

Let us consider four operations are to be taken care(D.Brookes 2000, A.Merkel 2006,K

dd: a + b; (b) Inc: a + 1;

(d) Dec: a – 1;

To achieve the resource sharing b register is given the input value through the 4:1 MUX with the opcode as

line, as shown in following figure 4. And after the implementation of this logic the

generate the results and the logic for all the cases to perform C = a + b is as shown below.

roposed Strategy

tion describes the power summary generated using the Xpower Analyzer. Two times the power analysis

has been carried upon the system under consideration, once before the implementation of the resource sharing

logic at the design level and the next time after its implementation. The Table 4 describes the power requirement

upon which the power requirement is analysed ( K R Bhatt 2011, R Jevtic 2008, J

It is noted from the table that the power consumption is increased with the increase in the clock frequency

for both the architectures but the modified CPU always consumes less power compared to the conventional CPU

structure for all the clock frequencies. Graphical view of this comparison can be represented as s

the power analysis was carried on the conventional CPU and the power summary sheet is as

shown in the figure 6 and the second time on the CPU with the modified logic structure in order to achieve the

wer summary sheet generated by the system after the implementation of resource sharing strategy is

The figure 8 shows the graphical comparison of power requirements of the various parts of the systems for

the CPU with modified logic structure.

It is noted that the power improvement is achieved by 3mW (2.65%) in the system after the implementation

of the Resource Sharing logic in the conventional CPU at the hardware design level.

d architecture performs the functionality with lesser power.

www.iiste.org

Instead of using the separate resources for both the instructions which perform the same operation, this

technique channelize the instruction into one and hence the saving of half the resources is achieved from the

decode stage which would have been required if both the instructions were executed differently using their

age only one adder does the work for both types of instructions. Thus much

amount of power was saved by resource sharing just by adding some control signals in the decode stage and

required in the later stage.

Through Resource sharing following instructions are channelized to one instruction and the considerable power

saving was achieved. This technique can be applied to all the stages with different logic as applied to decode

Thus from fetching, executing and write back stages considerable amount of resources are saved (J

. During the instruction fetch operation the Program Counter (PC)

ecremented by the content of branch address from the current

position of PC. To perform this operation two adders and one subtractor is required. During the design of

complement logic was used for instruction fetching during the branching operation, which

Further, the resource sharing is implemented by using 2:1 MUX with inputs of value 1 and 2’s complement

branch address and a branch signal as select line. This MUX output is then added to current PC to generate the

PC_incr signals. The Program Counter

e Stage the ALU required 2 Adders for the operations such as addition and increment i.e. one for

and decrement i.e. one for

In this proposed work during execute stage instead of using 4 adders/subtractors dedicated to each operation

and the resource utilization

ower reduction has been achieved. The design and the functionality of the

newer logic which is implemented in the CPU can be explained through the following example and implemented

D.Brookes 2000, A.Merkel 2006,K

To achieve the resource sharing b register is given the input value through the 4:1 MUX with the opcode as

line, as shown in following figure 4. And after the implementation of this logic the single adder is used to

tion describes the power summary generated using the Xpower Analyzer. Two times the power analysis

has been carried upon the system under consideration, once before the implementation of the resource sharing

r its implementation. The Table 4 describes the power requirement

( K R Bhatt 2011, R Jevtic 2008, J

ncreased with the increase in the clock frequency

for both the architectures but the modified CPU always consumes less power compared to the conventional CPU

Graphical view of this comparison can be represented as shown in figure

the power analysis was carried on the conventional CPU and the power summary sheet is as

shown in the figure 6 and the second time on the CPU with the modified logic structure in order to achieve the

wer summary sheet generated by the system after the implementation of resource sharing strategy is

various parts of the systems for

in the system after the implementation

performs the functionality with lesser power. The power

Page 4: Implementation of resource sharing strategy for power optimization in embedded processors

Computer Engineering and Intelligent Systems

ISSN 2222-1719 (Paper) ISSN 2222-2863 (Online)

Vol 3, No.8, 2012

improvement is achieved here is only

better achieved by implementing many other strategies at the various abstract

The system under experiment has been implemented on Xilinx

the analysis purpose. The table 5 describes the summary of synthesis report.

5. Conclusion

A newly designed processor with 32

implemented. This hardware system is simulated, synthesized and verified by using VHDL coding, Xilinx ISE

13.1, Modelsim 6.5and Xilinx SPARTAN

strategy for resource sharing for power optimization; by which 2.65% improvement in power consumption is

achieved.

The power analysis of the design is done before and after the implementation of resource sharing strategy

by using Xpower Analyzer and 3 mW corrections is offered by the design.

The resource sharing logic is also tried for different clock frequencies and concluded that the power

consumption is increased with the increase in the operating frequencies but the modified

power for all the frequencies.

This design has been further optimized up to 14% of power saving by implementing various other

techniques such as clock gating (Kiritkumar Bhatt et al2012)

coding, bus inversion, 2’s complement versus sign magnitude which are not within the scope of this paper. Also

at the memory level the memory sub

combinational circuits can be taken care

instructions have an NOP stage in memory stage while there is no NOP during write back stage of the arithmetic

instructions, so write back stage of the arithmetic instructions can be moved to a

any resource conflicts to reduce the transitions.

Here, only hardware and the logic level techniques have been considered and the techniques related to

manufacturing process have not opted.

Thus, power consumption is a major f

available to reduce the total power consumption by a microprocessor system; these techniques are applied at

various abstraction levels. Authors believe that the power management needs mult

continually expanding with new techniques being developed at every abstraction levels.

Yet, it is too early to say that which technique will solve the problem of power consumption. But it is for

sure that this work can be taken as base and one can keep developing and adding more and more techniques to

the processor system under experiment

References

K R Bhatt, A I Trivedi (2008), “ Power Computation Model of C

Optimization”, Int. Journal of PDCS, Vol.3, No. 13, pp. 759

R. Jevtic, C. Carreras, and G. Caffarena(2008), “Fast and accurate power estimation of FPGA DSP components

based on high-level switching activity models,”

J. H. Anderson and F. N. Najm(2004)

Integr. (VLSI) Syst., vol. 10, no. 12, pp. 1015

Intel(2011), “High-Performance Energy

http://www.intel.com/design/ embedded/downloads/ 315336.pdf.

S. Collange, D. Defour, and A. Tisserand

Proc. ACM Ninth Int’l Conf. Computational Science (ICCS).

Steve Furber(2007), “ ARM – System on

Kiritkumar Bhatt, Saurabh Patel, A I Trivedi

Parallel Pipelining”, Int. Journal of PDCS, Vol. 4, No.1, pp 54

D A Patterson, J L Hennessy(2005)

Morgan Kaufmann.

P. Pande, A. Ganguly, B. Belzer, A. Nojeh, and A. Ivanov

Multicore Chips—An Overview,” Proc. IEEE Int’l Symp. Circuits and Systems (ISCAS).

D. Folegnani and A. Gonza´lez(2001)

Architecture, pp. 230-239.

Kiritkumar Bhatt, A I Trivedi(2011)

on FPGA”, Int. Journal of Programmable Devices Circuits and Systems, Vol. 3,

Gautam P, Parthsarathy R, Karthi Balasubramanian

Computer Engineering and Intelligent Systems

2863 (Online)

38

improvement is achieved here is only because of the newly designed strategy, but further improvement can be

many other strategies at the various abstraction levels of the system design.

The system under experiment has been implemented on Xilinx – SPARTAN – 3E FPGA and synthesized for

the analysis purpose. The table 5 describes the summary of synthesis report.

32 – bit 4 – stage pipeline based on RISC principal has been successfully

implemented. This hardware system is simulated, synthesized and verified by using VHDL coding, Xilinx ISE

13.1, Modelsim 6.5and Xilinx SPARTAN – 3E FPGA as a target device. This paper suggested an innovative

strategy for resource sharing for power optimization; by which 2.65% improvement in power consumption is

The power analysis of the design is done before and after the implementation of resource sharing strategy

g Xpower Analyzer and 3 mW corrections is offered by the design.

The resource sharing logic is also tried for different clock frequencies and concluded that the power

consumption is increased with the increase in the operating frequencies but the modified

This design has been further optimized up to 14% of power saving by implementing various other

(Kiritkumar Bhatt et al2012), coding techniques means one

oding, bus inversion, 2’s complement versus sign magnitude which are not within the scope of this paper. Also

at the memory level the memory sub-banking as the storage element and partitioning between sequential and

combinational circuits can be taken care (D. Brookes 2000,kScoones 2007,Gautam P 2009)

instructions have an NOP stage in memory stage while there is no NOP during write back stage of the arithmetic

instructions, so write back stage of the arithmetic instructions can be moved to a memory stage without causing

any resource conflicts to reduce the transitions.

Here, only hardware and the logic level techniques have been considered and the techniques related to

manufacturing process have not opted.

Thus, power consumption is a major factor that limits the performance of computers. Many techniques are

available to reduce the total power consumption by a microprocessor system; these techniques are applied at

. Authors believe that the power management needs multidimensional inputs which are

continually expanding with new techniques being developed at every abstraction levels.

Yet, it is too early to say that which technique will solve the problem of power consumption. But it is for

en as base and one can keep developing and adding more and more techniques to

under experiment at different levels and can achieve a power optimized

, “ Power Computation Model of CMOS based FPGA used for Power

Optimization”, Int. Journal of PDCS, Vol.3, No. 13, pp. 759 – 762.

R. Jevtic, C. Carreras, and G. Caffarena(2008), “Fast and accurate power estimation of FPGA DSP components

level switching activity models,” Int. J. Electron., vol. 95, no. 7, pp. 653–668.

(2004), “Power estimation techniques for FPGAs,” IEEE Trans. Very Large Scale

, vol. 10, no. 12, pp. 1015–1027.

Performance Energy-Efficient Processors for Embedded Market Segments

embedded/downloads/ 315336.pdf.

S. Collange, D. Defour, and A. Tisserand(2009), “Power Consumption of GPUs from a Software Perspective,”

CM Ninth Int’l Conf. Computational Science (ICCS).

System on – Chip Architecture”, IInd

Edition, Pearson Education.

Kiritkumar Bhatt, Saurabh Patel, A I Trivedi(2012), “ Power Optimized Embedded Processor Design with

pelining”, Int. Journal of PDCS, Vol. 4, No.1, pp 54 – 60..

(2005),” Computer Organization and Design, the Hardware/Software Interface”,

P. Pande, A. Ganguly, B. Belzer, A. Nojeh, and A. Ivanov(2008), “Novel Interconnect Infrastructures for Massive

An Overview,” Proc. IEEE Int’l Symp. Circuits and Systems (ISCAS).

(2001), “Energy-Effective Issue Logic,” Proc. 28th Int’l Symp. Computer

, “ Power Estimation of Switching Activity for Low –

on FPGA”, Int. Journal of Programmable Devices Circuits and Systems, Vol. 3, No. 14, pp. 803

Gautam P, Parthsarathy R, Karthi Balasubramanian(2009), “ Low – Power Pipeliens MIPS Processor Design”,

www.iiste.org

the newly designed strategy, but further improvement can be

ion levels of the system design.

3E FPGA and synthesized for

stage pipeline based on RISC principal has been successfully

implemented. This hardware system is simulated, synthesized and verified by using VHDL coding, Xilinx ISE

er suggested an innovative

strategy for resource sharing for power optimization; by which 2.65% improvement in power consumption is

The power analysis of the design is done before and after the implementation of resource sharing strategy

The resource sharing logic is also tried for different clock frequencies and concluded that the power

consumption is increased with the increase in the operating frequencies but the modified design consumes less

This design has been further optimized up to 14% of power saving by implementing various other

, coding techniques means one-hot coding, Gray

oding, bus inversion, 2’s complement versus sign magnitude which are not within the scope of this paper. Also

banking as the storage element and partitioning between sequential and

(D. Brookes 2000,kScoones 2007,Gautam P 2009). The arithmetic

instructions have an NOP stage in memory stage while there is no NOP during write back stage of the arithmetic

memory stage without causing

Here, only hardware and the logic level techniques have been considered and the techniques related to

actor that limits the performance of computers. Many techniques are

available to reduce the total power consumption by a microprocessor system; these techniques are applied at

idimensional inputs which are

Yet, it is too early to say that which technique will solve the problem of power consumption. But it is for

en as base and one can keep developing and adding more and more techniques to

optimized processor.

MOS based FPGA used for Power

R. Jevtic, C. Carreras, and G. Caffarena(2008), “Fast and accurate power estimation of FPGA DSP components

668.

IEEE Trans. Very Large Scale

cessors for Embedded Market Segments,”

, “Power Consumption of GPUs from a Software Perspective,”

Edition, Pearson Education.

Optimized Embedded Processor Design with

and Design, the Hardware/Software Interface”,

terconnect Infrastructures for Massive

Effective Issue Logic,” Proc. 28th Int’l Symp. Computer

– Power Implementation

No. 14, pp. 803 – 807.

Power Pipeliens MIPS Processor Design”,

Page 5: Implementation of resource sharing strategy for power optimization in embedded processors

Computer Engineering and Intelligent Systems

ISSN 2222-1719 (Paper) ISSN 2222-2863 (Online)

Vol 3, No.8, 2012

Proc. Of Int. Conf. On ISIC pp.462 –

L. Benini, A. Bogliolo and G. Micheli

Power Management”, IEEE Trans. On VLSI S

B. Mayer(2011), “Low – Power Design for Embedded Processors”, Proc.

F.J. Cazorla, A. Ramý´rez, M. Valero, and E. Ferna´ndez

SMT Processors,” Proc. 37th Int’l Symp. Microarchite

Krste Asonavoic, Mark Hampton, Ronny Krashinsky and Emmett Witchel

Kluwer Academic Publishers.

M. Pedram(2001), “ Power Optimization and Management in Embedded Systems” , Proc. Of Int. Conf. On Asia

South Pacific Design Automation Conference, pp. 239

S. Lee and J. Gaudiot(2006), “Throttling

Architectures,” IEEE Trans. Computers, vol. 55, no. 9, pp. 1142

Z. Zhu and X. Zhang(2005), “Look

IEEE Micro, vol. 25, no. 4, pp. 10-19.

I. Ahmad and S. Ranka(2011), Handbook of Energy

CRC Press.

J L Ayala, A. Veidenbaum and M

Reduction”, Int. Journal of Parallel Program, Vol.31, No. 6, pp. 451

J L Ayala, A. Veidenbaum(2002), “ Reducing Register File Energy Consumption using Compiler Support”,

presented at workshop on Application

X.Guan, Y.Fei(2008), “ Reducing Power Consumption through Register File Partitioning and Compiler Support”,

Proc. Application Specific Systems, Architectures, Processors,pp.269

D. Brooks, et al(2000)., “Power

Next-Generation Microprocessors”,

D.Brookes,V.Tiwari, Matronosi (2000)

Optimization”, proc. 27th

Ann. Int. Symp. Computer Architecture, pp. 83

A. Merkel, F.Bellosa(2006), “Balancing Power Consumption in Multiprocessor Systems”, proc. ACM Eurosys

Conf.

K.Scoones(2007), “Power Management Technology for Portable

Design.

Table 1 Instructions Supported by the CPU

Instruction Types

Register Add, Sub, Mul, Or, And, Xor, Mov, Inc, Dec, Cmp

Immediate Addi, Subi, Muli, Ori, Andi, Xori, Movi

Branch Bz, Bnz, Br,

Table 2 Addition Operation

Operation

Immediate Addressing Type Operation:

Direct Addressing Type Operation:

Computer Engineering and Intelligent Systems

2863 (Online)

39

– 465.

L. Benini, A. Bogliolo and G. Micheli(2000),”A Survey of Design Techniques for System

Power Management”, IEEE Trans. On VLSI Systems, pp. 299 – 316.

Power Design for Embedded Processors”, Proc. Of IEEE Vol. 98, No.11

F.J. Cazorla, A. Ramý´rez, M. Valero, and E. Ferna´ndez(2004), “Dynamically Controlled Resource Allocation in

SMT Processors,” Proc. 37th Int’l Symp. Microarchitecture, pp. 171-182.

Krste Asonavoic, Mark Hampton, Ronny Krashinsky and Emmett Witchel (2002), “ Power Aware Computing”,

, “ Power Optimization and Management in Embedded Systems” , Proc. Of Int. Conf. On Asia

th Pacific Design Automation Conference, pp. 239 – 244.

, “Throttling-Based Resource Management in High Performance Multithreaded

Architectures,” IEEE Trans. Computers, vol. 55, no. 9, pp. 1142-1152.

ook-Ahead Architecture Adaptation to Reduce Processor Power Consumption,”

19.

, Handbook of Energy-Aware And Green Computing. Taylor and Francis Group,

J L Ayala, A. Veidenbaum and M L Valejo(2003), “ Power _ Aware Compilation for Register File Energy

Reduction”, Int. Journal of Parallel Program, Vol.31, No. 6, pp. 451 – 467.

, “ Reducing Register File Energy Consumption using Compiler Support”,

at workshop on Application – Specific Processors, Istabbul, Turkey.

, “ Reducing Power Consumption through Register File Partitioning and Compiler Support”,

Proc. Application Specific Systems, Architectures, Processors,pp.269 – 274.

, “Power-Aware Micro-architecture: Design and Modeling Challenges for

IEEE Micro, vol. 20, No. 6, pp. 26– 44.

(2000), “ Wattch: A framework for Architecture – Level Power An

Ann. Int. Symp. Computer Architecture, pp. 83 – 94.

, “Balancing Power Consumption in Multiprocessor Systems”, proc. ACM Eurosys

, “Power Management Technology for Portable Devices”, Austin Conf. Energy

Instructions Supported by the CPU

Instructions Implemented

Add, Sub, Mul, Or, And, Xor, Mov, Inc, Dec, Cmp

Addi, Subi, Muli, Ori, Andi, Xori, Movi

Bz, Bnz, Br,

Instruction format

Immediate Addressing Type Operation:

Addi r1 , 30 bit (Immediate Data)

Direct Addressing Type Operation: Add r1 , r2

www.iiste.org

,”A Survey of Design Techniques for System – Level Dynamic

Of IEEE Vol. 98, No.11.

, “Dynamically Controlled Resource Allocation in

, “ Power Aware Computing”,

, “ Power Optimization and Management in Embedded Systems” , Proc. Of Int. Conf. On Asia

Based Resource Management in High Performance Multithreaded

Ahead Architecture Adaptation to Reduce Processor Power Consumption,”

Aware And Green Computing. Taylor and Francis Group,

, “ Power _ Aware Compilation for Register File Energy

, “ Reducing Register File Energy Consumption using Compiler Support”,

, “ Reducing Power Consumption through Register File Partitioning and Compiler Support”,

architecture: Design and Modeling Challenges for

Level Power Analysis and

, “Balancing Power Consumption in Multiprocessor Systems”, proc. ACM Eurosys

Devices”, Austin Conf. Energy – Efficient

Instruction format

Addi r1 , 30 bit (Immediate Data)

Add r1 , r2

Page 6: Implementation of resource sharing strategy for power optimization in embedded processors

Computer Engineering and Intelligent Systems

ISSN 2222-1719 (Paper) ISSN 2222-2863 (Online)

Vol 3, No.8, 2012

Table 3 List of Instruction on which Resource Sharing Applied

Table 4 Power Requirement at Different Clock Frequencies

Instruction 1

Add (000001)

Sub(000010)

Mul(000011)

Or(000100)

And(000101)

Xor(000110)

Mov(000111)

Ror(001000)

Rol(001001)

Srl(001010)

Sll(001011)

Load (001100)

Store (001101)

Clock (MHz) Power Consumption in

Conventional CPU design (mW)

10

20

30

40

50

60

70

80

90

100

Computer Engineering and Intelligent Systems

2863 (Online)

40

List of Instruction on which Resource Sharing Applied

Table 4 Power Requirement at Different Clock Frequencies

Instruction 2 Operation Decoded to Opcode

Addi (001110) Addition Addition (000101)

Subi(001111) Subtraction Subtraction (00010

Muli(010000 Multiplication Multiplication (000110)

Ori(010001) OR

Andi(010010) AND Anding (000011)

Xori(010011) XOR Xorring (000010)

Movi(010100) Move

Rori(010101) Rotate Right Rotate Right(001000)

Roli(010110) Rotate Left Rotate Left(001100)

Srli(010111) Shift Right Shift Right(001101)

Slli(011000) Shift Left Shift Left(001110)

Loadi(011001) Load Same Load Signal for Both

Stori(011010) Store Same Store signal for Both

Power Consumption in

Conventional CPU design (mW)

Power Consumption in Modified CPU

design (4 – stage) (mW)

97 95

105 102

111 109

113 110

124 121

126 124

130 127

134 130

138 133

144 137

www.iiste.org

Decoded to Opcode

Addition (000101)

Subtraction (000100)

Multiplication (000110)

Orring (000001)

Anding (000011)

Xorring (000010)

Move(000000)

Rotate Right(001000)

Rotate Left(001100)

Shift Right(001101)

Shift Left(001110)

Same Load Signal for Both

Same Store signal for Both

Power Consumption in Modified CPU

stage) (mW)

95

102

109

110

121

124

127

130

133

137

Page 7: Implementation of resource sharing strategy for power optimization in embedded processors

Computer Engineering and Intelligent Systems

ISSN 2222-1719 (Paper) ISSN 2222-2863 (Online)

Vol 3, No.8, 2012

Table 5 Summary of Synthesis Report

Selected Device : XC3s500efg320

Devices

Number of Slices

Number of Slice Flip Flops

Number of 4 input LUTs

Number of bonded IOBs

Number of BRAMs

Number of MULT18X18SIOs

Number of GCLKs

Number of IOs

Speed Grade

Minimum period

Maximum Frequency

Computer Engineering and Intelligent Systems

2863 (Online)

41

Table 5 Summary of Synthesis Report

Device Utilization Summary

Selected Device : XC3s500efg320-4(SPARTAN – 3E FPGA)

Utilization

Devices Used Out of

1703 4656

Number of Slice Flip Flops 656 9312

Number of 4 input LUTs 3220 9312

Number of bonded IOBs 2 232

Number of BRAMs 9 20

Number of MULT18X18SIOs 3 20

Number of GCLKs 1 24

2

Timing Summary

4

18.768ns

Maximum Frequency 53.283MHz

www.iiste.org

% Utilization

36%

7%

34%

0%

45%

15%

4%

53.283MHz

Page 8: Implementation of resource sharing strategy for power optimization in embedded processors

Computer Engineering and Intelligent Systems

ISSN 2222-1719 (Paper) ISSN 2222-2863 (Online)

Vol 3, No.8, 2012

of CPU

Fig.3 MUX Usage for Reducing Resources

Computer Engineering and Intelligent Systems

2863 (Online)

42

Fig. 2 Pipeline Stages for Various

Fig.1 Low

X 1

X2

Branch

Fig.3 MUX Usage for Reducing Resources

MUX

www.iiste.org

Fig. 2 Pipeline Stages for Various Instructions

Fig.1 Low - Power Architecture

Y1

Page 9: Implementation of resource sharing strategy for power optimization in embedded processors

Computer Engineering and Intelligent Systems

ISSN 2222-1719 (Paper) ISSN 2222-2863 (Online)

Vol 3, No.8, 2012

Bin (31 downto 0)

X “00000001”

(2’s Complement B

of -1)X”FFFFFFFF”

2’s Complement of –

b)not(b) + 1

Opcode (5 downto 0)

Fig. 4 Resource Sharing Logic for above examples

Fig. 5 Comparison of P

Computer Engineering and Intelligent Systems

2863 (Online)

43

(2’s Complement B

b)not(b) + 1

Opcode (5 downto 0)

Fig. 4 Resource Sharing Logic for above examples

Fig. 5 Comparison of Power Consumption

MUX

www.iiste.org

Page 10: Implementation of resource sharing strategy for power optimization in embedded processors

Computer Engineering and Intelligent Systems

ISSN 2222-1719 (Paper) ISSN 2222-2863 (Online)

Vol 3, No.8, 2012

Fig. 6 Power Summary sheet before Resource Sharing

Fig. 7 Power Summary sheet after Resource Sharing

Computer Engineering and Intelligent Systems

2863 (Online)

44

Fig. 6 Power Summary sheet before Resource Sharing

Fig. 7 Power Summary sheet after Resource Sharing

www.iiste.org

Page 11: Implementation of resource sharing strategy for power optimization in embedded processors

Computer Engineering and Intelligent Systems

ISSN 2222-1719 (Paper) ISSN 2222-2863 (Online)

Vol 3, No.8, 2012

Fig. 8 Comparison of Power Requirement for both the structures

Kiritkumar Bhatt (Vadodara

Master’s (2002) Degree with specialization in Microprocessor Systems and Applications from the Elect. Engg.

Dept., Faculty of Tech. & Engg, M S University of Baroda.

At present he is working as an Associate Professor in the E & C Engg. Dept.,at Sardar Vallabhbhai Patel Institute of

Technology – Vasad, Gujarat

papers in national /international journals/confe

guided and worked on many UG and PG projects. He is member of various professional bodies such as IETE, SPE, ISTE, IE(I),

His research interest includes power estimation, optimization and system

embedded system design and implementation.

Prof. A.I. Trivedi is M.Phil, DIC, B.Tech (Hons) . He is professor in Electrical Engineering department in Factulty of

Technology, M.S.University, Baroda. His research areas are Communication Systems, Image processing, DSP etc. He

has guided four Ph.D. students and six are doing Ph.D. under his guidance. He has published many research papers and

articles in referred journals and international conference proceedings.

Computer Engineering and Intelligent Systems

2863 (Online)

45

Fig. 8 Comparison of Power Requirement for both the structures

Kiritkumar Bhatt (Vadodara – Oct’1972) presently pursuing his research and has received Bachelor’s (1997) and

Master’s (2002) Degree with specialization in Microprocessor Systems and Applications from the Elect. Engg.

Dept., Faculty of Tech. & Engg, M S University of Baroda.

orking as an Associate Professor in the E & C Engg. Dept.,at Sardar Vallabhbhai Patel Institute of

Vasad, Gujarat – India. He has 14 years of experience as an academician. He authored number of

papers in national /international journals/conferences of repute, also worked as designated reviewer for many IEEE conferences. He has

guided and worked on many UG and PG projects. He is member of various professional bodies such as IETE, SPE, ISTE, IE(I),

stimation, optimization and system-level dynamic power management, low

embedded system design and implementation.

is M.Phil, DIC, B.Tech (Hons) . He is professor in Electrical Engineering department in Factulty of

Technology, M.S.University, Baroda. His research areas are Communication Systems, Image processing, DSP etc. He

four Ph.D. students and six are doing Ph.D. under his guidance. He has published many research papers and

articles in referred journals and international conference proceedings.

www.iiste.org

presently pursuing his research and has received Bachelor’s (1997) and

Master’s (2002) Degree with specialization in Microprocessor Systems and Applications from the Elect. Engg.

orking as an Associate Professor in the E & C Engg. Dept.,at Sardar Vallabhbhai Patel Institute of

India. He has 14 years of experience as an academician. He authored number of

rences of repute, also worked as designated reviewer for many IEEE conferences. He has

guided and worked on many UG and PG projects. He is member of various professional bodies such as IETE, SPE, ISTE, IE(I), – India.

level dynamic power management, low – power processor and

is M.Phil, DIC, B.Tech (Hons) . He is professor in Electrical Engineering department in Factulty of

Technology, M.S.University, Baroda. His research areas are Communication Systems, Image processing, DSP etc. He

four Ph.D. students and six are doing Ph.D. under his guidance. He has published many research papers and

Page 12: Implementation of resource sharing strategy for power optimization in embedded processors

This academic article was published by The International Institute for Science,

Technology and Education (IISTE). The IISTE is a pioneer in the Open Access

Publishing service based in the U.S. and Europe. The aim of the institute is

Accelerating Global Knowledge Sharing.

More information about the publisher can be found in the IISTE’s homepage:

http://www.iiste.org

The IISTE is currently hosting more than 30 peer-reviewed academic journals and

collaborating with academic institutions around the world. Prospective authors of

IISTE journals can find the submission instruction on the following page:

http://www.iiste.org/Journals/

The IISTE editorial team promises to the review and publish all the qualified

submissions in a fast manner. All the journals articles are available online to the

readers all over the world without financial, legal, or technical barriers other than

those inseparable from gaining access to the internet itself. Printed version of the

journals is also available upon request of readers and authors.

IISTE Knowledge Sharing Partners

EBSCO, Index Copernicus, Ulrich's Periodicals Directory, JournalTOCS, PKP Open

Archives Harvester, Bielefeld Academic Search Engine, Elektronische

Zeitschriftenbibliothek EZB, Open J-Gate, OCLC WorldCat, Universe Digtial

Library , NewJour, Google Scholar