hot topics 2005 10 years after ‘first light’, gan lasers ...iopp.fileburst.com › test ›...

36
HOT TOPICS 2005 10 years after ‘first light’, GaN lasers hit the big time Infineon calls off $200 m Finisar deal Nakamura gets $8 m in Nichia settlement Going global: 3G wireless networks ALSO INSIDE January/February 2005 Volume 11 Number 1

Upload: others

Post on 26-Jun-2020

0 views

Category:

Documents


0 download

TRANSCRIPT

Page 1: HOT TOPICS 2005 10 years after ‘first light’, GaN lasers ...iopp.fileburst.com › test › cs_11_01.pdf · 10 years after ‘first light’, GaN lasers hit the big time Infineon

HOT TOPICS 200510 years after ‘first light’,GaN lasers hit the big time

Infineon calls off$200m Finisar deal

Nakamura gets $8min Nichia settlement

Going global: 3Gwireless networks

ALSO INSIDE

January/February 2005 Volume 11 Number 1

Page 3: HOT TOPICS 2005 10 years after ‘first light’, GaN lasers ...iopp.fileburst.com › test › cs_11_01.pdf · 10 years after ‘first light’, GaN lasers hit the big time Infineon

JANUARY/FEBRUARY 2005 VOLUME 11 NUMBER 1

III-V chipmakers stand to benefit significantlyfrom a global 3G roll-out in 2005. p16

Scientists in Italy have developed radiationdetectors based on silicon carbide. p29

VCSELs with triangular-shaped holes meanshigh power and singlemode output. p32

5 Headline News Finisar and Infineon threaten to take legal action as $200 m deal collapses...Nichia settles with Nakamura for $8 m

6 GaAs News RFMD invests $75 m in increased wafer capacity...TriQuint purchases Oregon filter business... Sumika expands its PHEMT-epiwafer production capability

7 LED News Concept cars reveal LED potential at Detroit show...SET strives for mass-market penetration with deep-UV LED...Asia competition poses a problem for Cree as LED demand begins to wane

11 Wide Bandgap News Japanese businesses talk up GaN transistors

13 Silicon Compounds IBM manufactures strained-germanium transistors via CMOS-compatible route...“Exceptional year” for WLAN market growth fuels SiGe sales surge

14 Opto News Software giants favor Blu-ray option over HD DVD

31 M&E News IQE’s “smooth” approach increases carrier speed

COMPOUND SEMICONDUCTOR JANUARY/FEBRUARY 2005 1

On the cover: 10 years after Nichia scientists produced a blue GaN laser operating at room temperature, 2005 will see the devices go into mass production asnext-generation DVD comes of age. Credit: Toshiba, NEC, Sharp Laboratories Europe, Memory Tech.

NEWS

16 The long wait is over as 3G technology goes global3G cell phones and networks, next-generation DVD, andhigh-speed InP transistors are some of the key applicationsthat will shape the compound semiconductor industry in2005, write Michael Hatcher and Richard Stevenson.

COVER STORY: HOT TOPICS 2005

21 Low-cost packaging is priority for millimeter-wave MMICsSuppliers of millimeter-wave MMICs must cut theirpackaging and assembly costs if the technology is toachieve mass-market success. Susan Curtis reports.

25 Wafer-fused VCSELs shape up for enterprise applicationsSwiss start-up BeamExpress has developed a high-volumemanufacturing process for long-wavelength singlemodeVCSELs that combines the strengths of InP and GaAstechnology. Company founder and chief scientist EliKapon outlines the wafer-fusion technique.

29 Silicon carbide opens the door to radiation-detection marketDesirable properties such as low-noise performance atroom temperature, radiation hardness and high-temperature operation could persuade manufacturers toinvest in silicon carbide-based devices for radiationdetectors. Richard Stevenson investigates.

FEATURES

Compound Semiconductor’s circulationfigures are audited by BPA International

32 Research Review VCSEL aids fiber transfer of RF signal...Triangular holes increase singlemode output power in lasers...InGaZnO shows promise as a transparent transistor

DEPARTMENTS

31 Product Showcase

ADVERTISING SECTION

NO

KIA

NF

N, S

ICP

OS

AN

D C

OF

IN-0

1

OS

RA

M O

PT

O S

EM

ICO

ND

UC

TO

RS

Page 4: HOT TOPICS 2005 10 years after ‘first light’, GaN lasers ...iopp.fileburst.com › test › cs_11_01.pdf · 10 years after ‘first light’, GaN lasers hit the big time Infineon

Editor Michael [email protected]: +44 117 930 1013. Fax: +44 117 925 1942

Features editor Richard [email protected]: +44 117 930 1192

Consulting editor Tim [email protected]: +44 117 930 1233

Commercial manager Rebecca [email protected]: +44 117 930 1032. Fax: +44 117 930 1178

Sales executive Luke [email protected]: +44 117 930 1033. Fax: +44 117 930 1178

Circulation manager Jackie [email protected]: +44 117 930 1218. Fax +44 117 930 1178

Publisher Sarah [email protected]: +44 117 930 1020

Production Teresa RyanAd production Jackie Cooke, Tanwen HafArt directorAndrew GiaquintoTechnical illustratorAlison ToveyPublishing director Richard Roe

SubscriptionsAvailable free of charge to qualifying individualsworking at compound semiconductor fabs andfoundries. For further information visitcompoundsemiconductor.net/subscribe.Subscriptions for individuals not meeting qualifyingcriteria: individual £82/$148 US/7119; library£184/$331 US/7267. Orders to CompoundSemiconductor, WDIS, Units 12 & 13, CranleighGardens Industrial Estate, Southall, MiddlesexUB1 2DB, UK. Tel: +44 208 606 7518; Fax: +44 208 606 7303. General enquiries:[email protected].

7979 total qualified circulation*

*June 2004 BPA audit statement

Editorial boardMayank Bulsara AmberWave Systems (USA);Andrew Carter Bookham Technology (UK);Jacob Tarn Epistar/Gigacomm (Taiwan); IanFerguson Georgia Institute of Technology (USA);Toby Strite JDS Uniphase (Poland); Mark WilsonMotorola (USA); Dwight Streit NorthropGrumman (USA); Joseph Smart RF Micro Devices(USA); Colombo Bolognesi Simon FraserUniversity (Canada); Shuji Nakamura Universityof California at Santa Barbara (USA)

©2005 IOP Publishing Ltd. All rights reserved.

US mailing information: CompoundSemiconductor (ISSN 1096-598X) is published 11 times a year for $148 by Institute of PhysicsPublishing, Dirac House, Temple Back, Bristol BS1 6BE, UK. Periodicals postage paid atMiddlesex, NJ 08846. POSTMASTER: sendaddress corrections to Compound Semiconductor,c/o PO Box 177, Middlesex, NJ 08846. US agent:Pronto Mailers Association Inc, 200 Wood Avenue,PO Box 177, Middlesex, NJ 08846.

2

Editorial

Air Products & Chemicals 20

Aixtron 3

Applied Optoelectronics Inc 26

Azko Nobel 10

Bandwidth Semiconductor 10

Compound Semiconductor Technologies 26

Dow Corning 28

Engis 31

EpiNova 24

Groupe Arnaud 24

III/V Reclaim 12

Indium Corporation of America 26

IntelliEpi 12

INTRINSIC Semiconductor IFC

J P Sercel Associates 14

KLATencor 30

k-Space Associates 31

Logitech 31

Loomis 22

Materials Research Society 28

Nitronex 6

NuSil 8

Raboutet 11

Riber IBC

Rockwell Scientific 10

Shiva Technologies 12

Tecdia 4

Thomas Swan Scientific Equipment 15

Veeco OBC

Wafer Technology 7

Advertisers’ Index

Despite the difficulties that have faced our industry over thepast three years or so, US company Cree has shone out as oneof the big success stories among III-V chipmakers. Everyquarter, it seemed, another record was broken – soaringrevenue, big profit margins and technological breakthroughs.

So the company’s January trading report came as a bit of ashock. Cree said that it was only expecting revenue in the current quarter tobe equal to that of December, at best.

Sure, the seasonal nature of the cell-phone handset market – whichaccounts for around half of Cree’s chip sales – means that demand is weakerat this time of year. But something more than seasonal changes seems to bebehind the slowdown. Increasing competition from low-cost Asian suppliersmay be part of the explanation, as well as Cree’s changing product mix,which has seen the firm introduce the competitively priced UT-230 LED forhandset keypad backlights. It could also be that growth in the cell-phonehandset market is, as predicted by Nokia and others, finally falling off.

Whatever the reason for the sluggish demand, the effect was to frighteninvestors and Cree’s stock tanked by more than one-quarter after it releasedits update. Trading at around $25 as this issue went to press, the stock is wayoff its late-2004 high of $42. Cree’s own senior managers certainly appearto have recognized the value of their assets during that period, betweenthem selling off around $40 million worth of stock in October andNovember. That even had an effect on the company’s bottom-lineperformance in the most recent quarter, with earnings per share slightlyreduced due to the stock options that were exercised.

Cree is set to remain a shining light in the industry – as well as a highlyprofitable enterprise – but it now looks to be facing a much trickier businessenvironment than it has been used to.

Michael Hatcher

COMPOUND SEMICONDUCTOR JANUARY/FEBRUARY 2005

Cree loses some sparkle

Page 5: HOT TOPICS 2005 10 years after ‘first light’, GaN lasers ...iopp.fileburst.com › test › cs_11_01.pdf · 10 years after ‘first light’, GaN lasers hit the big time Infineon

AIXTRON AG · Kackertstraße 15–17 · D-52072 Aachen, Germany · www.aixtron.com

Telling you what is available from our individualCVD service starts with listening… to you.

push yourPERFORMANCE

Performance stems from service. And at AIXTRON, service means genuine

added value for you. All areas of our company are oriented towards

the ”Global Service Network of AIXcellence”, based on your individual

requirements. Globally present, dedicated and close to our customers.

Product managers, process engineers, service technicians: Our staff

provides system consulting, technological installation, process-oriented

support and technical service. All to ensure exactly the right solution for

you, so that you are in a position to produce top CVD quality with top

productivity. Call us! We’ll listen.

TECHNOLOGY PRODUCTIVITY SERVICE PURE PLAY

con

sen

s G

mb

H, B

ad N

auh

eim

, Ger

man

y

Page 7: HOT TOPICS 2005 10 years after ‘first light’, GaN lasers ...iopp.fileburst.com › test › cs_11_01.pdf · 10 years after ‘first light’, GaN lasers hit the big time Infineon

HEADLINE NEWS compoundsemiconductor.net

COMPOUND SEMICONDUCTOR JANUARY/FEBRUARY 2005 5

Infineon Technologies has called off the$200 million deal that would have seen US-based Finisar acquire Infineon’s fiber-opticbusiness unit, which includes III-V chip fab-rication facilities.

A substantial number of redundancies atthe unit now seem inevitable, while both par-ties have raised the specter of potentiallycostly legal action against the other.

The Munich-based firm claims that Fini-sar’s board had said that it was likely to with-draw its recommendation that shareholdersvote in favor of the much-delayed acquisition.

“The significant delay and high uncertaintyof closing are expected to result in deteriora-tion of our fiber-optics business and in poten-tial harm to our customers,” explainedInfineon. “[The firm] has therefore decidedto terminate the agreement with Finisar.”

Finisar denies Infineon’s allegation that itwas planning not to recommend the deal to itsshareholders. “Infineon’s notice alleges thatthe purported termination was based onFinisar’s breach of the agreement,” said theSunnyvale, CA, company in a statementissued two days after Infineon terminated thedeal. “No such breach has taken place.”

Finisar claims to have told Infineon that itsboard was merely in the process of decidingwhether or not it would recommend the deal“in light of a number of recent adverse devel-opments” at the German company’s fiber-optics business.

This re-examination was in compliancewith the terms of the amended purchase agree-ment signed on October 11 last year, it claims.

When the deal was first announced in April2004, Infineon stood to hold a 38% equityshare in Finisar on completion of the switch,then worth $263million. The October amend-ment reduced the value of the deal from135 million Finisar shares to just 110 million,which would have been worth $206 millionon the day Infineon called off the acquisition.

In response to Infineon’s claim that it wouldseek to recover damages incurred in the ninemonths since the aborted transaction was firstannounced, Finisar says that it will defenditself “vigorously” and possibly make a returndamages claim of its own against Infineon.

Infineon’s fiber-optic unit, which is head-quartered in Berlin, includes a III-V chip

manufacturing facility at its Perlach fab inMunich, as well as a 56% holding in Taiwan-based Parolink, a joint venture with UnitedEpitaxy Company. Both would have beentransferred to Finisar.

The two firms had claimed that the com-bined entity would be “the largest pure-playoptical-components company in the world”.

But the poor financial performance of theInfineon unit, which has expertise in LEDsfor vehicle communications systems andlong-wavelength VCSELs among other tech-nologies, since the deal was struck is undoubt-edly a contributing factor to the collapse ofthe merger.

The Infineon unit made a loss of $34 mil-lion on revenue of $66.1 million in the sixmonths ended March 2004, before the acqui-sition was renegotiated. Since then, Finisarmade a loss of $45 million in the six monthsuntil the end of October 2004. Holding cashand short-term investments of just over$100 million, Finisar faced a mammoth taskto make the combined business profitable.

Rather than seeking another buyer,Infineon will now concentrate on restructur-ing the unit – a move that the company admit-ted was likely to involve cost-cuttingmeasures including lay-offs. The business hasmaintained a staff level of 1200 people sincethe Finisar deal was first announced.

With overcapacity still a big problem in thefiber-optic components sector, it is possiblethat Infineon will close the unit, although aspokesman says that the firm has “no inten-tion” of taking such a drastic measure.

Finisar and Infineon threaten to takelegal action as $200m deal collapses

Blue-LED pioneer Shuji Nakamura and hisformer employer Nichia have finally come toa compensation agreement over the inventionof the GaN-based devices.

Tokyo High Court told Nichia to payNakamura ¥840 million ($8.1 million), andthe final outcome must be regarded as a vic-tory for the company over the researcher.

The settlement is thought to be the most thata firm has ever paid an inventor in Japan, butit is far less than the ¥20 billion that Nakamura

was awarded by a Tokyo district court last year(Compound Semiconductor March 2004 p5).

A payment of that magnitude would haveposed a big financial headache for Nichia,even though it has a huge share of the esti-mated $4 billion high-brightness LED mar-ket. Nichia has said that it expects to make a¥100 billion profit on sales of ¥220 billion in2004, and it is investing heavily as it gears upfor mass production of GaN-based blue lasersfor next-generation DVD applications.

The high court estimated all Nakamura’sinventions while the researcher was at Nichiato be worth ¥608 million to the company. Theextra ¥230 million was awarded as compen-

sation for the delay in payment.A clearly unhappy Nakamura told Com-

pound Semiconductor that he was “very dis-appointed” with the settlement, while Nichiabelieves even the revised amount excessive.

Now working as a professor at the Univer-sity of California in Santa Barbara and as a con-sultant for Nichia’s US rival Cree, Nakamurahad originally sued Nichia in 2001 as sales ofthe firm’s nitride LEDs began to take off.

While the district court judge ruled thatNakamura’s contribution to blue LED devel-opment was “not less than 50%”, the final set-tlement has valued his work at Nichia to beworth just a fraction of that figure.

Under the aborted $200 million deal, Finisarhad stood to gain access to Infineondevelopments such as this transceiverdesigned for in-vehicle opticalcommunications via plastic optical fiber.

INF

INE

ON

Nichia settles withNakamura for $8m

Page 8: HOT TOPICS 2005 10 years after ‘first light’, GaN lasers ...iopp.fileburst.com › test › cs_11_01.pdf · 10 years after ‘first light’, GaN lasers hit the big time Infineon

GAAS NEWS compoundsemiconductor.net

COMPOUND SEMICONDUCTOR JANUARY/FEBRUARY 20056

RF Micro Devices (RFMD) is to spend$75million on expanding its 6 inch-wafer pro-cessing capacity at its GaAs fabrication facil-ity in North Carolina. The company isexpected to add 75 jobs to its payroll as a result.

RFMD co-founder Jerry Neal says that thiscapacity expansion is necessary to keepdriving down the cost of its products in linewith the 15–10% annual price erosiondemanded by cell-phone handset makers.

“We’re adding cleanroom space and put-ting in the facilities, and the last stage willbe moving in the processing equipment,” saidNeal. Around $25 million will be spent on thebuilding itself, with a further $50 million ear-marked for processing equipment. “We see

the coming year as one of major expansion ofGaAs capacity, as well as reduction in cost andcycle time,” he added.

The cell-phone handset sector, which is theprimary market for RFMD’s products, isexpected to continue its rapid growth this year,albeit at a slower rate than has been seen overthe past two years.

Nokia, the Finland-based market-leadingmaker of handsets and a key customer ofRFMD, has forecast 10% growth in total unitshipments this year on top of the 630 millionfigure it estimated for 2004. Other estimatesput the 2004 figure nearer 670 million. How-ever, Nokia expects the dollar value of the cell-phone market to grow at a slower rate in 2005.

RFMD invests $75m inincreased wafer capacity

TriQuint Semiconductor is to acquire TFRTechnologies, a company that makes thin-filmresonator filters, for around $3million in cash.

Based in Bend, Oregon, TFR manufactureselectronic filters based on bulk acoustic wave(BAW) or film bulk acoustic resonator tech-nology. The company has 21 employees andstands to generate revenue of $3 mil-lion–4 million in 2004, says TriQuint.

Although TFR’s products are used mostlyby the US military, TriQuint CEO RalphQuinsey is convinced that they are suited tothe high-volume consumer sector in cell-phone, Wi-Fi and WiMax applications. Theacoustic filters could be used in integratedfront-end radio products sold by TriQuint.

TriQuint plans to integrate BAW manu-

facturing into its existing surface acousticwave (SAW) filter production facility inOrlando, Florida. The transfer process isexpected to take around 18 months, with high-volume production slated for 2006.

BAW filters can operate at higher frequen-cies than current SAW technology, whichtypically works at up to 2.5 GHz. In compar-ison, TFR’s BAW filters can operated at morethan 10 GHz.

Quinsey says that the wider potential ofTFR’s technology will be “unlocked” onceBAW filter production has been transferredto its facility in Florida, allowing low-costdevices to be made in high volumes. TriQuintwill then look to penetrate commercial mar-kets with the technology.

Sumika Electronic Materials is to add GaAsPHEMT-epiwafer manufacturing capabilityat its Phoenix, AZ, site.

The Japanese firm, which is owned bySumitomo Chemical Company, alreadymakes GaAs HBT epiwafers in Phoenix. Theaddition of two new MOCVD systems meansthat Sumika now has two production sites forboth PHEMT and HBT epiwafers.

Sumika says that epiwafer growth using thenew equipment is expected to reach full-scaleproduction in the current quarter. The com-pany adds that it has plans to commercializenovel PHEMT technologies.

The extra capacity will also allow Sumikato add to its existing HBT and diode productlines in Phoenix.

Sumika was formed in 2003 when its par-ent company bought semiconductor-equip-ment-firm ATMI’s GaAs epiwafer group, andformed two new businesses.

In the Japan-based wing of the operation,at Sumitomo’s facility in Chiba, Sumika Epi-Solution makes HBT and PHEMT epiwafers.

TriQuint purchases Oregon filter business

Sumika expands itsPHEMT-epiwaferproduction capability

Page 9: HOT TOPICS 2005 10 years after ‘first light’, GaN lasers ...iopp.fileburst.com › test › cs_11_01.pdf · 10 years after ‘first light’, GaN lasers hit the big time Infineon

LED NEWS compoundsemiconductor.net

COMPOUND SEMICONDUCTOR JANUARY/FEBRUARY 2005 7

By Tim WhitakerLEDs were very much in evidence at theNorth American International Auto Show inDetroit in mid-January. While many manu-facturers have incorporated LEDs into theirlatest models, the exhibit itself also featureda vast array of LED displays.

Many of the cars at the show had made useof LEDs, notably the concept cars, includ-ing Acura’s RDX and the Ford Shelby GR-1.Both sported LED headlamps, although thistechnology is not expected to reach the mar-ket-place for several years yet.

Kia Motors America (KMA) unveiled theKCD-II Mesa, a concept vehicle developedby Kia’s California Design Center in Irvine.The SUVuses LEDs in all of its exterior lamps– namely headlamps, tail lamps, fog lights,brake lamps, front and rear turn signals,reverse lights and the center high-mountedstop lamp. The vehicle has one other distinc-

tive and unique lighting feature: a large cen-ter-roof-mounted front LED searchlight,which the company describes as being “per-fect for lighting up the waves at a night-timesurfing session”.

Tim Whitaker is editor of LEDs Magazine(www.ledsmagazine.com).

Concept cars reveal LEDpotential at Detroit show

Kia Motors America’s concept car, KCD-IIMesa, uses LEDs for all of its exterior lamps,including a roof-mounted LED searchlight.

Sensor Electronic Technology (SET), a USfirm developing short-wavelength LED chips,is shipping deep-UV products. Its latestAlInGaN-based LEDs emit at 265–365 nm,and suppliers of test and sensing equipmenthave placed the first orders with the company.

However, SET president Remis Gaska iskeen to expand the Columbia, SC, company’scustomer base: “We plan to penetrate very-high-volume markets for water and air purifi-cation and disinfection products.”

SET uses a novel growth technique knownas migration-enhanced MOCVD (ME-MOCVD) to fabricate its AlInGaN structures.The technique, which can be thought of as acombination of MBE and MOCVD growth,is said to be well-suited to the production ofdevices with a high aluminum content.

Gaska told Compound Semiconductor thatits UV LEDs are grown on 2 inch substrates,and that SET has scaled up its ME-MOCVDreactors, with the first 3 × 2 inch productiontool now operational.

SET hopes that a range of other applica-tions, such as food safety inspection, can alsobe penetrated in the future. The companyalready sells 340 nm LEDs and is also work-ing on high-power RF electronic devices.

KIA

MO

TO

RS

AM

ER

ICA

SET strives for mass-market penetrationwith deep-UV LED

Lamina Ceramics, the Westampton, NJ,company that makes high-brightness LEDarrays, has halved prices on two of its majorproduct lines. Cuts were possible becauseof the rapidly falling cost of LED die, whichLamina estimated at 20–30% per year. Theprice of its 570 and 108 lm white-lightsources, known as the BL-3000 and BL-2000 respectively, have been cut by 54%.

Korea-based Seoul Semiconductor hascommenced production of its Z-PowerLED series of high-power lamps, whichincludes a package containing three high-power red, green and blue chips.

The white Z-Power LED has a luminousflux of 140 lm at 1.4 A (5 W),corresponding to 28 lm/W, while itsluminous efficacy is 40 lm/W at 350 mA.

In brief

Page 10: HOT TOPICS 2005 10 years after ‘first light’, GaN lasers ...iopp.fileburst.com › test › cs_11_01.pdf · 10 years after ‘first light’, GaN lasers hit the big time Infineon

Long-lasting, reliable lighting. Accessible in themost inaccessible locations imaginable. That’s the promise of LEDs. And thanks to NuSil, high-powered versions will soon be available fromKaohsiung to Cape Canaveral to Kodiak, Alaska.

While our advanced packaging materials are helpinghigh-brightness LEDs fulfill their potential, yourneeds might be very different. From LEDs to fiberoptics, large batches to small, our Lightspan brandof products deliver precise, custom formulationsand the most complete line of high-refractive indexmatching adhesives, encapsulants and thermosetsavailable. All backed by more than 25 years ofengineering materials expertise.

What? When? Where? If it’s NuSil, it’s no problem.

Miles from civilization.

Can’t see a thing.

Light up the night.

NuSil Technology.

What’s your challenge? www.nusil.com or 805/684-8780

©2005 NuSil Corporation. All rights reserved. CS0205-PH

Page 11: HOT TOPICS 2005 10 years after ‘first light’, GaN lasers ...iopp.fileburst.com › test › cs_11_01.pdf · 10 years after ‘first light’, GaN lasers hit the big time Infineon

9COMPOUND SEMICONDUCTOR JANUARY/FEBRUARY 2005

LED NEWScompoundsemiconductor.net

By Michael HatcherCompetition from low-cost Asian manufac-turers may be causing US-based LED chip-maker Cree more difficulty than had beenexpected in the cell-phone handset market.The Durham, NC, company saw its sharevalue drop by more than 25% after releasingits latest trading figures.

According to a research note issued by ananalyst at investment bank Adams Harkness,Cree, which has enjoyed rapidly rising rev-enue and profit in the past few years, will findit increasingly difficult to challenge Nichia andother rivals as competition grows and intel-lectual property barriers begin to crumble.

Around 50% of Cree’s LED revenue comesfrom cell-phone-handset applications of itschips, and in May 2004 the company intro-duced its low-cost UT-230 LED for keypadbacklighting. Cree has long held a strong posi-tion in handset keypads and maintained rel-atively high profit margins, but that now looksto be changing, with more sapphire-based diefrom Asian suppliers appearing in phones.

“Unless the company can move out of key-pads and penetrate the display portion of thehandset, which may take time, we feel Creemay face a dilemma [due to] increasing com-petition and commoditizing chip prices,” saidAdams Harkness analyst Jed Dorsheimer.

But this strategy poses its own problems:“While Cree believes it can displace Nichiain the display portion of the handset, ourrecent tear-down research suggests this strat-egy may be more difficult than Cree initiallyanticipated,” continued Dorsheimer.

Spooked investorsHis assessment came after Cree posted rev-enue of $97.5 million for its financial quarterended 26 December 2004, which was slightlylower than it had predicted in earlier guidance.

Although that figure represented a 34%increase on the same period a year ago, it wasonly slightly higher than revenue in the priorquarter. “Overall LED chip demand hasslowed,” admitted Cree CEO Chuck Swoboda.

As a result, Cree now expects revenue in thecurrent quarter to be flat or slightly down, inthe $94 million–98 million range. That guid-ance spooked investors who were hoping thatCree would break through the $100million fig-

ure, and the company’s share price tumbledon January 14, the day after the quarterlyresults were announced. The day of the fall sawvery heavy trading, with more than 10 timesthe average volume of Cree shares changinghands, and around $670 million wiped off thefirm’s market capitalization.

Prior to that sudden drop, Cree’s share pricehad performed strongly through the secondhalf of 2004, almost doubling in value to morethan $40 between June and mid-December.Some of Cree’s senior executives sold largequantities of shares in that time. According todata supplied by financial information com-pany EDGAR Online, Cree chairman NealHunter sold $10.9 million worth of companystock over two days in late November, whilein October and November, the company’schief financial officer Cynthia Merrell soldshares to the value of around $20 million.

Swoboda admitted that the cost of theongoing conversion from 2 to 3 inch waferproduction had so far outweighed the bene-fits of manufacturing on the larger wafer size.

Around 15% of Cree’s LED wafers are nowmade on 3 inch wafers, with Swoboda expect-ing this figure to rise to more than 50% by theend of the current fiscal year in June.

Dorsheimer had sounded a lone note ofcaution over the long-term effect of increasedcompetition from Asian firms in June 2004when he slapped a “reduce” notice on Cree’sstock. Responding specifically to Nichia’smove to license its InGaN technology toTaiwanese manufacturers, the analyst said:“We believe the competition landscape maynot be as straightforward as we had thought.”

The analyst believes that with other high-volume applications such as LED backlight-

ing for televisions still a couple of years away,Cree may face a difficult period.

In a conference call to discuss Cree’s quar-terly results, Swoboda was keen to identifythe use of LEDs in LCD television back-lighting as “probably the single biggest busi-ness opportunity for Cree and the industry”.

Revealing that Cree had supplied LEDsto backlight a 40 inch LCD television demon-strated at the recent International ConsumerElectronics Show held in Las Vegas, Swobodabelieves that the application could prove to bea company-changing growth catalyst. Cree’srival Lumileds currently has the only com-mercial design win in this application area,supplying the LED backlights for large,expensive, Sony televisions.

Critical periodSwoboda forecasts that the next 6–9 monthswill be the critical period during which design-ins will be won and lost, with television mak-ers preparing to launch new products in timefor the 2005 holiday season.

However, according to market analyst firmiSuppli, the television-backlight market forLEDs is not expected to take off significantlybefore 2007. Its recent market forecast(Compound Semiconductor December 2004p27) predicts that after reaching $40 millionin 2006, the total value of the sector will riseto $115 million in 2007 as the technologytrickles down into in less-expensive sets.

With Cree facing increased competitionfrom Asia, and iSuppli forecasting the dollarvalue of the keypad backlight segment to bein decline, the company may need alternativemarkets to emerge faster than expected if it isto regain its previous momentum.

Asia competition poses a problem forCree as LED demand begins to wane

Cree’s “black” Friday:After doubling in valueto more than $40 inrecent months, Cree’sshare price dropped by25% the day after therelease of its latestfinancial report. In heavytrading on January 14,around $670million waswiped off the company’smarket capitalization. YA

HO

O F

INA

NC

E

15

20

25

30

35

40

45

Aug Sept Oct Nov Dec Jan 2005month

Cre

e sh

are

pric

e ($

)

Cree announcesQ2 results

Page 12: HOT TOPICS 2005 10 years after ‘first light’, GaN lasers ...iopp.fileburst.com › test › cs_11_01.pdf · 10 years after ‘first light’, GaN lasers hit the big time Infineon

With a cohesive and complete team of professionals, Bandwidth Semiconductor continues to growin today's marketplace. We operate a complete compound semiconductor growth & device fabricationline housed in a 13,500 sq. ft. class 100/1000 cleanroom.

BANDWIDTH SEMICONDUCTOR, LLC25 Sagamore Park Rd., Hudson, NH 03051

www.bandwidthsemi.com(603) 595-8900

Epitaxial and Foundry Inquiries: [email protected] M/F/D/V

Epitaxial Services MOCVD Experts – 20 Years ExperienceOur extensive MOCVD experience and capacity enable us to grow a wide range ofGaAs and InP epitaxial structures to our ownor to customer's designs.

• GaAs and InP-based materials• 2", 3", 4" Custom Epi-Wafer Capability• Excellent Uniformity & Reproducibility• Production & Development Quantities

Foundry ServicesWe design the process steps and conditions tomeet the desired device characteristics andimplement the process in our fab. Processequipment includes:

• PECVD • Metallization • Wet & Dry Etch• Photolithography • Dielectric & Optical Thin Film Deposition• Wafer Polishing, Lapping, Dicing & Cleaving

Page 13: HOT TOPICS 2005 10 years after ‘first light’, GaN lasers ...iopp.fileburst.com › test › cs_11_01.pdf · 10 years after ‘first light’, GaN lasers hit the big time Infineon

WIDE BANDGAP NEWS

COMPOUND SEMICONDUCTOR JANUARY/FEBRUARY 2005 11

Three Japanese microelectronics producershave made recent progress in GaN-based tran-sistors for wireless infrastructure applications.

Fujitsu Laboratories, a company that hasspearheaded the development of the technol-ogy, says that it has perfected a low-costmanufacturing process that could propel GaNHEMTs into commercial applications.

By using conducting substrates that are nor-mally only used for LED production, Fujitsusays that the devices can now be made at one-third of the conventional cost.

The company made a big splash a year agowhen it revealed a GaN HEMT amplifier thatemitted 174 W at 63 V and had a record drainefficiency (see Compound SemiconductorJanuary/February 2004 p5).

Fujitsu now claims that it can make theHEMTs on a conducting SiC substrate ratherthan the more conventional – and much moreexpensive – semi-insulating SiC.

The manufacturing process uses hydridevapor-phase epitaxy to deposit a 10 µm layerof AlN on n-SiC. The GaN HEMT layer isthen grown by MOCVD.

Devices made this way have a maximumoutput power of 101 W, a power-added effi-ciency of 50% and a gain of 15.5 dB.

Fujitsu discovered that parasitic capaci-tance drops as the thickness of the AlN epi-layer increases. According to the company,the wider bandgap of AlN compared withGaN also helps to suppress leakage current tothe conductive substrate.

Meanwhile, Matsushita Electric has devel-oped AlGaN/GaN-on-silicon field effect tran-sistors (FETs) that it claims could penetrate arange of high-volume applications.

Featuring so-called source-via-grounding(SVG) technology, the transistor’s source elec-trode can be connected to the silicon substratethrough holes formed on the surface side. Thisapproach eliminates source wires, bondingand pads from the substrate surface, therebyreducing chip size and wire inductance.

Matsushita’s FETs, which were fabricatedon 4 inch silicon substrates, have a breakdownvoltage of 350 V and can handle currents ofup to 150 A. By using its SVG technologyMatsushita also claims to have reduced theon-resistance of its FETs from 53 to 14 mΩ.

The GaN-based FETs could be used as

low-loss power switching devices in inver-tors for electrical appliances, hybrid cars andpower supplies, says the company. Accordingto Matsushita, using a silicon substrate meansthat its FET material is one-hundredth the costof comparable SiC power MOSFETs.

The third Japanese firm to target GaN isOki Electric, which is making available anearly version of its GaN-based HEMTs forwireless base-station applications.

Measuring 15×5.4×3.1mm, Oki’s HEMTshave an output power density of 7.8 W/mm,and a maximum output power of 50.2 W.

Oki expects sample shipments to begin inearly 2006, followed by volume productionlater that year. The company says it is aimingfor a 30% share of the global GaN high-fre-quency power device market by 2008.

Although GaN HEMTs are regarded as thesuperior chip technology for use in the power-amplifier stage of 3G base-stations, the highcost and relative immaturity means that sili-con LDMOS currently dominates the field.

Japan looks to be the most likely place forGaN microelectronics to catch on. Fujitsu saysthat with its new production technology, com-mercial devices can be expected within twoyears. With two other Japanese contenderspreparing to compete, as well as US-based RFMicro Devices saying that it plans to launcha commercial device in 2005, the race is on.

Fujitsu researchers presented details oftheir new AlGaN/GaN HEMT at the recentInternational Electron Devices Meeting. Thetransistor was made on a conducting SiCsubstrate, which Fujitsu says could reducethe cost of the technology by two-thirds.

Japanese businessestalk up GaN transistors

source gate drain

SiN

n-GaN

n-AlGaN

i-GaN

AIN(10µm)

N-SiC

2DEGlayer

Ti/Al Ti/Al

0.8µm

Ni/Au

Page 14: HOT TOPICS 2005 10 years after ‘first light’, GaN lasers ...iopp.fileburst.com › test › cs_11_01.pdf · 10 years after ‘first light’, GaN lasers hit the big time Infineon

Shiva personnel have over 750,000 hours of cumulative experience gained over the last 14 years applying GDMS technology to solve problems related to advanced materials

No one else has as many GDMS instruments in house producing data for customers

Serves blue chip clients in USA, Japan, Europe, S.Korea, Taiwan & Singapore

Shiva analyzes over 25000 samples of advanced materials EVERY YEAR! Probably70% of the world’s total!

Page 15: HOT TOPICS 2005 10 years after ‘first light’, GaN lasers ...iopp.fileburst.com › test › cs_11_01.pdf · 10 years after ‘first light’, GaN lasers hit the big time Infineon

SILICON COMPOUNDS compoundsemiconductor.net

COMPOUND SEMICONDUCTOR JANUARY/FEBRUARY 2005 13

IBM researchers claim to have made strained-germanium transistors using a technique com-patible with conventional CMOS processing.The PMOSFET devices, which were manu-factured using two different methods, showeda three-fold improvement in drive currentcompared with standard silicon transistors.

Using either local thermal mixing (TM) orselective ultrahigh vacuum CVD processing,strained-germanium channels were realizedon pre-patterned SiGe-on-insulator regions.

After forming the germanium layer, theIBM team, based at the company’s TJ WatsonResearch Center in New York, used a standardCMOS process to complete the devices.

According to IBM, the development, whichwas detailed at December’s InternationalElectron Devices Meeting (IEDM), demon-strates for the first time that strained-germa-nium devices can be manufactured usingconventional IC fabrication techniques. “IBMhas demonstrated methods that can selectivelyplace the strained germanium on the selectedareas of a chip using a CMOS-compatibleprocess,” said the company.

As chip makers face an increasingly uphillstruggle to keep pace with Moore’s Law, theuse of strain and alternative materials to con-ventional silicon in future ICs is becomingincreasingly likely. “System performancedepends on chip performance, and that willincreasingly depend on new materials anddesign techniques rather than simple scaling,”said T C Chen, IBM’s vice-president of sci-ence and technology.

“With this work we’ve drawn on our exper-ience [gained in] introducing technologies like

SiGe, silicon-on-insulator and strained sili-con,” said IBM, adding that it believes that thestrained-germanium technology could featurein future chip generations with feature sizesof 32 nm and smaller. According to currentindustry roadmaps, devices with these ICs areexpected to emerge around 2010.

The company also says that the manufac-turing technology it has developed improvesthe device without affecting other componentsor circuits on the same chip. “This dramati-cally reduces the risk of introducing a newmaterial,” it claimed.

IBM’s TM-grown devices may also helpthe development of an alternative dielectricmaterial to SiO2. Some of the transistors fab-ricated featured a 3 nm HfO2 gate dielectricdeposited using MOCVD. IBM says that theuse of germanium-based devices provides an“easier path” toward the introduction of so-

called high-k insulators.In a late-news paper presented at IEDM,

silicon giant Advanced Micro Devices(AMD) said that it has found a process tech-nology for making strained-silicon devicesthat does not rely on SiGe epitaxy.

The AMD team, along with co-workersfrom IBM, Sony and Toshiba, has found a wayto introduce strain without demanding sig-nificant changes to the current chip-manu-facturing process.

The new process step, which is called “dualstress liner”, enhances the performance ofboth n-channel and p-channel transistors. Thesilicon lattice is stretched in one transistorchannel, while compressed in the other.

First, a highly tensile Si3N4 liner isdeposited over the silicon-on-insulator wafer.The film is then patterned and etched fromPFET regions. Next, a highly compressiveSi3N4 liner is deposited, and this film is thenpatterned and etched from NFET regions.

Developed at IBM’s research center in EastFishkill, New York, the new approachincreased the transistor speed by 24% whileusing the same amount of power as similardevices that do not incorporate strain.

Intel has already developed and used a sim-ilar technology that uses SiGe to generatecompressive strain in the PMOS channel.

AMD says that it will gradually integratethe newly developed technique into all of its90 nm processor platforms, including futureAMD64 chips. The US company adds that thefirst processors to be manufactured using thedual stress liner method will be shipped in thefirst half of 2005.

Annual revenue has quintupled in the past yearat Canada-based SiGe Semiconductor, thanksto the rapid growth of the Wi-Fi market.

The company says it made $20.1 million inrevenue, although it did not reveal its bottom-line financial performance.

SiGe Semiconductor reckons that it has cor-nered around 45% of the global market for Wi-Fi power amplifiers, and recently sold its30 millionth unit. Most of these products havebeen shipped to China to be integrated intoconsumer electronics such as notebook PCs,

digital cameras and other PC peripherals.Central to the company’s rapid expansion

is its RangeCharger series of power amplifiersand its RF front-end modules for wirelessLAN equipment.

“2004 was an exceptional year,” said com-pany CEO Jim Derbyshire. “We are focusingon research and development of innovativesolutions that will improve integration, per-formance and reliability of Wi-Fi, Bluetooth,GPS and cellular-handset applications.”

According to chief financial officer Steve

Bower, SiGe is investing heavily in researchto expand opportunities in other markets forRF front-ends, with an initial public offeringof SiGe shares earmarked for the future.

The company is particularly looking toexpand its product offering in the tradition-ally GaAs-dominated sector of cell-phonehandset power amplifiers, and is working ondesigns with lead customers.

ICs targeted at GSM/EDGE, CDMA,CDMA2000, W-CDMA and GPRS cellularservices can all be expected in 2005.

A schematic cross-section of IBM’s idealCMOS structure featuring strained-germanium device channels. Germanium isdeposited in the PFET regions by selectivegrowth and deposition by masking theNFET region with an oxide or nitride film.

Si or s-SiSGOI

Si cap P+ N+

Si substrate

PFET (s-Ge channel) NFET (Si or s-Si channel)

s-Ge

IBM

‘Exceptional year’ for WLAN market growth fuels SiGe sales surge

IBM manufactures strained-germaniumtransistors via CMOS-compatible route

Page 16: HOT TOPICS 2005 10 years after ‘first light’, GaN lasers ...iopp.fileburst.com › test › cs_11_01.pdf · 10 years after ‘first light’, GaN lasers hit the big time Infineon

OPTO NEWS compoundsemiconductor.net

COMPOUND SEMICONDUCTOR JANUARY/FEBRUARY 200514

Software giants favor Blu-ray option over HD DVDWith Hollywood studios currently split overwhich of the next-generation DVD standardsto endorse, it appears that the electronic gam-ing industry may favor the Blu-ray technol-ogy developed by Sony and Matsushita.

Software giant Sun Microsystems and lead-ing games developers Electronic Arts andVivendi Universal Games (VU Games) arethe three latest companies to sign up to theBlu-ray Disc Association (BDA), joiningbusinesses including Nichia.

Blu-ray technology and its high-definition(HD) DVD rival rely on a blue GaN laserdiode chip, which is at the heart of everyplayer and recorder.

According to the BDA, it is the extra capac-ity of the Sony format that is winning overgames developers. Double-layer Blu-ray Discshold up to 50 Gbyte of data, while Toshiba’sdual-layer HD DVD format holds 30 Gbyte.

“These companies tell us they simply can’tdeliver the kinds of products they want to offerconsumers with any other format,” said chief

BDAspokesperson Maureen Weber. “Blu-rayoffers the capacity, performance and high-speed Internet connectivity to take us into thefuture of gaming,” added VU Games’ chieftechnology officer Michael Heilemann.

Meanwhile, both next-generation tech-nologies were a focal point at this year’sInternational Consumer Electronics Show(CES), held in Las Vegas in January.

Samsung unveiled its Blu-ray Disc playerand recorder at CES. The Korean company didnot confirm the release date of the machine,but it is expected to hit the stores this fall.

Other key hardware on display includedNEC’s prototype three-laser optical drive fordesktop computers. The drive is said to be thefirst to allow CD, DVD and HD DVD play-back through a single optical head.

NEC has developed a control system thatalters the numerical aperture of the objectivelens according to which laser – blue, red orinfrared – is required.

The Japanese company now says that it willdeliver HD DVD read-only drives inSeptember this year. Toshiba and Sanyo bothhave plans to supply HD DVD players in thefourth quarter, with Toshiba also due to delivernotebook PCs featuring the new technology.

In another boost for the HD DVD group,optical-disc manufacturer Memory-Tech saysthat it has six mass-production lines ready tomake up to 50 million HD DVD discs per year.

Featuring the JPSA ChromaDice™ System!

Our advanced 266nmChromaDice™ DPSS laser

system produces 8 wafer/hour LED throughput

with > 99% die yield at < $2 US per wafer.

Advanced 266 or 355nm DPSS Laser Process

Narrow Cuts: As Small As 2.5 Microns

≤ 3% LED Light Loss

Excellent Die Yield: > 99%

Up to 8 Wafers/Hour on Sapphire

Low Operating Cost: < $2 per Wafer

24/7 Maintenance-Free Operation

User-Friendly Windows® 2000™ Software

Automatic Alignment: < 1 Minute to Load & Align

Excellent Results on GaAs, Si and Other Materials

Visit www.jpsalaser.com today and find out more!

J P Sercel Associates, Inc. 17D Clinton Drive, Hollis, New Hampshire 03049 USATel. +1 (603) 595-7048 Fax +1 (603) 598-3835LST Technology Company, Ltd. TaiwanTel. 886-3-6563716 Fax 886-3-6563717

Speed, Quality, and Reliability

Blue LED’s on Sapphire

Now!Available 2.5µm Cut Width and 3% or Less Light Loss

Japanese company Mitsubishi plans todouble its red-laser production capacity to10 million units per month by September,claims a report in Nihon Keizai Shimbun.Said to already hold a 70% share of thered-laser market for DVD recorderapplications, the company is set to invest$20 million–30 million at itsmanufacturing base in Hyogo Prefecture. Highwave Optical Technologies,which is based in Brittany, France, saysthat it has signed a memorandum ofagreement to acquire Sweden-basedNorthlight Optronics in a deal expected tobe worth 716 million ($21 million).

Previously known as Ericsson’soptoelectronics division, Northlight wasset up in 2003 when its parent companydecided to exit the flagging opticalcomponents sector. Along with twoSwedish investment groups, Ericsson isone of the three major shareholders inNorthlight. Optoelectronic device manufacturerExalos has been awarded ISO 9001:2000certification. The Zurich, Switzerland-based company specializes in makingsuperluminescent LEDs based on both InPand GaAs technology.

In brief

Using a single lens and three separatelasers, NEC’s device is said to be theworld’s first optical disc drive to be CD,DVD and HD DVD compatible.

NE

C

Page 17: HOT TOPICS 2005 10 years after ‘first light’, GaN lasers ...iopp.fileburst.com › test › cs_11_01.pdf · 10 years after ‘first light’, GaN lasers hit the big time Infineon

CCS MOCVD SystemsYour future...is our business

• World leading supplier of MOCVD solutions

• Unique Close Coupled Showerhead (CCS) Technology

• Truly scalable reactor concept

• A range of Production and R&D systems available

• Low cost of ownership

• High yield

• Proven for a wide range of compound semiconductor materials

• Global service and support network

Thomas Swan Scientific Equipment LtdAnderson Road, Buckingway Business Park, Cambridge, CB4 5FQ. UK. Web: www.thomasswan.co.uk

Tel: +44 (0) 1223 519444 Fax: +44 (0) 1223 519888 email: [email protected] A member of the AIXTRON group of companies

Page 18: HOT TOPICS 2005 10 years after ‘first light’, GaN lasers ...iopp.fileburst.com › test › cs_11_01.pdf · 10 years after ‘first light’, GaN lasers hit the big time Infineon

compoundsemiconductor.net

COMPOUND SEMICONDUCTOR JANUARY/FEBRUARY 200516

COVER STORYHOT TOPICS 2005

After what has seemed like an inter-minable wait, 3G mobile technologyfinally looks like fulfilling its promise

on a global scale.When Japan’s NTT DoCoMo launched its

3G service back in 2001, the rest of the worldwas expected to follow its lead before long.But the slumping telecom and semiconductormarkets put paid to that idea and 3G went onthe back burner.

2004 and 2005 now look like being pivotalyears in the global 3G roll-out. Some criticaldevelopments have taken place among UScarriers recently, with major consolidation thestory of 2004. This has been followed swiftlyby the award of billion-dollar contracts toaccelerate the deployment of upgraded net-works across the US.

ABI Research – a New York-based tech-nology research think tank and consultancy –says that the $41 billion merger of US wire-less telecom giants Cingular and AT&TWireless (ATW) was a key development in3G evolution. Prior to the merger, ATW had

already launched 3G services across itsUniversal Mobile Telecommunications Sys-tem (UMTS) networks in six major US cities:Dallas, Detroit, Phoenix, San Diego, SanFrancisco and Seattle.

UMTS roll-outFollowing the merger, Cingular (itself a jointventure between SBC Communications andBellSouth) said that it would use the spectrumacquired with ATW to roll out the UMTS ser-vices to carriers throughout the US. Some pos-sible complications may arise from thefrequency bands used. ATW’s existing UMTSnetworks run at 1900 MHz, whereas thenationwide roll-out will operate at 850 MHz.

Nevertheless, Cingular says that it plans tobe offering 3G services in “most” major mar-kets by the end of 2006. “We expect to beginoffering UMTS services in additional marketssome time in the first half of 2005, withservices available in the top 100 US marketsby the end of 2006,” the company toldCompound Semiconductor. It expects to

3G cell phones and networks, next-generation DVD, and high-speed InP transistors are just some of the key applications thatwill shape the compound semiconductor industry in 2005, writeMichael Hatcher and Richard Stevenson.

Left: 3carrierextendsign upwill cochips ithe exp15–20%efficienGaN-bfinally

September markskey launch datefor next-gen DVDJust 10 years after Nichia researchersmade the first, primitive GaN laser,high-volume manufacture of thesedevices is to begin this year asnext-generation DVD players hit the bigtime. True, you can already buy a Blu-rayDisc player made by Sharp, Panasonicor Sony; but only if you’re in Japan andwilling to part with around $3000. Thatwill all change in September, which is setto be a key month as it marks the launchdate of NEC’s HD DVD drive and

(relatively) low-cost, high-volumeapplication of the technology.

Despite Blu-ray having reached themarket first with “early adopter”offerings, Toshiba’s rival HD DVD groupnow appears to be making fasterprogress with lower-cost products. AfterNEC’s September launch, look out forHewlett-Packard’s notebook computersfeaturing Toshiba HD DVD drives andHD DVD players from Sanyo.

Blu-ray Disc Association (BDA)member Samsung will provide somecompetition for NEC with its $1000player late this year.

For the compound semiconductorsupply chain, this industry split is not a

good thing, as globaare always preferabletheir suppliers seekinmanufacturing capacof GaN lasers for whsucceeds will be anofor a sector that has optoelectronic chip iyears thanks to the pof DVD players and r

Upwards of 10 comcould end up manufain volume, although tdevices are likely to cNichia initially. The twshared huge amountproperty relating to d

GaN lasers

HD DVD disc replicator Memory Techsays that it is ready to manufacture upto 50million of the next-generationdiscs. Shown here is one of the discs onthe Japanese company’s in-lineinspection machine.

ME

MO

RY

TE

CH

The long wait is over as 3

MO

TO

RO

LA

NO

KIA

Page 19: HOT TOPICS 2005 10 years after ‘first light’, GaN lasers ...iopp.fileburst.com › test › cs_11_01.pdf · 10 years after ‘first light’, GaN lasers hit the big time Infineon

17COMPOUND SEMICONDUCTOR JANUARY/FEBRUARY 2005

COVER STORYcompoundsemiconductor.net

spend around $1 billion upgrading to bothUMTS and higher-specification mobile stan-dards in the next couple of years.

Ericsson, which will be building theCingular network along with Lucent andSiemens, says that the existing GSM base-sta-tions will require new RF hardware for UMTSoperation. The company is currently evaluat-ing its power amplifier (PA) technology andis considering silicon LDMOS and GaAs-based solutions.

A marketing successIn Europe, where the wireless networks aregenerally more advanced than in the US, com-panies such as Vodafone and Orange have puttheir considerable marketing muscle behind3G services, and shops were full of the high-specification handsets over the recent holidayperiod. Handset maker Motorola has launcheda raft of 3G products to meet the expecteddemand and the company is to add around 16new wideband code division multiple-access(W-CDMA) models in 2005. Although theadvanced handsets accounted for only around5% of Motorola’s phone sales in 2004, thecompany is expecting this percentage toincrease dramatically in 2005.

Meanwhile, Vodafone plans to buy a 3Gnetwork from Nokia for deployment across

Australia and New Zealand. Nokia, whichalready has nearly 50 W-CDMA customersand 31 roll-outs in progress, also has big plansfor its 3G handset portfolio, with 10 new ter-minals planned for launch in 2005.

III-V chipmakers stand to profit from the3G roll-out in two ways: through continuednetwork upgrades, and handset applications.And it won’t be just RFIC manufacturers feel-ing the benefit. Phones that transmit videoclips and allow video messaging need displayscreens with high-quality backlighting pro-vided by high-brightness white LEDs.

According to Strategy Analytics, an inter-national market research and consulting firm,the value of 3G base-station shipments willgrow at a compound rate of 31% overallthrough 2009. But growth in the base-station

HOT TOPICS 2005

G services have been launched in Europe, and USrs are among many now spending billions of dollarsding network upgrades around the world. As customersp for the advanced technology, cell-phone applicationsntinue to represent the biggest market sector for III-Vin 2005. The critical challenge for chipmakers is to meetpectations of handset OEMs demanding price cuts of% per annum through increased manufacturingncy. Below: With RFMD planning to launch commercial

based power amplifier chips in 2005, the technology isexpected to give silicon LDMOS a run for its money.

ally agreed standardse for chipmakers andng to hit the rightcity. Still, the uptakeichever format that

other shot in the armpropped up thendustry in recent

phenomenal impactrecorders.mpanies in the BDAacturing GaN lasersthe bulk of thecome from Sony andwo companies havets of intellectual

device manufacture

and Sony tends to produce “cuttingedge” laser diodes in-house beforeoutsourcing “older” technology to itsindustry partners. Sony may also springa surprise by employing GaN substratesfor volume laser manufacture, althoughperhaps not immediately.

While the BDA and the HD DVDPromotion Group have been falling overthemselves recently to find favor atHollywood studios, they have also beenbusy wooing Microsoft in a bid to gettheir technology implemented in the nextXbox gaming console.

Although not regarded as a keybattleground, the gaming industry is aninteresting market sector, as it pitches

key BDA member Sony in direct conflictwith Microsoft as they fight to sell theirPlayStations and Xbox consoles to theworld’s gamers.

That ought to favor the HD DVDgroup, but according to some reportsToshiba’s format is not the first choiceamong software developers, who citethe extra capacity of Blu-ray as a keyadvantage of the technology. Sony iscertain to use Blu-ray in its PlayStation 3console, while two major developers(Electronic Arts and Vivendi UniversalGames) have now joined the BDA.

According to Nichia, its research teamobserved the first laser pulse generatedby a GaN laser operating at room

temperature in November 1995. Just10 years on, the technology is thecornerstone of a global industrymovement.

“The thing we’readdressing every day,what’s really drivingour business, is theissue of cost.” RFMD CO-FOUNDER JERRY NEAL

IDENTIFIES THE KEY ISSUE FACING

III-V CHIPMAKERS IN 2005.

G technology goes global

Sony ToshibaNichia NECMatsushita SanyoSharpSamsungMitsubishiHitachiLG Electronics

Blu-ray Disc HD DVD

Potential GaN chipmakers in the two DVD camps

Page 20: HOT TOPICS 2005 10 years after ‘first light’, GaN lasers ...iopp.fileburst.com › test › cs_11_01.pdf · 10 years after ‘first light’, GaN lasers hit the big time Infineon

COVER STORY compoundsemiconductor.net

COMPOUND SEMICONDUCTOR JANUARY/FEBRUARY 200518

PAmarket specifically, which is where GaAs(and potentially GaN) chips fit in, is expectedto peak in 2007 as demand for GSM andEDGE infrastructure declines and averageselling prices are eroded.

The positive effects are already being feltby GaAs chip and RF module manufacturers,with Skyworks Solutions ramping up PAmodule production for W-CDMAphones, andTriQuint, Agilent and RF Micro Devices(RFMD) all launching either new PAs or RFtransceivers.

Cautiously optimisticSkyworks and RFMD – the market leaders inGaAs-based handset products – are cautiouslyoptimistic about the opportunities presentedby 3G technology in 2005. Steve Machuga,Skyworks’vice-president of technology devel-opment, said, “In 2005, we will indeed seegrowth in 3G handset sales. As the 3G infra-structure continues to develop, most OEMswill offer multimode handsets to enable users

to access both 3G and existing networks.”According to Machuga, that means hand-

sets will need two radios and more complexRF switches, both of which are likely to meanhigher GaAs content per handset. He expects“substantially more” HBT amplifiers andPHEMT switches on the market in 2005.

And despite the range of device designsrequired for different wireless protocols andthe need for backwards-compatible handsetswith multimode radios, Machuga says that themanufacturing processes are similar, and thatthese more complex PAs will ultimatelybecome solitary devices.

As far as the networks are concerned,Machuga also sees increasing GaAs contentas the build-out accelerates. “Some of thetechnical demands for 3G, such as improvedlinearity, will provide opportunities for higher-performance PAs, such as those based onGaAs,” he said.

Over at RFMD, where the company isinvesting $75 million in an expansion of

wafer-processing capacity, Jerry Neal and col-leagues are seeing the benefits of advancedwireless communication at first hand. Nealhimself has an RFMD-powered cell-phonehandset that receives 32 television channelsover the “2.5G” EDGE network in Greens-boro, NC, while company employees usephones to transmit short video clips and high-resolution images in their everyday work.

Greater sophisticationNeal’s feeling is that firms like RFMD willinevitably benefit as cell phones draw togethermore and more applications. “All of the [new]functions and the higher data rates are drivingenhanced power in the phone,” he said. Thisextra functionality must be handled while thepower budget decreases, meaning thatincreasingly sophisticated PAs are required.

“We’re going to a whole new level ofsophistication when it comes to maintainingthe efficiency at all power levels,” Neal said.While he believes that demand will acceler-

HOT TOPICS 2005

High-speed transistordevelopment targeting theterahertz regime will be a strongresearch theme in 2005. Thetechnology is wanted by the USmilitary for a variety ofapplications, including analog-to-digital converters for digital-radar applications. One of theleading researchers in the field isMilton Feng of the University ofIllinois at Urbana-Campaign. Histeam recently made a 550 GHztransistor, and Feng predictsthat we will see a 700 GHztransistor before the year is out.

The UIUC group is busyrefining InP HBTs, structuresthat Feng considers best-suitedto very-high-frequencyoperation due to a combinationof their inherent speed coupledwith a reasonable breakdownvoltage. The advantage of suchdevices over competingstructures can be clearly seen inthe graph. “If you were going tomake a terahertz transistor withSiGe you’d run out of

breakdown voltage,” explainedFeng. “With PHEMTs you wouldhave the same problem. In ourcase [HBTs], we actually have[a breakdown voltage] of morethan 2 V at over 550 GHz.”

Feng suggests that InP HBTswill operate above 1 THz, butthat SiGe HBTs and InPPHEMTs are limited to 500 and700 GHz, respectively. He pointsout that bipolar transistors havebetter I-V characteristics, suchas output conductance, thanFETs: “When FETs shrink downto 20 nm, their outputconductance starts leakingbetween the source and thedrain.”

The problem with currentGaAs HBT technology is powerconsumption, which limits high-level integration. “If you make a5000 to 10,000 transistorconverter chip with GaAs, itwould consume more than10 W, and that burns the chipout,” said Feng. “With InP youcan increase the transistor level

from MSI [medium-scaleintegration] to VLSI [very-large-scale integration], because eachtransistor consumes less powerat a higher speed.”

The research groups

developing high-speed InPHBTs fall into two camps; thoseusing a single-heterostructuredesign, such as Feng, andthose fabricating double-heterostructure devices

Superfast transistors

InP set to break the speed limit 100

10

1

10010 1000

UIUCInP SHBT

Si BJTGaAs HBTInP HBTInP PHEMTGaN HFETUIUC InP SHBT

fT (GHz)br

eakd

own

volta

ge (V

)

UIUC

FujitsuInP

PHEMT

IBM SiGe9HP

UCSB GaN HFET

While transistors based on silicon, SiGe, GaAs and GaN will be limitedby breakdown voltage at very high speeds, the trend lines suggestthat terahertz operation will be possible with InP-based devices.

MIL

TO

N F

EN

G/U

IUC

Page 21: HOT TOPICS 2005 10 years after ‘first light’, GaN lasers ...iopp.fileburst.com › test › cs_11_01.pdf · 10 years after ‘first light’, GaN lasers hit the big time Infineon

19COMPOUND SEMICONDUCTOR JANUARY/FEBRUARY 2005

COVER STORYcompoundsemiconductor.net

ate the use of high-performance 90 nm siliconcircuitry, the RFMD co-founder says thatGaAs-based PAs will remain the technologyof choice for the next few years. That said,Neal thinks that the drive toward all-siliconPAs will gather momentum. “We have a lot ofresearch and development going on in thatarea,” he admitted. Cost is not the issue, how-ever, as the widespread use of 6 inch wafersand the shorter processing times (comparedwith silicon) of GaAs devices means that theIII-V technology is now very cost-competi-tive. “The move to integration is going to driveopportunities for silicon PAs.”

Incredible performanceOn the network side, Neal says that RFMDwill introduce GaN-based PAs for base-sta-tions in 2005. “We have those amplifiers now,and the power-density performance we aregetting is incredible,” Neal enthused. “We’retalking about a voltage breakdown in excessof 50 V, and a power density of 100 W/mm,

which is just incredible.”With reliability tests in progress, Neal

believes that the real technological battle inthe base-station field will be between LDMOSand GaN in the final amplifier stage, withGaAs remaining in driver amplifier applica-tions. “We think that GaN will give LDMOSa real run for its money.”

Neal wouldn’t confirm exactly when theGaN-based PAs would be launched, but he didindicate that RFMD had customers ready toimplement the technology.

RFMD will face stiff competition fromlocal rival Nitronex, plus the Japanese trioof Fujitsu, Matsushita and Oki Electric.

For Neal, however, one issue above all oth-ers will dominate 2005: “The thing we’readdressing every day, what’s really drivingour business, is the issue of cost.” With cus-tomers demanding a 15–20% price reductionannually, efficiencies must be driven up alongwith manufacturing volumes just to maintaincurrent revenue, hence the $75 millionGreensboro expansion.

Breaking ChinaChina promises huge volumes, but it is oneplace where 3G networks have yet to takeshape. Rumors abound that 2005 may see abreakthrough, with network trials in progressand local manufacturer Huawei launching itsfirst 3G handsets. But the key development isthe issue of 3G licenses, something that hap-pened in Europe five years ago. For now, thejury is out on whether the Chinese governmentwill issue the expected four licenses this year.If it does, 2005 ought to be remembered as theyear 3G really did go global.

HOT TOPICS 2005

(DHBTs), such as HRLLaboratories. DHBTs fall intotype I and type II categories. Intype I structures the conductionband and valence bandenergies of the lower bandgapmaterial both fall between theconduction and valence bandenergies of the higher bandgapmaterial. However, in type IIstructures the conduction bandof the narrower bandgapmaterial may, for example, bepositioned below the valence-band energy of the widerbandgap material.

Feng says that type I InPDHBT designs require atransition layer of 30–60 nmbetween the base and collectorto address issues associatedwith bandgap discontinuity: “Wechoose SHBTs, because ifyou’re going to shrink thecollector to 500–600 Å, then theadvantage of the DHBTdisappears. Fundamentally, atype I DHBT will be slower thana SHBT.” He concedes thatwhile type II DHBTs have a greatpotential to provide the ultimate

terahertz source, thedevelopment of such structuresis still in its infancy.

Whichever type of HBTdesign that the researchers use,one universal goal is to reducethe emitter size, therebyincreasing the base current.State-of-the-art high-speedtransistors have emitter widthsof around 0.25 µm, and areseveral microns long. Feng

acknowledges that althoughreducing the dimensions onlyyields a small improvement inthe current gain cut-offfrequency (fT), it significantlyimproves fmax, the maximumoscillation frequency. fT is themore fundamentally importantfigure as it depends on thetransport characteristics of thedevice, rather than its geometry.

Reducing the dimensions of

the emitter also reduces powerconsumption. IBM is currentlyproducing SiGe-basedtransistors with emitterdimensions of 0.1 ×2.5 µm: “If athree-five guy can shrink [an InPHBT] down to that scale byimproving the dopingconcentration and doing somebandgap engineering, then Ipredict that the device will beclose to terahertz operation,”said Feng. He cites achievingsufficient doping in the baseregion while maintaining crystalquality, and refinement of devicedesign to reduce emitter currentdensity, as two key hurdles toovercome as the devicedimensions are reduced.

MBE appears to be thepreferred growth method usedby researchers fabricatinghigh-speed InP HBTs, whichFeng puts down to the greatertime taken to develop MOCVDprocesses providinghigh-quality interfaces. Whiletype I InP structures favor MBEgrowth, type II structures appearbetter-suited to MOCVD.

Superfast transistors continued

MIL

TO

N F

EN

G/U

IUC

Transistor speed can be improved by reducing the width of theemitter. This SHBT made by Milton Feng and colleagues (denotedby the red diamond in the figure opposite), has an emitter width of0.25µm, an fT of 550 GHz and a breakdown voltage in excess of 2V.

collector emitter base

5 µm

“We think that GaN willgive LDMOS a real runfor its money.”RFMD PLANS TO INTRODUCE

GAN PAS IN 2005.

Page 22: HOT TOPICS 2005 10 years after ‘first light’, GaN lasers ...iopp.fileburst.com › test › cs_11_01.pdf · 10 years after ‘first light’, GaN lasers hit the big time Infineon

Optoelectronic devices like traffic signals

need to work without fail. Which is why

you need to work with Air Products.

We have the products and experts

to help you optimize your III-V process.

Our SolkatronicTM ultrapure gases include

arsine, high purity ammonia, phosphine and

hydrogen selenide. Our systems maintain

strict control of purity and consistency.

So your films and the devices they control can

set standards for reliability. Whether you’re

in LEDs, lasers, solar cells or other emerging

technologies, visit our web site or call

610-706-6000. There’s a lot riding on the

gas you use. Don’t take chances.

Dr. Rajiv Agarwal, George Ryals and

Dr. Suhas Ketkar have developed

proprietary analytical systems and

test protocols to monitor that our

ultrapure gases are consistently

on spec. Their testing and our

GASGUARD® delivery systems,

control gas purity from plant

to point of use.

When it comes to ultrapure gases, reliability doesn’t just save money.

tell me morewww.airproducts.com/compound

©2002 Air Products and Chemicals, Inc.

Page 23: HOT TOPICS 2005 10 years after ‘first light’, GaN lasers ...iopp.fileburst.com › test › cs_11_01.pdf · 10 years after ‘first light’, GaN lasers hit the big time Infineon

MMIC MANUFACTURING compoundsemiconductor.net

COMPOUND SEMICONDUCTOR JANUARY/FEBRUARY 2005 21

Low-cost packaging is priorityfor millimeter-wave MMICs

Extending MMIC technology to mil-limeter-wave (MW) frequencies hasemerged as a crucial strategy for

MMIC suppliers to achieve better profit mar-gins and drive future business growth.Although mass-market demand for low-fre-quency MMICs operating at 1–2 GHz hasbeen driven by the mobile-communicationsindustry, manufacturers operating in thishighly commoditized market are under con-tinual pressure to cut unit prices. What’s more,there are signs that the demand for microwavedevices may be starting to level off as the cell-phone industry matures.

Driving down pricesFigures released by ABI Research – a NewYork-based technology research think tankand consultancy – reveal that power ampli-fiers for wireless networks represented 68%of the total RF market at the end of 2003, val-ued at almost $2 billion, but that proportion isset to fall to 49% by 2008. According to LanceWilson, senior analyst for ABI, the only optionfor RF-device manufacturers is to diversify:“No matter whether the [cellular] businessgoes up or down, the price pressure on [thedevice manufacturers] is so great that theirproblem is one of revenue. Their average sell-ing price is falling, and they are converting tolower-cost packaging that will drive pricesdown even further.”

These challenging market conditions areprompting many MMIC suppliers to applytheir existing know-how to MW frequenciesat 20–100 GHz. A number of applicationsexist, but only a few commercial products arecurrently available. Indeed, TriQuint of theUS – one of the world’s leading MMICsuppliers – estimates that the market for com-mercial MW MMICs based on GaAs tech-nologies is set to grow from $163 million in2003 to $400 million in 2007.

MMICs operating in the MWspectrum offerseveral major benefits over their low-fre-quency counterparts. For a start, the higheroperating frequencies enable more informa-

tion to be encoded in the signal, which makeMWsolutions ideal for high-bandwidth, high-capacity communications systems. High-frequency MMICs also work for applicationsrequiring narrow antenna beams or high spa-tial resolution in a compact size, since theshorter wavelengths of MWs enable the use ofsmaller receiver and transmitter elements.

These advantages have already been put togood use in the space and defense sectors, butcontinuing advances in circuit design areenabling smaller and cheaper componentsto be developed for commercial end-users.For example, vendors of fixed-wireless equip-ment, such as Terabeam Wireless, CeragonNetworks and Bridgewave, are exploitingMW MMICs in 60 GHz communicationslinks that support data rates in excess of1 Gbit/s – enough for enterprise customers to

connect their internal Ethernet networks to thefiber infrastructure. Systems operatingbeyond 70 GHz are now being developed toprovide data rates of up to 10 Gbit/s with arange of up to one mile.

Satellite network operators are also eagerto harness the benefits of higher frequencies.Two-way communications based on very-small-aperture terminal satellite technologyat 12–18 GHz already represents a significantcommercial market for microwave MMICs,while next-generation systems at 26–40 GHzare set to be deployed in 2005 and 2006. Thesedeployments, which will offer better servicesat lower cost by enabling smaller antennas,dynamic bandwidth allocation and narrowerbeams, are likely to fuel strong demand forMW MMICs through 2008.

For true mass-market success, however, MW

Suppliers of millimeter-wave MMICs must cut their packaging and assembly costs if the technologyis to achieve mass-market success. Susan Curtis reports on the emerging sector.

Wireless communication terrestrial broadband 59–64 (US)54–66 (Europe)

Satellite communication two-way link 12–18 (Ku-band)26–40 (Ka-band)

Road transport telematics vehicle–vehicle 63–64auto radar 76–77

Military millimeter-wave imaging 94

Field Sample application Desired frequency (GHz)

Applications using the millimeter-wave spectrum

400300150 200100806050403025201510frequency (GHz)

0.0010.0020.004

0.010.020.040.10.20.4

124

102040

10030 20 15 10 8 6 5 4 3 2 1.5 1.0 0.8

millimeter-wave spectrum

wavelength (nm)

atte

nuat

ion

(dB

/km

)60 GHz V-band

94 GHzW-band

average atomosphericabsorption of millimeterwaves at sea level

Fig. 1. Variations inthe atmosphericattenuation ofmillimeter-wavesat sea levelcaused by waterand oxygenmolecules providewindows forshort-range andlong-rangetransmission.

Page 25: HOT TOPICS 2005 10 years after ‘first light’, GaN lasers ...iopp.fileburst.com › test › cs_11_01.pdf · 10 years after ‘first light’, GaN lasers hit the big time Infineon

23COMPOUND SEMICONDUCTOR JANUARY/FEBRUARY 2005

MMIC MANUFACTURINGcompoundsemiconductor.net

suppliers are looking to the emerging marketfor automotive anticollision radar at76–77 GHz. Radar-based systems providingadaptive cruise control are now being fittedto luxury cars – such as the Mercedes-BenzS-Class and the Volkswagen Phaeton – andfalling component costs look set to open up themid-price car market. Dan Green, TriQuint’sdirector of broadband technology, estimatesthat 4.5million MWMMICs will be deployedfor automotive radar applications by 2007,while total MW MMIC usage in this marketcould approach 100million units by 2010.

Commercial opportunitiesThere is no doubt that MW MMICs offer seri-ous potential in the commercial market-place,but the challenge for suppliers is to deliverpackaged and tested parts that meet the per-formance, cost and size criteria demanded bycommercial end-users.

These demands are already being addressedat the chip level by the major manufacturersof MW MMICs. “The latest generation ofMMIC manufacturing processes can deliver

the gain and bandwidth performance requiredfor applications at frequencies of up to100 GHz, while further optimization of MWcircuit designs are likely to reduce both thesize and cost of MMIC solutions,” said JeffPowell and Dave Bannister of the MMICs andMillimeter-Wave Modules group at QinetiQ.

According to Powell and Bannister, theleading MMIC foundries are ready to intro-duce price structures that would allow signif-icant rate reductions for high-volume orders,even with existing designs. But the big obsta-cle remains the cost of packaged MW trans-ceivers, which are often about five to six timesas expensive as bare MMIC die. “Only byreducing packaging and assembly costs canthe MWcommunity hope to achieve high-vol-ume sales of MMIC devices and trans-ceivers,” said Powell and Bannister.

To tackle these issues, package designersare now investigating whether low-cost, light-weight materials could replace the milled-metal packages that have traditionally beenemployed for MW components. Most atten-tion is focusing on laminates and low-tem-perature co-fired ceramic (LTCC) materials,which have already been exploited in MMICtechnology at lower frequencies.

One crucial advantage of LTCC and lamin-

ate materials is their ability to be formed intomultilayer packages that can replace a num-ber of individual modules with a common sub-strate incorporating both passive componentsand active devices. This approach reduces theoverall size of the MMIC subsystem, mini-mizes parasitic losses caused by electricalinterconnections, lowers assembly costs andenables high-level integration of functionsdemanding different device technologies.

For example, Kenjiro Nishikawa and col-leagues at NTT in Japan have exploited lam-inate multilayers to develop a fully integratedtransmitter operating at 57–60GHz and requir-ing a chip area of just 2.89mm2. The group hasalso built a 5.04 mm2 integrated receiver,achieving a noise figure of less than 6 dB.

To build these devices, Nishikawa and col-leagues form a 3D interconnection layer –consisting of four layers of 0.25 µm-thickpolyimide film and 1 µm-thick metal (0.2 µmfor the top-level metal) – on top of EuropeanMMIC foundry United Monolithic Semicon-ductor’s (UMS’s) commercial 0.15 µmPHEMT process technology (figure 2). Oncethe process is complete, the PHEMT has a cut-off frequency of 110 GHz.

This type of integration alleviates manyof the headaches associated with intercon-necting MW components, since traditionalwire bonds lead to high parasitic reactancesat these frequencies and often require labor-intensive assembly techniques. In contrast,multifunction modules enable low-loss inter-connects to be made between the devices andthe package as part of the fabrication process.

However, connecting the package to thenext-level subsystem remains a major prob-lem. One of the most promising solutions isflip-chip bonding, which allows low-lossinterconnects to be achieved with automatedpick-and-place machines that also ensure highthroughput and yield.

For example, researchers at NEC in Japanhave combined flip-chip bonding with anLTCC multilayer package to build 60 GHzMMIC modules for wireless applications.Eudyna Devices has also indicated that flip-chip modules might be used for automotiveradar systems, while fellow Japanese compa-nies Matsushita and NTT are investigating thepotential of the technology for high-perfor-mance packages.

Other promising interconnect technologiesinclude ball-grid arrays, which exploit an arrayof small metallic balls to provide a reliable andlow-cost interconnect between a ceramic pack-age and a circuit board. Meanwhile, UMS is

Fig. 2. NTT has produced fully integrated transceivers (above) by combining a commercialfoundry process offered by United Monolithic Semiconductor with 3D interconnectiontechniques. Below are photographs of the transmitter (left) and receiver (right) MMICs.

TFMS line TFMS line

transistor

GaAs substrate

resistorMIM cap

polyimide layers

top layer ofdevice process

3D/multilayerinterconnection

process(NEL 3D)

commercialfoundary device

process(UMS PH15)

strip line

from PLL

from BBand PLLRF

input RF output

IF input 2.1 ×2.4 mm

1.7 ×1.7 mm

to PLL

to PLL

Page 26: HOT TOPICS 2005 10 years after ‘first light’, GaN lasers ...iopp.fileburst.com › test › cs_11_01.pdf · 10 years after ‘first light’, GaN lasers hit the big time Infineon

MMIC MANUFACTURING compoundsemiconductor.net

COMPOUND SEMICONDUCTOR JANUARY/FEBRUARY 200524

using coupled electromagnetic transitionsbetween the chip and the substrate to provideW-band interconnects in a module designedfor automotive radar at 77 GHz (CompoundSemiconductor May 2003 p31).

These developments are certainly promis-ing, but more work is needed to develop stan-dard packaging solutions that are compatiblewith high-volume manufacturing techniques.One problem is that most module makers arealigned with their end-user market sectors,which reduces the opportunity for transferringnew ideas between subsystem manufactur-ers serving different markets. It also creates ageneral lack of appreciation among the end-user community of the importance of packag-ing in low-cost MMIC solutions.

These issues are now being addressed by theInternational Wireless Packaging Consortium(IWPC), which aims to bring together MMICsuppliers and system manufacturers to closethe “packaging knowledge gap”. Accordingto the IWPC, the introduction of cost-effec-tive packaging technologies has been ham-pered by poor communication between thefoundries, the component and module makers

and the system manufacturers.Although the organization covers all wire-

less technologies, the packaging challengesat MW frequencies have made this a crucialarea of activity. For example, a recent work-shop brought together device manufacturers,subsystem suppliers and end-users in theautomotive industry to share ideas on thedevelopment of MW chips and modules forlow-cost radar systems.

The IWPC is certainly attracting plenty ofinterest, with almost all the industry heavy-

weights appearing in its membership list. Byraising the profile of packaging issues alongthe whole supply chain, it hopes that suppli-ers will better meet the needs of their cus-tomers and, in so doing, reduce unit costs,deliver the required performance and speedup the time to market.

These and other issues related to MWMMICs are explored in detail in a new report,Commercial Applications for Millimeter-Wave MMICs. The report is published byTechnology Tracking, a partnership betweenQinetiQ, Europe’s largest science and tech-nology organization, and Institute of PhysicsPublishing, the publisher of CompoundSemiconductor magazine. See www.technology-tracking.com for more details.

Further readingK Nishikawa et al. IEEE GaAs IC Digest2003 p97.M Ito et al. IEEE MTT-S Int. MicrowaveSymp. Digest 2000 p57.

Susan Curtis is editor of the TechnologyTracking reports.

Fig. 3. Five MMICs feature in this Ka-bandtransmit module for satellitecommunications. They include two27–32GHz power amplifiers.

European Sole Agent of

• Arsenic 7N5 MBE slugs• Arsenic 6N, 7N, 7N5 chunks

Tel : 0033-1-44 73 10 70 Fax : 0033-1-44 73 10 [email protected]

Your MBE materialsone stop shop

• Arsenic 7N5• Gallium 7N• Indium 7N• Aluminium 6N5• Red Phosphorous 7N• Magnesium 6N• Manganese 5N8• Antimony 7N• Beryllium 5N• Silicon Dopant• PBN Crucibles

Your Wafersone stop shop

• Indium Antimonide• Indium Arsenide• Indium Phosphide• Gallium Antimonide• Gallium Arsenide• Gallium Phosphide• Silicon Carbide• Silicon • Sapphire

NewTMGa, TM In, DE Zn, Ce, Dy, Er, Gd, HfO2,Ho, La, Lu, Nd, Pr, Sm, Sc, Ta, Tb, Tm, Yb, Y

WWW.ARNAUDELECTRONICS.COM

MMICs

Page 27: HOT TOPICS 2005 10 years after ‘first light’, GaN lasers ...iopp.fileburst.com › test › cs_11_01.pdf · 10 years after ‘first light’, GaN lasers hit the big time Infineon

VCSELS compoundsemiconductor.net

COMPOUND SEMICONDUCTOR JANUARY/FEBRUARY 2005 25

Wafer-fused VCSELs shape upfor enterprise applications

Vertical cavity surface-emitting lasers(VCSELs) offer numerous advan-tages over their edge-emitting coun-

terparts for various different optoelectronicsapplications owing to their optical cavity con-figuration. In particular, VCSELs exhibitlower electrical power consumption, pure sin-gle-wavelength operation, easier couplinginto singlemode optical fibers, compatibil-ity with other optical elements for simplerpackaging, and lower manufacturing coststhanks to the possibility of on-wafer testing.

In fact, short-wavelength (<1 µm) VCSELsdominate very-short-haul (<100m) data-com-munication and optical-interconnect marketsand are also increasingly being employed insensor applications. This success results froma relatively simple fabrication technology,which relies on GaAs-based epitaxial wafersincorporating AlGaAs distributed Braggreflectors (DBRs) and an (In)GaAs/AlGaAsquantum well (QW) active region.

Playing catch-upVCSELs operating at longer wavelengths,particularly the 1310 and 1550 nm tele-communication wavebands, are attractivesolutions for developing low-cost, wave-length-controlled sources in local-area andmetropolitan optical fiber networks spanningtransmission distances of between 100 m and100km. The development of these long-wave-length emitters, however, has lagged behindtheir shorter-wavelength counterparts. Forbest performance, these devices requireGaAs/AlGaAs DBRs that offer a large refrac-tive index contrast between their layers and ahigh thermal conductivity coefficient, andInP-based QW active regions with a high opti-cal gain at up to 100 °C.

Using such a combination of III-V semi-conductor materials is particularly importantwhen tackling the challenge of developingsinglemode VCSELs that emit at least1–2 mW at temperatures of 70–90 °C. Thisperformance is essential to ensure reliable

operation of enterprise data and telecom net-works, which represent the largest applicationsector for long-wavelength VCSELs.

The difficulties associated with mixingGaAs- and InP-based structures using con-ventional epitaxy has prompted explorationof alternative techniques for making suchdevices. Approaches include using highlystrained QWs or quantum dot GaAs/InGaAsactive regions; dilute-nitride GaInNAs/GaAsactive regions; InP-based metamorphicDBRs; dielectric mirrors with InP-basedactive regions; and antimonide compounds.Although these techniques have made con-siderable progress, they still suffer limitationsin terms of the choice of emission wave-lengths and/or their singlemode output power.

A symbiotic relationshipAn attractive alternative to single-substrateVCSEL technologies is wafer fusion, wherethe device is constructed by bonding togetherthe separate components of the VCSEL cav-ity that are grown on their host substrates. Thisapproach combines InP-based active regionswith GaAs-based DBRs to give the bestVCSEL performance.

Amodified wafer-fusion technique, calledlocalized wafer fusion, has been developed atthe Laboratory of Physics of Nanostructuresin the Swiss Federal Institute of Technologyin Lausanne and is now being exploited bylocal start-up BeamExpress. In this technique,one or more wafer surfaces are structuredusing conventional lithography prior to thefusion step. This surface structuring definesthe optical cavity and the carrier-confinementregion inside the VCSEL. In particular, itpermits precise adjustment of the laser’s opti-cal-cavity length, which in turn enablesnanometer-scale precision when setting itsemission wavelength. In addition, localizedfusion helps to regulate the fusion processacross the rest of the wafer, yielding repro-ducible high-quality fused interfaces.

BeamExpress has recently fabricated 2 inch

Swiss start-up BeamExpress has developed a high-volume manufacturing process for long-wavelength singlemode VCSELs that combines the strengths of InP and GaAs technology.Company founder and chief scientist Eli Kapon outlines the wafer-fusion technique.

Fig. 1. BeamExpress’s 2 inch locally fusedwafers (top) combine GaAs-based DBRs and InP-based active regions. A micrograph(above) shows several VCSEL devicesfabricated on a hybrid wafer.

substrate

tunnel junction

contacts

quantum welltop DBR

p–n junction

fusedinterfaces

Si3N4

air gaps

Fig. 2. A cross-section of BeamExpress’slong-wavelength VCSEL, containing an InP-based active region with several strainedInAlGaAs quantum wells and a heavilydoped p–n tunnel junction sandwichedbetween two GaAs-based DBRs.

Page 28: HOT TOPICS 2005 10 years after ‘first light’, GaN lasers ...iopp.fileburst.com › test › cs_11_01.pdf · 10 years after ‘first light’, GaN lasers hit the big time Infineon

Photonic Device Fabrication – Glasgow, U.K.

Owing to recent new contract awards Compound Semiconductor Technologies isrecruiting in the following areas:

Senior Process Development EngineerA senior level appointment to develop and expand III-V Process Engineering activities within the company. Relevant processexperience includes photolithography, dielectric and metal deposition, wet and dry etching of InP and GaAs based materials. Develop device integration technologies to allow the fabrication of sophisticated integrated photonic components. Manage the transfer of processes from development to ISO certified manufacturing line. Act as prime interface with customers during NRE phase of contracts.

Process EngineerProvide line engineering support and interface with process development engineers, device engineers and manufacturing staff to ensure efficient delivery of product. Support the implementation and enhancement of the wafer process library. Optimise processes to increase device yields. Experience of processing III-V materials and/or photonic devices would be an advantage.

Senior Device EngineerA Senior Level appointment to expand CST’s optoelectronic design capability. Experience of semiconductor laser design would be a necessity. Design and optimise for manufacture discrete and integrated III-V devices. Liase with sub-contract suppliers and end customers to deliver devices to detailed specifications on time. Develop characterisation, test and reliability screens for specific devices. Develop simulation models for a wide range of device designs.

CV to [email protected]

Page 29: HOT TOPICS 2005 10 years after ‘first light’, GaN lasers ...iopp.fileburst.com › test › cs_11_01.pdf · 10 years after ‘first light’, GaN lasers hit the big time Infineon

27COMPOUND SEMICONDUCTOR JANUARY/FEBRUARY 2005

VCSELScompoundsemiconductor.net

double-fused VCSEL wafers with high uni-formity and excellent repeatability, therebyestablishing localized wafer fusion as a viablemass-production technology (figure 1). Suchwafers employ an industry-standard small diesize, enabling production of a large numberof devices per wafer.

Figure 2 shows a localized, double-wafer-fused VCSEL. The active region typicallyincorporates several InAlGaAs strained QWsand a heavily doped p–n tunnel junction,grown on an InP substrate by MOCVD usingsilicon and carbon dopants. Lateral electricaland optical confinement is obtained by etch-ing mesas through the tunnel junction. The topand bottom DBRs, typically containing 21.5and 35 periods of undoped Al0.9Ga0.1As/GaAsquarter-wavelength layers grown on GaAssubstrates, respectively, are fused to either sideof the active cavity material.

Mesas at the fused interface are surroundedby lens-shaped nanogaps formed by plasticdeformation of the fused wafers. Current isdriven through the tunnel junction into theactive region via n-type intracavity layers. Areverse-biased p–n junction around the mesaprovides efficient lateral-current confinement.

Optimized operationThe light-current-voltage characteristics atdifferent ambient temperatures for 1310 and1550 nm-emitting devices are shown in fig-ure 3. Optimizing the device design leads tothreshold currents of less than 3 mA and adiode voltage of less than 2.5 V throughoutthe temperature range for both wavebands. At85 °C, singlemode optical powers of 1.2 mWat 1340 nm and 1.6 mW at 1510 nm have beenobtained, and a sidemode suppression ratio ofbetter than 35 dB was achieved at all of thetested temperatures (figure 4).

Operation at selected wavelengths con-forming to the standardized course wave-length-division multiplexing (CWDM) gridhas been demonstrated with a mesa-trimmingtechnique that involves setting the cavitylength prior to the fusion step. Of equal impor-tance, the high singlemode power of Beam-Express’s localized wafer-fused VCSELs isobtained without compromising either beamshape or direct modulation speed.

By employing 7µm diameter apertures, cir-cularly symmetric fundamental-mode beamswith full width at half maximum values in theregion of 7.5 and 9.5° are obtained for 1310and 1550 nm VCSELs, respectively. Thesebeam shapes have a high coupling efficiencyinto singlemode fibers (80 and 70% with a

lens for 1300 and 1550 nm wavelengths,respectively, and 50% for butt-coupling atboth wavelengths). Direct modulation at3.125 Gbit/s is achieved with devices at bothwavebands, and the extension of modulationspeeds to 10 Gbit/s is under development.

BeamExpress’s devices exhibit singlemodeoutput powers at 85 °C that are two to threetimes as high as those of other 1310 and1550 nm-emitting VCSELs. Due to the sig-nificantly improved coupling efficiency intosinglemode optical fibers, these VCSELs cannow effectively replace edge-emitting dis-tributed feedback (DFB) lasers operating atmuch higher (>5 mW) singlemode powers.When operating at high temperatures(70–90 °C), the electrical power consumptionof a BeamExpress VCSELis one-tenth that ofa comparable DFB edge-emitting laser.

This very low power consumption enablesVCSELs to be packaged in far more compactmodules, opening the way to new generationsof low-cost, high-performance optical trans-ceivers. Low power consumption is just oneaspect of the ease of integration of thesedevices, which will play a major role inshrinking the footprint and the cost of

VCSEL-based optical modules.One example is 10G-BASE-LX4 trans-

ceivers, the four-wavelength CWDM standardfor 10 Gigabit Ethernet. Here, BeamExpress’sVCSEL technology offers a substantial costadvantage in multiplexing the multiwave-length laser array, making it suitable for high-volume low-cost manufacturing. In addition,efficient VCSELs operating in the 1310 and1550 nm telecommunication wavebandsenable production of 8-channel CWDMVCSELarrays, with yet more channels avail-able if water-free fibers are introduced.

BeamExpress is now working with manu-facturing partners to optimize future high-vol-ume production of localized wafer-fusedlong-wavelength VCSELs. Afully automatedwafer-fusion process allied to subsequent pro-cessing steps that resemble those establishedfor short-wavelength VCSELmanufacturingindicates that competitive chip costs will bepossible with this technology. The companyis engaged in intensive reliability tests for allaspects of the technology, and encouragingresults have already been obtained. Long-wavelength VCSELprototypes are also beingsampled by selected customers.

10 12864200

0.5

1.0

1.5

2.0

2.5

3.0

current (mA)

volta

ge (V

)

1340 nm VCSEL

23°C

100°C

23°C50°C

70°C

100°C

10 12864200

0.5

1.0

1.5

2.0

2.5

3.0

current (mA)

volta

ge (V

)

1510 nm VCSEL

22°C

60°C90°C90°C

40°C22°C

Fig. 3. The performance of BeamExpress’s VCSELs at high-temperatures establishes thedevices’ credentials for deployment in enterprise data and telecom networks.

1345 1350134013351330wavelength (nm)

1520

0

–10

–20

–30

–40

–50

–60

–70

1500 1505 15151510wavelength (nm)

0

–10

–20

–30

–40

–50

–60

–70

rela

tive

inte

nsity

(dB

)

rela

tive

inte

nsity

(dB

)Fig. 4. With a sidemode suppression ratio of at least 35dB and output powers of more than1mW, BeamExpress’s VCSELs are potential candidates for use in 10Gigabit Ethernet.

Page 30: HOT TOPICS 2005 10 years after ‘first light’, GaN lasers ...iopp.fileburst.com › test › cs_11_01.pdf · 10 years after ‘first light’, GaN lasers hit the big time Infineon

Highly skilled

technical experts worldwide

Dedicated to meeting your

needs throughout the electronics

production chain — from substrates

and fabrication to final assembly

Contact: [email protected] us on the web: www.dowcorning.com/compoundsemiconductor

Compound SemiconductorSolutions

We help you invent the future.™

©2004 Dow Corning Corporation

Dow Corning and We help you invent the future

are registered trademarks of Dow Corning

Corporation

04SFM250

SiC Wafers Materials Development

Passivation Custom Specifications

Packaging Analytical Testing

Assembly Production Management

Materials ServicesSiC Wafers Materials Development

Passivation Custom Specifications

Packaging Analytical Testing

Assembly Production Management

2005 MRS Spring Meetingwww.mrs.org/meetings/spring2005/www.mrs.org/meetings/spring2005/

ELECTRONICS AND PHOTONICS

A: Amorphous and Nanocrystalline Silicon Scienceand Technology—2005

B: Materials, Technology, and Reliability of Advanced Interconnects

C: Recent Advances in Superconductivity—Materials Synthesis, Multiscale Characterization, and Functionally Layered Composite Conductors

D: Materials, Integration, and Technology for Monolithic Instruments

E: Semiconductor Defect Engineering—Materials,Synthetic Structures, and Devices

F: Thin-Film Compound Semiconductor PhotovoltaicsG: Advanced Gate Dielectric Stacks on High-Mobility

SemiconductorsH: Giant-Area Electronics on Nonconventional SubstratesI: Organic Thin-Film ElectronicsJ: Micro- and Nanosystems—Materials and Devices

BIOLOGICAL AND MOLECULAR MATERIALS

K: Biological and Bio-Inspired Materials and DevicesL: Structure and Mechanical Behavior of Biological MaterialsM: Developing Nano-Bio InterfacesN: Polymer Gels for Emerging Technologies

NANOSCALE AND INTERFACIAL PHENOMENAAND RELATED MATERIALS

O: Thin Films—Stresses and Mechanical Properties XIP: In-situ Studies of Gas/Solid Surface Reaction DynamicsQ: “Smart” Surfaces and InterfacesR: Nanoporous and Nanostructured Materials for Catalysis,

Sensor, and Gas Separation ApplicationsS: Magnetic Nanoparticles and Nanowires

T: Nanostructured Diamond and Diamond-Like Materials for Micro- and Nanodevices

U: Science and Applications of Carbon Nanotubes

NEW APPROACHES TO MATERIALS SYNTHESISAND FABRICATION

V: Rare-Earth Doping for Optoelectronic ApplicationsW: Chemical-Mechanical Planarization—Integration,

Technology, and ReliabilityY: Solvothermal Synthesis and Processing of MaterialsZ: Chemistry of Nanomaterial Synthesis and ProcessingAA: Dynamic, Self-Organizing Systems in Multifunctional

Nanomaterials and Nanostructures

MODELING AND COMPUTATION

BB: Mechanical Properties of Nanostructured Materials—Experiments and Modeling

CC: Coupled Nonlinear Phenomena—Modeling and Simulation for Smart, Ferroic, and Multiferroic Materials

DD: Heat and Mass Transport at Nanoscale—From Fundamentals to Devices

EE: Linking Length Scales in the Mechanical Behaviorof Materials

GENERAL

FF: Advanced Devices and Materials for LaserRemote Sensing

GG: Materials and Technology for Hydrogen Storageand Generation

HH: Integrated Nanosensors

X: Frontiers of Materials Research

Member ServicesMaterials Research Society

506 Keystone DriveWarrendale, PA 15086-7573

Tel 724-779-3003Fax 724-779-8313

E-mail: [email protected]

For additional meeting information,visit the MRS Web site at

www.mrs.org/meetings/or contact:

March 28-April 1SYMPOSIUM TUTORIAL PROGRAMAvailable only to meeting registrants, the symposium tutorials willconcentrate on new, rapidly breaking areas of research and are designedto encourage the exchange of information by meeting attendees duringthe symposium.

EXHIBIT

A major exhibit encompassing the full spectrum of equipment, instrumen-tation, products, software, publications, and services is scheduled forMarch 29-31 in Moscone West, convenient to the technical session rooms.

SYMPOSIUM ASSISTANT OPPORTUNITIES

Graduate students who are interested in assisting in the symposium roomsduring the 2005 MRS Spring Meeting are encouraged to apply for aSymposium Assistant position. By assisting in a minimum of four half-daysessions, students will receive a complimentary student registration, aone-year MRS student membership commencing July 1, 2005, and a stipendto help defray expenses. Applications will be available on our Web site byNovember 1.

CAREER CENTER

A Career Center for MRS members and meeting attendees will be offeredin Moscone West during the 2005 MRS Spring Meeting.

PUBLICATIONS DESK

A full display of over 850 books will be available at the MRS PublicationsDesk. Symposium Proceedings from both the 2004 MRS Spring and FallMeetings will be featured.

GRADUATE STUDENT AWARDS

The Materials Research Society announces the availability of Gold andSilver Awards for graduate students conducting research on a topic to beaddressed in the 2005 MRS Spring Meeting symposia. Applications will beavailable on our Web site by October 1 and must be received at MRSheadquarters by January 5, 2005.

SYMPOSIA MEETING ACTIVITIES

2005 MRS Spring Meeting

The leading publication in compound semiconductors

Use Compound Semiconductor articles to reinforceyour sales message.

We can provide reprints of any Compound Semiconductor editorial pieces, features, analysis, news or products

FOR MORE INFORMATIONContact Rebecca GriffithsTel: +44 117 930 1032E-mail: [email protected]

Page 31: HOT TOPICS 2005 10 years after ‘first light’, GaN lasers ...iopp.fileburst.com › test › cs_11_01.pdf · 10 years after ‘first light’, GaN lasers hit the big time Infineon

SIC DETECTORS compoundsemiconductor.net

COMPOUND SEMICONDUCTOR JANUARY/FEBRUARY 2005 29

Silicon carbide opens the doorto radiation-detection market

Although silicon carbide (SiC) chips arelargely viewed as a solution for next-generation high-power devices, the

material’s intrinsic properties are also suitedto the detection of alpha, beta, ion and softX-ray radiation. At present, SiC detectors –devices comprising one or more rectifyingjunctions operating in reverse bias – only existin the research domain. However, SiC hasattractive properties as a detector, includinga low leakage current. This could allow chipsbased on the material to penetrate markets thatare currently dominated by silicon and ger-manium devices, and compound semicon-ductors such as CdTe and CdZnTe.

SiC detectors are made up of a layer of SiCsandwiched between two metal contacts.Incident radiation generates several electron-hole pairs that are pulled apart under anapplied electric field, creating a current thatis amplified and recorded.

Beating the competitionThese SiC devices will compete with estab-lished detectors for existing markets, includ-ing detectors for high-energy physics research,astronomical X-ray telescopes, and archeom-etry (the non-destructive identification ofchemical elements in works of art).

Giuseppe Bertuccio, a researcher atPolitecnico di Milano, believes that the advan-tages of SiC detectors include high-tempera-ture operation, detection of a range of particleswith various energies, and a potential for radi-ation hardness and high temporal resolution.

Bertuccio states that SiC detectors can dis-tinguish between small differences in the ener-gies of incident radiation even at roomtemperature, thanks to their negligible leak-age current. In contrast, silicon and germa-nium detectors used for X-ray spectroscopyapplications, which demand high-energy reso-lution, require cooling. “Typical leakage cur-rent densities of silicon detectors are of theorder of 1nA/cm2, but SiC detectors have cur-rent densities of as little as 1 pA/cm2, three

orders of magnitude lower,” remarkedBertuccio. This particular feature means thatSiC detectors can be made with a much largerdetection area than their silicon counterparts.

SiC is suitable for detecting various formsof radiation, including soft X-rays with ener-gies of between 0.1 and 20 keV. “For X-rayswith photon energies of greater than 2keV, SiChas a similar stopping power to silicon,

because the interaction is predominantly a pho-toelectric effect that depends on the presenceof silicon atoms,” said Bertuccio. However,for energies of less than 2 keV, SiC is superiorto silicon, thanks to its higher attenuation coef-ficient. SiC detectors can also detect relativisticparticles and ionizing radiation such as alphaparticles, protons and ions with energies of upto a few megaelectron-volts.

Desirable properties such as low-noise performance at room temperature, radiation hardness andhigh-temperature operation could persuade manufacturers to invest in silicon carbide-baseddevices for radiation detectors. Richard Stevenson investigates.

Circular SiC detectors(above, left) – designed forsingle-channel detection ofany X-ray source – andsquare detectors (above,right) – suitable for X-rayspectroscopic imaging –were produced underSiCPOS, a National Instituteof Nuclear Physics (INFN)funded program, and SiC-Cofin-01, an Italian Ministryof Education, University andResearch-funded programthat ran between 2002 and2003. The detectors werebuilt by Alenia MarconiSystems of Rome and thephysical characterization of

the SiC material used intheir manufacture wasdetermined by theuniversities of Bologna andMilan - Bicocca. The designof the detectors and theassociated electronics wasundertaken by thePolitecnico di Milano. Theradiation hardness and theperformance of thedetectors were evaluated bythe universities of Modenaand Turin, and FlorenceUniversity assessed thedetectors’ beta-radiationperformance. Recentadditional funding (providedby INFN and SiC-Cofin-04)

will enable the collaborationto investigate themanufacture of advancedradiation detectors. ThePolitecnico di Milano incollaboration with theUniversity of Milan willdevelop detectors withintegrated front-endtransistors for signalamplification. A GaN HEMTwill be added to a SiCdetector to act as the firsttransistor of the preamplifier,thereby eliminating the needfor external silicon devices,which exhibit a poorerperformance at highertemperatures.

SiC detector development

INF

N, S

ICP

OS

AN

D C

OF

IN-0

1

Page 32: HOT TOPICS 2005 10 years after ‘first light’, GaN lasers ...iopp.fileburst.com › test › cs_11_01.pdf · 10 years after ‘first light’, GaN lasers hit the big time Infineon

SIC DETECTORS compoundsemiconductor.net

COMPOUND SEMICONDUCTOR JANUARY/FEBRUARY 200530

Bertuccio acknowledges one downside ofSiC detectors: their relatively low detectionsignal, which is a direct consequence of SiC’swider bandgap. However, he points out thatSiC detectors have the lowest noise figures ofall semiconductor-based devices. This partlyexplains their highly desirable signal-to-noiseratio, which is ultimately limited by the per-formance of front-end electronics.

Today the scientific community’s effortsare directed toward building large-area detec-tors with a finer energy resolution. Bertucciobelieves that SiC and GaAs detectors will ben-efit from the techniques developed for theirsilicon predecessors that were used to formcomplex detection structures such as pixelarrays and microstrip detectors. The spatialresolution of these instruments should becomparable to multielement silicon detectors.

One potential advantage that SiC detectorshave over other compound semiconductordetectors is a higher temporal resolution. SiChas a high breakdown field of more than2 MeV/cm, allowing devices to be operatedunder a large applied electric field. “Thisimplies that the charge carriers can move at

their saturation velocities, thus achieving veryfast output current signals,” said Bertuccio.The saturation velocity for electrons in SiC isroughly double that of electrons in GaAs andsilicon. Afurther drawback of today’s siliconand GaAs-based detectors, when used in high-energy physics or radiation-monitoring appli-cations, is their limited radiation hardness.

Bertuccio believes that the unique charac-teristics of SiC detectors that allow them tooperate at raised temperatures could spur theirfirst commercial breakthrough. Other possi-ble applications include detectors for X-rayspectrometers and in radiation-level monitorsthat are used in the nuclear industry.

Material quality is keyAccording to Bertuccio, three obstacles cur-rently prevent the commercialization of SiCdetectors: growing thick epitaxial layers; pro-ducing layers with a low dopant concentra-tion; and the fabrication of ultrapuresemi-insulating bulk SiC crystals.

Today’s best efforts include SiC epitaxiallayers of up to 70 µm thick, and dopant levelsin the region of 5 × 1014 cm–3. However,Bertuccio believes that a layer of up to 300µmthick and a dopant level of less than 1013 cm–3

will be required to deplete the whole detectorat a reasonable voltage (100–500 V) andachieve detection efficiencies similar to thosein silicon devices currently used in X-ray andhigh-energy particle detectors.

He also notes that although semi-insulatingbulk SiC wafers of up to 400 µm thick arecommercially available today, they exhibitimpurity and defect densities well in excessof those present in epitaxial SiC. “This limitsthe performance of semi-insulating SiC radi-ation detectors due to the trapping of signalcharges at deep levels, which degrades the sig-nal-to-noise ratio,” said Bertuccio.

Compared with other semiconductor detec-tors, a commercial SiC device would be expen-sive because of the greater cost of SiC wafers:“Nevertheless,” said Bertuccio, “X-ray SiCdetectors do not require cooling, so runningexpenses for a spectrometer are lower.”

1001010.1

10–6

10–8

10–10

10–12

10–14

curr

ent d

ensi

ty (A

/cm

2 )

silicon

CdTe

CdZnTe

mean electric field (kV/cm)

SiC

1

10–7

10–9

10–10

10–11

10–12

curr

ent d

ensi

ty (A

/cm

2 ) 10–8

10010

silicon

mean electric field (kV/cm)

340 K

300 K

200

SiC

340 K

300 K

(Left.) Low leakage currents and the ability to withstand high electric-field strengths makeSiC a strong candidate for next-generation radiation detectors. (Right.) The relatively smalltemperature dependence of leakage current for SiC detectors, when compared with thoseusing silicon, makes them well-suited to high-temperature applications.

Page 33: HOT TOPICS 2005 10 years after ‘first light’, GaN lasers ...iopp.fileburst.com › test › cs_11_01.pdf · 10 years after ‘first light’, GaN lasers hit the big time Infineon

M&E NEWS

COMPOUND SEMICONDUCTOR JANUARY/FEBRUARY 2005 31

IQE Silicon Compounds claimsthat its UltraSmooth strained sili-con epiwafers improve CMOS-device performance below the100 nm node.

CMOS is a process technologythat uses both NMOS and PMOS(negative- and positive-channelmetal oxide semiconductor)devices. Improvements to NMOSdevice performance has resultedfrom using a strained active layer,but improving PMOS devices hasproved more problematic.

According to IQE, althoughadditional increases in strainshould, in theory, improve PMOSdevice speed, in practice, materialdefects increase and hamper both

NMOS and PMOS performance.IQE’s alternative approach has

been to develop a very low dislo-cation density strained silicon sur-face using what it describes as a“simple, cost-effective, single-stage epitaxial process”.

Working with a silicon ICmanufacturer, “significant per-formance enhancements” top-type and n-type devices havebeen demonstrated with strainedsilicon deposited on a SiGe bufferlayer with a 17% germanium con-tent, says IQE. Increases in themobility of carriers in PMOS andNMOS devices were 15 and100%, respectively, comparedwith standard silicon.

PRODUCT SHOWCASETo advertise your product in this section, contact Luke Neelon tel: +44 117 930 1033, or e-mail: [email protected].

Improved polishingEngis CorporationEngis is pioneering the use of diamond-based CMP-D slurry to replace oraugment costly traditional CMP polishing. Combined with the Hyprez line offlat lapping/polishing machines, a complete system is provided to bringinnovative, cost-effective solutions to the most demanding applications.Benefits include substantially reduced processing times; elevated throughputwith consistent, repeatable performance; reduced operating costs related tocleaning and disposal issues; and development of customized and proprietaryprocesses for each customer. Pilot wafer processing services offered.

Contact: Engis CorporationTel: +1 847 808 9400Fax: +1 847 808 9430E-mail: [email protected]: www. engismicrotech.com

IQE’s ‘smooth’ approachincreases carrier speed

Aixtron has sold a ThomasSwan GaN ShowerheadMOCVD production tool toAdvanced Epitaxy Technology(AET) of Taiwan.

AET will use the reactor withthe proprietary Close CoupledShowerhead (CCS) technologyfor high-volume production ofGaN-based ultrahigh brightnessLEDs. The German firm hasalso received an order for aThomas Swan CCS 7×3 inchMOCVD system to be installedat Northrop Grumman’s facility in Linthicum, MD, forresearch and development, and the production of

AlGaN/GaN devices. France-based Riber hasinstalled two MBE32P systemsin Japanese researchlaboratories. The machinedelivered to the NanoscienceResearch Team at RIKEN,Wako, Saitama Prefecture, willbe used to investigatenanometer-scale, high-techdevices. Another reactor hasbeen installed at theNanotechnology ResearchCenter of Hokkaido University,Sapporo, where it will producequantum dot heterostructuresfor electron-spin-basedcomputing.

In brief

CDP system for fault analysisLogitech LtdThe CDPsystem from Logitech Ltd (Photonics West, booth no.1705) is idealfor global planarization of III-V wafers and delayering IC/MEMS devicesfor fault analysis and reverse engineering.

The fully automated control panel allows process parameters to be storedfor future use, helping ensure end result repeatability. This, coupled with alow cost of ownership, makes the CDP particularly useful for testing newCMP slurries, pads and templates for off-line analysis and for off-line trialsof new CMP processes without stopping production runs.

Contact: Logitech Ltd, Erskine Ferry Road, Old Kilpatrick, Glasgow G60 5EU,Scotland, UKTel: +44 1389 875444Fax: +44 1389 890956E-mail: [email protected]: www.logitech.uk.com

Keith Evans, former vice-president of businessdevelopment and chiefmarketing officer at Crystal IS,has become president and CEOof GaN-substrate supplier KymaTechnologies. Evans’ new firmuses a fast-growth vapor-phaseprocess to fabricate GaNsubstrates (CompoundSemiconductor July 2004 p20).A

UD

RA

EVA

NS

Thin-film characterizationk-Spacek-Space specializes in advanced, in situ thin-film characterizationproducts. The kSA BandiT uses band-edge absorption to monitor wafertemperature, and is perfect for low-temperature processes wherepyrometers are not sensitive. Our Multi-beam Optical Sensor (MOS)provides two-dimensional curvature and stress monitoring. The kSA 400system puts the power of analytical RHEED at your fingertips. RateRat

Pro offers real-time reflectivitymonitoring to measure depositionrate, film thickness and opticalconstants in a single package.

Contact: k-Space Associates Inc,3626 W. Liberty Rd, Ann Arbor,MI 48103, USATel: +1 734 668 4644Fax: +1 734 668 4663E-mail: [email protected]: www.k-space.com

Page 34: HOT TOPICS 2005 10 years after ‘first light’, GaN lasers ...iopp.fileburst.com › test › cs_11_01.pdf · 10 years after ‘first light’, GaN lasers hit the big time Infineon

RESEARCH REVIEW compoundsemiconductor.net

COMPOUND SEMICONDUCTOR JANUARY/FEBRUARY 200532

VCSELs could prove to be key components in future cellular net-works. Although the devices are widely used today in fiber-optic digi-tal communication, a Swedish team has now transmitted analog signalsalong fiber, in what could be a key technology for the deployment ofnext-generation wireless networks (New J. Phys. 6 176).

The researchers from Chalmers University of Technology,Gothenburg, used a VCSEL to transmit radio-frequency (RF) signalsalong a 500 m fiber-optic link. They say that the results of their exper-iment satisfied the requirements for mobile communication systemsand wireless local-area networks (WLANs).

The team has also carried out successful trials with Ericsson, usingthe VCSELto propagate wideband code-division multiple-access sig-nals for 3G systems along optical fiber.

The analog data are generated by driving a VCSEL with an RF sig-nal. Modulation of the optical intensity follows the RF-signal ampli-

tude, so that the signal received by a photodetector bears the hallmarkof the original RF signal.

The optical signals are coupled to a multimode fiber, because thisis significantly easier to align than a singlemode fiber. Anders Larsson,a member of the Chalmers team, believes that multimode fiber, in com-bination with the directly modulated VCSEL, should result in low-cost solutions for network infrastructure.

“We have targeted cost-sensitive applications like distributed-antenna systems within buildings in mobile-communication systemsand WLANs,” added Larsson.

The 850 nm VCSEL was engineered to exhibit performance char-acteristics appropriate for analog links. According to Larsson, the high-power singlemode VCSELhas a relatively low resistance, lower noise,and better linearity than multimode devices, an important consider-ation for analog applications, since nonlinearities produce distortion.

VCSEL aids fiber transfer of RF signal

Researchers in Japan have dem-onstrated an alternative approachto fabricating singlemode, high-power VCSELs, by using largerapertures containing triangular-shaped holes.

The partnership between Sonyand Yokohama National Uni-versity developed 850 nm trian-gular-holed-structure VCSELswith 15 µm diameter aperturesthat emit up to 7 mW (Appl. Phys.Lett. 85 5161). Although this sin-glemode power is similar to thatof other devices using aperturesof 5–8 µm, the Japanese team claims that lasers featuring larger aper-tures could produce 10 mW while maintaining singlemode output.

Comparable VCSELs fabricated with apertures of this increased diam-eter would instead generate multimode emission.

The team used electron-beam lithography and reactive-ion etchingto define between four and 12 triangular holes with height, width anddepth dimensions of 5, 1.25–3.75 and about 3 µm, respectively, on topof the VCSEL structure (see figure, left). One of the devices, contain-ing nine 4 µm-deep triangular holes, emitted 2 mW with a sidemodesuppression ratio (SMSR) of between 45 and 50 dB.

Asecond device containing eight, 2 µm-deep triangular holes pro-duced an output power of 7 mW and an SMSR of 40 dB, although itsemission profile was more complex: “The emission is not a multimodepattern or a higher-order singlemode, but is a deformed fundamentalmode whose bright spots coherently oscillate by the same phase,”commented the team.

According to one of the researchers involved, Akio Furukawa, appli-cations that require high-power singlemode VCSELs include sens-ing, laser printing and mid-range passive optical networks.

Researchers at the Tokyo Institute of Technology have fabricated trans-parent flexible thin-film transistors (TFTs) containing an amorphousindium gallium zinc oxide (InGaZnO) active channel (Nature 432 488).

TFTs are fundamental building blocks for state-of-the-art micro-electronics, such as flat-panel displays. The devices, when combinedwith transparent circuit technology, could be used to integrate dis-play functions into car windscreens.

InGaZnO is not the first material to be investigated for flexible elec-tronic applications, but its field-effect mobility of 5.6 cm2 V–1s–1 issignificantly higher than today’s well-established alternatives: hydro-genated amorphous silicon (about 1 cm2 V–1s–1) and pentacene(1.5–2.7 cm2 V–1s–1). InGaZnO is also optically transparent between390 and 3200 nm, and so, unlike silicon-based devices, it is suitablefor fabrication of transparent circuits.

The Japanese team formed the active InGaZnO layer by pulsed laserdeposition, but they believe that a sputtering or MOCVD method couldbe used for large-area uniform deposition and mass production.

The top-gate flexible transpar-ent TFTs contained an InGaZnOn-channel active layer depositedon a 200 µm thick polyethyleneterephthalate substrate. Standardphotolithography and lift-offtechniques defined the source,gate and drain contacts.

The behavior of the TFTdevice concurred with transistortheory. The devices were thentested when flexed, by bendingthe TFT sheet into a curve witha radius of 30 mm. According tothe researchers, the performance

of the transistor was “almost unaffected by bending, although a slightdecrease is observed in the saturation current”.

InGaZnO shows promise as a transparent transistor

InGaZnO-based transistorsdeposited on flexible substratesoffer speed and versatility.

Triangular holes, made usingelectron-beam lithography andetching, allow large apertures andsinglemode emission.

Triangular holes increase singlemode output power in lasers

Page 36: HOT TOPICS 2005 10 years after ‘first light’, GaN lasers ...iopp.fileburst.com › test › cs_11_01.pdf · 10 years after ‘first light’, GaN lasers hit the big time Infineon

Solutions for a nanoscale world.

For materials research or development of compound semiconductors, turn to Veeco. Only Veeco provides both MBE and MOCVD. Only Veeco has the latest research platforms, including our new lab-to-fab GEN20 MBE andPioneer MOCVD systems. And only Veeco provides superior process support via its advanced Process Integration Center and leading metrology tools.To learn more, visit www.veeco.com or call 1.888.24.VEECO today.

For compound semiconductor R&D,there’s only one real choice: Veeco

Veeco: more technologies, more tools, more experience to enable your research.

Compound SemiconductorSolutions

MBE, MOCVD, PVD, IBD, IBE AFM and Optical MetrologyProcess Integration Center

Veeco's flexible GEN20™ MBE R&D system.