grabador de eproms aut~nomo

128
PROYECTO TERMINAL GRABADOR DE EPROMs AUT~NOMO REALIZADO POR: JOSÉ IGNACIO FLORES TAPIA. NÉSTOR SANCHEZ GÓMEZ. CON ASESORíA DE: MIGUEL ANGEL RUíZ SANCHEZ

Upload: others

Post on 21-Jul-2022

12 views

Category:

Documents


0 download

TRANSCRIPT

Page 1: GRABADOR DE EPROMs AUT~NOMO

PROYECTO TERMINAL

GRABADOR DE

EPROMs AUT~NOMO

REALIZADO POR: JOSÉ IGNACIO FLORES TAPIA. NÉSTOR SANCHEZ GÓMEZ.

CON ASESORíA DE: MIGUEL ANGEL RUíZ SANCHEZ

Page 2: GRABADOR DE EPROMs AUT~NOMO

El proyecto presente se dividió para su análisis y diseño en tres bloques principales, que son:

1. HARDWARE 2. SOFTWARE 3. MANUAL DE USUARlO

Para el bloque de HARDWARE tenemos:

Análisis: En esta etapa se analizan los requerimientos del sistema grabador, y en base a estos se proponen varias soluciones y alternativas. Diseño: Aquí se realiza un bosquejo en el cual se proporciona una descripción de los diferentes circuitos utilizados de acuerdo a los requerimientos de la etapa anterior. Se parte de la configuración de los pines de las EPROMs, después se analizan los circuitos de control para cada uno de los pines, a continuación se describen los componentes para la interfaz con los anteriores, y por último se analiza el microcontrolador usado y los periféricos que son memoria externa RAM y ROM, así como los latch, buffers, y decodificadores para el control de los periféricos. Implementación: En esta parte se proporciona una lista de los principales circuitos integrados que se requerirán para el grabador con una descripción y una justificación de porque se usaron. Además del diagrama final simbólico y un diagrama con la distribución física.

Para el bloque de SOFTWARE tenemos:

Análisis: Para llevar acabo esta etapa se toma en cuenta el bloque anterior, en el cual se analizan las necesidades y las posibles formas de cubrirlas. Diseño: S e proyectan soluciones (en diagramas de flujo) en base a las diferentes funciones que será capaz de desarrollar el sistema grabador. Implementación: Aquí se proporciona una relación de los códigos fuentes para dar solución a cada una de las funciones del grabador.

En el bloque del MANUAL DE USUARIO se proporciona una guía paso a paso de cómo debe ser operado el grabador por el usuario.

PAGINA 2

Page 3: GRABADOR DE EPROMs AUT~NOMO

PROYECTO TERMINAL GRABADOR DE EPROMS AUT~NOMO

CONTENIDO

JUSTIFICACI~N

OB J ETIVO

CARACTERíSTICAS

INTRODUCCI~N

ANALISIS Y DISEÑO DE HARDWARE

IMPLEMENTACIÓN DE HARDWARE

ANÁLISIS Y DISEÑO DE SOFTWARE

IMPLEMENTACIÓN DE SOFTWARE

RESULTADOS

MANUAL DE USUARIO

lnterfaz de usuario

Funcionamiento

BIBLIOGRAFíA

Justificación y hojas técnicas de los C.1.s

Listados de programas de prueba

Descripción

Códigos

4

5

5

6

7

13

18

25

36

38

38

38

41

ANEXO 1

ANEXO 2

ANEXO 2

ANEXO 2

PÁGINA 3

Page 4: GRABADOR DE EPROMs AUT~NOMO

PROYECTO TERMINAL GRABADOR DE EPROMS AUTÓNOMO

Justificación.

En el presente proyecto se realizará el diseño de un sistema grabador de EPROMs autónomo de una PC. Esto es que pueda grabar de EPROM a EPROM sin que se tenga que hacer uso de una PC, además de que también se podrá usar para grabar de una PC a una EPROM a través de un RS232 que es una interfaz por el puerto serial.

En un grabador convencional se pueden realizar varias operaciones, entre las mas elementales están:

CARGAR: El programador acepta un archivo en código HEX, y lo carga en su buffer de memoria. DESPLEGAR: Se despliega el contenido del buffer de memoria del programador en la pantalla de la PC. COPIAR: Se lee el contenido de la EPROM y se almacena en el buffer de memoria del programador. PROGRAMAR: Se almacena el contenido del buffer de memoria del programador en el arreglo de memoria de la EPROM. COMPARAR: Se compara el contenido de la EPROM con el contenido del buffer de memoria del programador. VERIFICAR: Se examina el contenido de la EPROM y se determina si esta ha sido borrada. TIPO: Se selecciona el tipo de EPROM que será insertada en el socket del programador. EDITAR: Se puede alterar el contenido del buffer de memoria del programador. GRABAR: Comprende los procesos de COPIAR y PROGRAMAR,

Cada que se requiere realizar alguna de estas operaciones, por fuerza es necesario hacer uso de una computadora, en la cual este instalado el software y el hardware del grabador, pero ¿que pasa si necesitamos únicamente realizar varias copias del contenido de una misma EPROM?, o si queremos comparar el contenido de una EPROM con el contenido de la otra. En estos casos usar una PC únicamente para este propósito es un desperdicio, podemos entonces pensar en el diseño de un grabador de EPROMs que sea autónomo de una PC y que nos sirva para estos propósitos, con la ventaja de que en cualquier momento que se requiera realizar alguna operación adicional a estas podemos conectar el grabador a una PC cualquiera y vía puerto serial llevar acabo nuestros propósitos.

PAGINA 4

Page 5: GRABADOR DE EPROMs AUT~NOMO

PROYECTO TERMINAL GRABADOR DE EPROMS AUT~NOMO

Objetivo.

Diseñar e implementar un grabador de EPROMs autónomo (Que copie de EPROM a EPROM, además de PC a EPROM).

Características: El grabador tiene capacidad para grabar EPROMs de hasta 64Kb, que es mucho mas de las necesidades cotidianas en un laboratorio de Sistemas Digitales, esto es, grabará EPROMs de la forma 27XXX, que va desde la 2716 (2Kb) hasta la 27512 (64Kb).

Se pueden hacer copias múltiples de una sola EPROM, es decir, el contenido de la EPROM fuente se vacía en la RAM del sistema y después el contenido de la RAM se puede vaciar el contenido en las N EPROMs destino que se deseen.

Para realizar lo anterior se contará con un solo socket, y el programa irá pidiendo al usuario la EPROM fuente y la EPROM destino cuando sean requeridas

El sistema grabador funcionara tanto en forma autónoma (para realizar copias), como es forma dependiente (interfazarse con un PC a través de un puerto serial).

PAGINA 5

Page 6: GRABADOR DE EPROMs AUT~NOMO

PROYECTO TERMINAL GRABADOR DE EPROMs AUTóNOMO

INTRODUCCI~N.

En los sistemas digitales capaces de trabajar independientemente (tales como una PC), existe un lugar donde se encuentran localizadas las instrucciones que permitirán que dicho sistema realice las funciones para las cuales fue diseñado, dicho lugar es una memoria.

Debido a que seria muy costoso mantener el sistema siempre funcionando con su programa en una memoria RAM (Random Access Memories), ya que estas pierden su información al dejar de existir un voltaje de alimentación surgen memorias que permiten mantener información aun sin que exista alguna señal de alimentación, dichas memorias son llamadas EPROM (Erasable Programmable Read Only Memories).

Estas memorias, como ya se comento, mantienen datos en ellas aun sin voltaje de alimentación presente permitiendo apagar el sistema cuando no se esté utilizando; en contraste con lo anterior, la forma en la cual se les introduce la información es diferente que en una RAM convencional. Para grabar información en una memoria EPROM se hace necesario un sistema especial que nos ayude a realizar esta tarea llamado GRABADOR o también PROGRAMADOR.

Para grabar las memorias EPROM se hace necesario mandarle no solo direcciones y datos, sino también otras señales de control, tales como Chip Enable (CE), Output Enable (OE) y Programming Pulse Voltage (Vpp) siendo este último un voltaje alto (normalmente 25 V o 21 V o 12.5 V según el tipo de EPROM) comparado con lógica l T L ( O V y 5 v ) .

Los grabadores de EPROM mas conocidos, son aquellos que dependen de una computadora (funciones del microprocesador, memoria R A M , etc), pero en el presente proyecto se intentara el diseño de un grabador autónomo.

PAGINA 6

Page 7: GRABADOR DE EPROMs AUT~NOMO

PROYECTO TERMINAL GRABADOR DE EPROMS AUTÓNOMO

ANALISIS Y DISEÑO DEL HARDWARE.

Dado que lo que se necesita es diseñar un grabador de EPROMs, lo primero que se debe de analizar es la configuración de los pines para cada una de estas memorias. En base a un análisis de las terminales que presentan los diferentes modelos de EPROMs, con los cuales se podrá trabajar, que van desde la 2716 hasta la 27512, se observó que existen 7 terminales que difieren, tal como se observa en la siguiente tabla:

2751 2 Al 5 Al 2 A7 A6 A5 A4 A3 A2 AI A0 O0 o1 02 GND

27256 27128

VPP VPP A12

A7 A7 A12

GND GND 02 02 o1 o1 O0 O0 A0 A0 AI AI A2 A2 A3 A3 A4 A4 A5 A5 A6 A6

- 2764 - VPP Al 2 A7 A6 A5 A4 A3 A2 AI A0 O0 o1 02 GND -

A7 A6 A5 A4 A3 A2 AI A0 O0 o1 o2 GND

A7 A6 A5 A4 A3 A2 AI A0 O0 o1 02 GND -

1 2 3 4 5 6

28 27 26 25 24 23 22 21 M 19

1 1 ! 18 12 ~ 17 13 16 14 15

271 6 -

vcc A8 A9 m OE

CE 07 06 05 04 03 -

2732

vcc A8 A9 &l O W P P

CE 07 06 05 04 03

2764

vcc PGM N.C. A8 A9 All OE

CE 07 06 05 04 03

- 271 28

vcc PGM Al 3 A8 A9 &l OE m CE 07 06 05 04 03

- 27256

vcc Al 4 A13 A8 A9

OE

CE 07 06 05 04 03

2751 2

vcc Al 4 Al 3 A8 A9 &l 0 W P P m CE 07 06 05 04 03

Como puede observarse, algunos modelos son de 28 pines y otros de 24. Analizando la figura se obtiene la siguiente relación de voltajes en la que podemos observar de acuerdo al tipo de EPROM que voltaje necesita para cada uno de los pines en los cuales se aplica algún voltaje.

NOTA: En la columna del #PIN se observan expresiones para dos números diferentes de pines, esto es porque los pines son diferentes dependiendo si son EPROMs de 24 o de 28 pines. Los números entre paréntesis de las demás columnas se refieren a los diferentes voltajes que se necesitan en esos pines.

De la relación anterior se observa que para los pines 20 y 27, no existe un problema complicado para las diferentes señales según el tipo de EPROM, en comparación con los pines restantes. Para estos últimos fue necesario diseñar un circuito que pudiera

PAGINA 7

Page 8: GRABADOR DE EPROMs AUT~NOMO

PROYECTO TERMINAL GRABADOR DE EPROMs AUTóNOMO

ser controlado directamente por el programa, para proporcionar la señal necesaria, por lo cual se desarrollo el circuito de la figura 1 (en base a el circuito de la figura 2 en el cual se observan las consideraciones de corriente y de voltaje), que utilizan reguladores de voltaje LM317 entre otros elementos.

+12v 4

15L1

'e;" o 2 x 1

221 u PIN 28 DELSOCKET

%EM1 , , . 7407 5 4 8

2N2222 212907 470G P E S A

PIN 1 DEL SOCKET 221 11

PIN 22 DEL SOCKET

P C 0 4

PAGINA S

Page 9: GRABADOR DE EPROMs AUT~NOMO

PROYECTO TERMINAL GRABADOR DE EPROMs AUTóNOMO

FIGURA 2. CIRCUITO BASE PARA CONTROLAR PINES DEL SOCKET ~ ~ ~~~ ~

T" ~~ "_ ~

OV, 5V, 12.5V, 21V, 25V

HABILITA 5V

HABILITA 12.5v

HABILITA 21V

HABILITA 25V

HABILITA OV

Vout=Vref(l+(Rlx/RZ))+ladjRlx

Vout=1.25 (l+(Rlx/22l))+(.OOOO5*Rlx) -1.4

Los pines 20 y 27 utilizan un circuito cornbinacional mas sencillo que puede observarse en el circuito de la figura 3.

FIGURA 3. CIRCUITO COMBINACIONAL PARA CONTROL DE PINES 20 Y 27.

d PAS-B

PIN 27 D E L S O C K E T ..................

O PAO-B

PIN 20 D E L S O C K E T O

De esta forma para activar algún voltaje del circuito de control de voltajes, basta mandar un cero lógico y para activar cero volts se precisa de un uno lógico, lo cual hace posible su control desde el programa principal.

Para llevar acabo dicho control se precisa de un circuito que organice todo el funcionamiento, es aquí donde se hace necesario el uso de un microcontrolador, entre los circuitos considerados están: 8031, 8051, 8032, 8052, todos ellos de Intel. Una de la características para decidir entre ellos fueron los requerimientos de memoria ROM

Page 10: GRABADOR DE EPROMs AUT~NOMO

PROYECTO TERMINAL GRABADOR DE EPROMS AUT~NOMO

interna, externa o ambas que se tienen en el proyecto, además del costo y la existencia de ellos en el mercado..

Como la programación se realizará en lenguaje ensamblador, no se necesita de ningún interprete dentro del micro, además de que algunas funciones extras que se presentan en los micros 8051, 8032 y 8052, como son las interrupciones, no serán usados en el presente proyecto.

Tomando en cuenta una breve investigación de mercado, se obtuvo como resultado que el microcontrolador 8031 es mas barato que el 8051 casi en una tercera parte, y que el 8052 es un micro que esta discontinuado, por lo cual se resuelve utilizar el microcontrolador 8031 para el grabador.

El microcontrolador se encargará de proporcionar las “señales” para leer la EPROM fuente, grabar la EPROM destino, etc. Para llevar acabo esta entrega de “señales” de precisa de algunos pasos intermedlos, como lo es almacenar los datos fuentes en la memoria RAM del sistema, además de realizar el direccionamiento de los datos (poner los datos en las localidades de memoria correctas).

Entre los posibles circuitos auxiliares a utilizar , se encuentran algunos buffers, unas PPIs (Programmable Periferical Interfaz), las cuales serán las encargadas de proporcionar los mensajes adecuados al socket, y para la interfaz con el usuario se precisará de circuitería, como son decodificadores, displays de 7 segmentos, y circuitería combinacional y secuencia1 para control y manipulación del grabador. Un diagrama inicial de lo que será el grabador de EPROMs se observa en la figura 4.

Para el grabador se tiene proyectado usar una EPROM que sea la encargada de almacenar el software propio del grabador, debido a esto no se hace necesario que el microcontrolador a usar posea memoria ROM interna.

Para la parte de la interfaz con el usuario se propone el uso de un display de 7 segmentos (será el que desplegara mayor información del estado de los procesos que se realicen en el grabador), un par de leds que indicaran cuando se puede remover una EPROM del socket y cuando no, además de un tercero que indicara si se esta trabajando en modo dependiente o en modo dependiente, y un par de botones. El primer botón (PBZ) se usará para navegar en todos los menús y submenús. El segundo botón (PBI) será para iniciar el proceso seleccionado por PB2.

El circuito propuesto para esta parte se muestra en la figura 5.

Cabe señalar que para la interfaz de usuario se podría haber usado un número mayor de displays y mas botones, o inclusive un LCD y un teclado controlados por un 8279, en este proyecto no se realizo de esa manera, pero queda abierto para posteriores modificaciones, además de que podría servir muy bien para efectos de un sistema de desarrollo del microcontrolador 803’: realizando las modificaciones pertinentes.

PAGINA 10

Page 11: GRABADOR DE EPROMs AUT~NOMO

PROYECTO TERMINAL GRABADOR DE EPROMs AUTÓNOMO

Con lo que se ha descrito hasta este momento el grabador de EPROMs funcionará de forma autónoma, pero si se quiere usar de forma dependiente, es decir, como un grabador convencional, debemos de considerar algunos aspectos extras, como son:

¿Cómo se implementará la comunicación entre el grabador y la PC?,

¿Cómo debe de ser el programa que controle al grabador? y

‘Cómo será el programa que controle a la PC?.

Para responder a estas preguntas de debe de analizar, con que es con lo que se cuenta en cuanto a hardware y en cuanto a software, y ver si con esto es suficiente o si necesitamos implementar algo mas.

Evidentemente para solucionar el problema de comunicación entre la PC y el grabador, dadas las características del microcontrolador que cuenta con las salidas adecuadas para este fin (TXD y RXD), se puede usar un RS232, que es una interfaz serial,. Lo que se debe de hacer entonces es implementar el hardware necesario, en la figura 6 se muestran las conexiones para este propósito.

FIGURA 4. DIAGRAMA INICIAL DEL GRABADOR

PÁGINA 11

Page 12: GRABADOR DE EPROMs AUT~NOMO

PROYECTO TERMINAL GRABADOR DE EPROMS AUTÓNOMO

FIGURA 5. CIRCUITO PROPUESTO PARA INTERFAZ CON EL USUARIO

PA4-B *

PA5-Be

CLK

4.7KG

DATOS

LED 1 naranja 74co Modo dependhndep

PC5-B.

330Q PA6-B V.

I I LED 3 verde LED 3 rojo (remover) (No remover) I

I 330Q PA7-B. V.

PBI (ENTER)

PB2 (Selector)

PC7-

FIGURA 6. lnterfaz serial RS232

TXD. .............................. ........................

RXW ....................... .............................. ...................... :.:.:. .................... DBQ

PAGINA 12

Page 13: GRABADOR DE EPROMs AUT~NOMO

PROYECTO TERMINAL GRABADOR DE EPROMS AUT~NOMO

IMPLEMENTACI~N DEL HARDWARE.

Después de todo este análisis, ya se puede decidir que componentes se utilizarán para llevar acabo el proyecto. En la figura 7 se presenta la distribución física de estos en el grabador de EPROMs, en la figura 8 hay una lista de todos los circuitos y componentes usados y en el ANEXO 1 se proporciona una breve justificación para cada uno, además de las hojas técnicas de los C.I. (Circuitos Integrados) mas importantes.

A continuación se presentan los diagramas correspondientes a los bloques de la figura 4, que son los circuitos con los cuales se implemento el grabador.

FIGURA 7 DISTRIBUCIÓN FíSICA DE LOS COMPONENTES.

Page 14: GRABADOR DE EPROMs AUT~NOMO

PROYECTO TERMINAL GRABADOR DE EPROMs AUTóNOMO

IC- NÚMERO ICE IC2 IC3 IC4 IC5 IC6 IC7 IC8 IC9 IC1 o IC1 1 IC12 IC1 3 IC14 IC1 5 IC16 IC1 7 IC1 8 IC1 9

IC20 D I LMI LM2-LM6 T I -T5 T6-TI O R4.7

RX:X CAPX.X POT1 LEDX TMRI BOB1

LISTA DE LOS COMPONENTES USADOS

NúMERO ID 8031 8255-A 8255-B 62256 6264 2764 74LS373 74LS245-A 74LS245-B 74LS138 74LS04 74LS08 7407 7407 74LSOO MUA78S40 1488 1489 74LS51

74LS164 MAN7409 LM337 LM3 1 7 2N2222 2N2902 4.7 K

Varios Varios 20K led 11.0592mhz 150 microH

DESCRIPCI~N Microcontrolador de 8 bits Interfaz periférica programable lnterfaz periférica programable RAM de 32kb x 8bits RAM de 8Kb x 8bits EPROM de 8Kb x 8bits Latch 8 bits Buffer bidireccional de 8 bits Buffer bidireccional de 8 bits Decodificador de 3 a 8 Compuertas lógicas NOT Compuertas lógicas AND Buffers para DC mayor a TTL Buffers para DC mayor a TTL Compuertas lógicas NAND Convertidor de DC a DC Convertidor de TTL a RS232 Convertidor de RS232 a TTL Compuerta AND-OR-NEGADA con dos compuertas de dos y tres entradas. Registro de entrada serie/salida paralela Display de 7 segmentos Regulador de Voltaje Regulador de Voltaje Transistores Transistores Resistencias de 4.7K para efectos de PULL- OP Resistencias varias Capacitores varios Potenciómetro de precisión de 20K Leds Cristal para velocidad del KIT Bobina de Miller

PÁGINA 14

Page 15: GRABADOR DE EPROMs AUT~NOMO

PROYECTO TERMINAL GRABADOR DE EPROMS AUT~NOMO

FIGURA 9. BLOQUE PARA EL MICROCONTROLADOR, LOS BUFFERS, EL LATCH Y EL DECODIFICADOR.

PÁGINA 15

Page 16: GRABADOR DE EPROMs AUT~NOMO

PROYECTO TERMINAL GRABADOR DE EPROMS AUT~NOMO

FIGURA I O . CONEXIONES PARA LA RAM, LA EPROM Y LAS PPIs

PPI-E PPI-A

RESET - 1

1 ROM

I

Page 17: GRABADOR DE EPROMs AUT~NOMO

PROYECTO TERMINAL GRABADOR DE EPROMs AUTóNOMO

FIGURA 11. SOCKET DEL GRABADOR

NOTA: Los pines marcados con ‘‘0 “ son los pines que van a estar controlados por los circuitos de las figuras 1 y 3.

Page 18: GRABADOR DE EPROMs AUT~NOMO

PROYECTO TERMINAL GRABADOR DE EPROMS AUT~NOMO

ANALISIS Y DISEÑO DEL SOFTWARE.

Para obtener un buen diseño del software, tenemos que analizar varias COSaS primero, como son:

1 .- Forma en la que se va a utilizar el grabador (Dependiente o Independiente) 2.- Determinar las funciones que tendrá el grabador, en base a el modo de trabajo elegido.

En el caso de que sea de modo autónomo, se podrán realizar las operaciones de GRABAR y COMPARAR.

En el caso de que sea en modo dependiente, se podrán realizar las operaciones de CARGAR DE PC A BUFFER, CARGAR DE BUFFER A PC, COPIAR BUFFER A EPROM, COPIAR EPROM A BUFFER, COMPARAR EPROM CON BUFFER, VERIFICAR SI EPROM BORRADA y SELECCIONAR TIPO, las cuales ya han sido descritas anteriormente.

3.- lmplementar cada una de las funciones en base al modo de trabajo elegido.

Antes de la implantación del software, se diseñaron algunos diagramas de flujo principales, que nos marcan la forma en la que tienen que funcionar los programas.

En el diagrama de flujo 1 se muestra lo que sería el menú principal, con el cual podemos elegir el modo de trabajo. Notamos claramente lo que se menciono acerca de las funciones de los botones PBI y PB2, donde PB2 nos sirve para desplazarnos en los menús y PBI para aceptar la opción escogida por PB2. AI inicio se espera a que se pulse PB2 para iniciar, después se desplaza a modo “Independiente y modo “d”ependiente en forma alternada mientras se este presionando PB2. Si estando en “d” se presiona PBI entonces nos iremos al menú del modo dependiente y si estamos en T y se presiona PBI nos iremos al menú del modo independiente.

En el diagrama de flujo 2, observamos el menú del modo de trabajo independiente, donde solo se tienen las opciones de GRABAR DE EPROM A EPROM , de COMPARAR EL CONTENIDO DE LA EPROM CON EL CONTENIDO DEL BUFFER y la opción de salir que se maneja con una c minúscula.

El menú para modo de trabajo dependiente se muestra en el diagrama de flujo 3, en este modo se tienen algunas otras opciones además de las que permite el modo independiente. En este modo ya no se hace uso de los botones para manipular el flujo de la información, ahora se manipula el programa por medio de señales (números) que le manda un programa que estará corriendo en la PC (ITFC.EXE) a la que esté conectado el grabador por medio del puerto serie, entonces el programa está monitoreando el puerto serial y ejecutará la opción de acuerdo al caracter leído.

El diagrama de flujo 4 explica la rutina de GRABAR en modo independiente.

~. ~

PÁGINA 1s

Page 19: GRABADOR DE EPROMs AUT~NOMO

PROYECTO TERMINAL - GRABADOR DE EPROMS AUT~NOMO

DIAGRAMA DE FLUJO I. MENÚ PRINCIPAL

“HOLA”

I 7

SI

DESPLEGAR “I”

DESPLEGAR “d” 1 o+ PB2?

I MENU MODO

DEPENDIENTE

p’ I I INDEPEND.

PAGINA 19

Page 20: GRABADOR DE EPROMs AUT~NOMO

PROYECTO TERMINAL GRABADOR DE EPROMS AUT~NOMO

DIAGRAMA DE FLUJO 2. MENÚ EN MODO INDEPENDIENTE

desplegar "O" +

I"'

GRABAR

desplegar "C"

desplegar 'I o 99

-

COMPARAR

DIAGRAMA DE FLUJO 3. MENÚ EN MODO DEPENDIENTE

y espera caracter por

puerto serial

seleccionar el tipo de EPROM con la cual se + va a trabajar

Page 21: GRABADOR DE EPROMs AUT~NOMO

PROYECTO TERMINAL GRABADOR DE EPROMS AUT~NOMO

DIAGRAMA DE FLUJO 4. RUTINA PARA GRABAR EN MODO INDEPENDIENTE

1

Poner tipo en W ’ y

desplegar

+ sig. tipo

P desplegar sig. tipo

5l almacenar

I

4 habilitar hardware

@t ipo -+ pedir EPROM

fuente “Fll

I leer datos al buffer

pedir EPROM destino

7,

0 borrada? I SI

escribir en EPROM

PÁGINA 21

Page 22: GRABADOR DE EPROMs AUT~NOMO

PROYECTO TERMINAL " G R ~ A D O R DE EPROMS AUTÓNOMO

Las rutinas de grabado para cada uno de los tipos de EPROMs permitidos en este grabador, se obtuvieron de algunos diagramas de flujo propuestos en el manual de INTEL de MEMORY, en el diagrama de flujo 5 se muestra un algoritmo de grabación QUICK PULSE, el cual funciona de la siguiente manera:

Seleccionar dirección para grabar, poner voltajes de programación, poner datos a grabarse, inicializar una variable en O, se da un pulso de programación de 100 microsegundos.

Se incrementa la variable, se lee el dato grabado en la EPROM, se verifica que se haya grabado correctamente, si es así, se monitorea si es la última dirección y en caso positivo se ponen todos los voltajes en 5 volts y se realiza una comparación completa.

En caso de que no se haya grabado correctamente se checa si la variable es igual a 25, si es igual a 25 se verifica el byte, si es correcto se checa si es la última dirección y se procede de la forma anterior, si no es correcto, se manda un mensaje de error.

Cuando la variable es menor que 25 se vuelve a dar un pulso de programación de 100 microsegundos y se procede de la misma manera.

En el diagrama de flujo 6 se muestra un algoritmo de programación INTELIGENTE. La forma de operar de este algoritmo es similar al QUIK-PULSE, excepto por que manda un pulso extra de duración 3 veces el valor de la variable tratando de asegurar que la grabación sea correcta y para disminuir el tiempo de grabación.

Para cada tipo de EPROM las direcciones de fin variar? de acuerdo a la capacidad y los voltajes de programación también.

Se recomienda el uso del algoritmo de programación QUICK-PULSE para aquellos tipos de EPROMs que usen voltajes de 21V y de 25V. y del algoritmo INTELIGENTE para los tipos que usen voltajes de 12.5 V.

"_ - PAGINA 22

Page 23: GRABADOR DE EPROMs AUT~NOMO

PROYECTO TERMINAL GRABADOR DE EPROMS AUT~NOMO

DIAGRAMA DE FLUJO 5. Algoritmo de programación QUICK-PULSE

+PASS

Page 24: GRABADOR DE EPROMs AUT~NOMO

PROYECTO TERMINAL c R .\BADOR DE EPROMS AUT~NOMO

DIAGRAMA DE FLUJO 6. Algoritmo de programación INTELIGENTE

f

t YES

Page 25: GRABADOR DE EPROMs AUT~NOMO

PROYECTO TERMINAL - G K.L\BADOR DE EPROMS AUT~NOMO

IMPLEMENTACIÓN DEL SOFTWARE.

A continuación se presenta el código fuente del programa principal implementado únicamente para EPROMs 2764A. En el ANEXO 2 se incluyen listados de programas que fueron usados para probar el sistema por partes.

;LISTA DE ETIQUETAS #INCLUDE EQUS.TXT

LIST LIST

PCTRL2 PCTRLI PA2 PA1 PB2 PB1 PC2 PC1 DIRBUFF TIPO TIP0.3 STATO.0 STAT0 1 ADRO ADRl START0 START1 END0 END1 TEMP1 FILLK FILLKW CHKSUM NBYTES RTYPE TEMP2 VBYTE X XCERO XUN O XDOS XTRES XCUATRO XCINCO XSElS XSIETE XOCHO XNUEVE XA XB XCMAY XCMIN XD XE XF XG XH XI XL xs xu XY XlNT

.EQU 6003H

.EQU 4C@3H

.EQU 6000h

.EQU 4000H

.EQU 6001H EQU 4001h EQU 6002H EQU 4002H EQU 8MX)H .EQU 29H EQU 30H

.EQU OOH

.EQU 01H

.EQU 31H

.EQU 32H

.EQU 33H

.EQU 34k

.EQU 35H

.EQU 36H

.EQU 37H

.EQU 38H

.EQU 39H EQU 3AH EQU 3BH EQU 3CH

.EQU 3DH

.EQU 3EH EQU 3FH

.EQU ODFH EQU 086H

.EQU OBBH

.EQU OAFH EQU OE6H

.EQU OEDH

.EQU OFDH

.EQU 087h EQU OFFH

.EQU OEFH

.EQU 077h EQU 07Ch EQU 059H EQU 03CH

.EQU 03EH EQU 079H

.EQU 071H

.EQU 06FH EQU 076h EQU 050H EQU 058H

.EQU OGDH

.EQU 05EH EQU 06EH EQU OB3H

,PALABRAS DE DIRECCiON DE ACCESO PARA .CONFIGURAR LAS PPIs ,PALABRAS DE DIREt-;:JN PARA ACCESAR A ,LOS PUERTOS DE 3 L ; A PPI ,SE LISARA '1' PARA , J >PI-A Y '? PARA LA PPI-B

VARIABLES USADAL E1.l EL PROGRAMA

- " -

PÁGINA 25

Page 26: GRABADOR DE EPROMs AUT~NOMO

PROYECTO TERMINAL "~ C K XB ADOR DE EPROMs AUTóNOMO

0063 0064 0085 0066 O067 O068 m69 0070 0071 0072 0073 0074 0075 0076 0077 0078 0079 o080 0081 0082 0083 0084 0085 0086 m87 O088 0089 o090 0091 0092 0093 0094 0095 O096 0097 0098 0099 o1 00 o1 o1 o1 02 o1 a3 o1 o4 o1 o5 o1 o6 O1 07 o1 o8 o1 a3 o1 10 o111 0112 O1 13 0114 O1 15 0116 o1 17 O1 18 o1 19 o1 20 o1 21 o1 22 o1 23 O1 24 o1 25 O1 26 O1 27 o1 28 o1 29 o1 30 O1 31 O1 32 o1 33 o1 34

Moo Xx309o6003 INICIO MOV DPTR,#PCTRL' ,CONFIGURACIOI.I DE LA PPI-B M03 7488 MOV A,#88H PARA MODOS Di :t'ERACION 2005 FO MOVX @DPiR.k VER HOJAS 7 k:! I ICAS 20069o4003 MOV DPTR #PCTRLl CONFIGURAC ;;1 DE LA PPI-A 2009 74 80 MOV A,#80H 2008 FO MOVX @DPTR.A 2ooC 31 A7 ACALL PWRDWN 200E 200E 71 29 REGRESO ACALL HOLA 2010 74 B3 MOV A,#XINT ,DESPLIEGA SIGNL L)E- INTERROGACION 201271 BE ACALL IMPRIME 201471 66 MON-PB2 ACALL CHECK-PBS ,MONITOREA PbL PARA SELECCIONAR 2016 B440 FB CJNE A,#049H,MON_PBZ ,EL MODO DE 1 KABAJO 20198000 SJMP MOD-INDP 201 B 201B 7450 MOD-INDP MOV A,#XI .MOI\IITOREA PBI PAitA LOMENZAR CON 201D 71 BE ACALL IMPRIME MODO INDEPElhO:ti~lTE, O PB2 PARA 201F 71 66 CHKI ACALL CHECK-PBS CAMBIAR A MODC LjC?ENDIENTE 2021 844002 CJNE A,#040H,CHK2 202401 2B AJMP MOD-DEP 2026 8480 F6 CHK2 CJNE A,#080H CHKI 2029 o1 98 AJMP MENU-IND M2B 202B743E MOD-DEP MOV A,#XD ,MONITOREA PB1 f'fiF?i?. COMENZAR CON 202D 71 BE ACALL IMPRIME MODO DEPENDI:.: 1-E O PB2 PARA 202F 71 66 CHECK1 ACALL CHECK-PBS CAMBIAR A MOL 8- ' IDEPENDIENTE 2031 844002 CJNE A,#040H CHECK2 203401 1B AJMP MOD-INDP 2036 8480 F6 CHECK2 CJNE A,#080H CHECK1 203901 38 AJMP MENU-DEP 203B 2038 203B 7479 MENU-DEP MOV A,#XE 2030 71 BE ACALL IMPRIME 203F 74 50 MOV A , W H .PROGRAMA PUERTO SEK:E H i MODO 1 2041 F598 MOV SCON,A 2043 2043 74 F4 MOV A,#OF4H CARGA VALOR DE BAUDRATE 2045 F5 8D MOV TH1.A 2047 2047 74 M MOV A,#MH ,PROGRAMA TIMER 1 EN M J L J ~ : 2 2049 F5 89 MOV TMOD,A 2048 2048 7440 MOV A . W H ARRANCA TIMER 1 204D F5 88 MOV TCON,A 204F 204F 204F 204F ;RUTINA DE MENU EN MODO DEPENDIENTE 204F 906002 WRRS MOV DPTR,#PC2 2052 74 OF MOV A,#OFH 2054 FO MOVX @DPTR.A 2055 CO EO PUSH A 2057 71 58 ACALL RECIBE M59 8401 O 4 CJNE A,#01 ,ESF2 205C DO EO POP A 205E01 6B AJMP MEM-PROG 2060840204 ESF2 CJNE A,#O2,ESSAL 2063 DO EO POP A 206501 7D AJMP PROG-MEM 2067 DO EO ESSAL POP A -01 28 AJMP MOD-DEP 2068 2068 ; MANDA 80 BYTES DEL CONTENIDO DEL BUFFER DE LA KAh l DEL KIT A PC 2068 71 58 MEM-PROG ACALL RECIBE ,RECIBE BYTE Al O CIE DPTR 206D F5 83 MOV DPHA 206F7158 WRDL ACALL RECIBE KECIBE BYTE BAL<, .:E .JPTR 2071 F582 MOV DPL,D 2073 2073 79 80 MOV R1.#80H 2075

.**~***,~* t l l * f * * f * f * * t t * * * * * * * * * * t l t t * t - ~ * * . ~ . . . . .

.** Lt*t~******,***~***********~.*~***r.~..*...*~*.~******~~*..***.*.~....

. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

.* 1**L********~.****************.~*~~~~**.~*~.*~~~*******~**.****...~..

. t t t . * t * t * t * * f f * * * t t * * ~ ~ ~ * * " . ~ ~ ~ ~ * * . * ~ * . ~ * . . ~ * * . . ~ ~ ~ * ~ * * ~ * ~ ~ ~ * * ~ * ~ . . . . .

.**** l****f***~tf.*.****t*****f.*****~~~..*~*.~*~t*f***.*****.**~~t.t-I...

Page 27: GRABADOR DE EPROMs AUT~NOMO

PROYECTO TERM IN AL - ..~ . ~~~~ GK.4BADOR ~ DE EPROMs AUTóNOMO

O135 2075 EO O136 207671 4A O137 2078 A3 O138 2079 D9 FA O139 207B O1 38 O140 M7D 0141 207D O142 207D O143 M7D71 58 O144 207F FB O145 208071 58 O146 2082 FA

LOOPE MOVXA,@DPTR ACALL TRANS INC DPTR SIGUIENTE DATC DJNZ R1 ,LOOPE AJMP MENU-DEP

*.* ttt****tt*...,..~*"~*..******.~***~~~***.~*..****~.~..~**~***.*~..-..

, RECIBE EL CONTENIDO DE UN PROGRAMA DE LA PC AL 6J;FER DEL KIT PROG-MEM ACALL RECIBE ,RECIBE ELTOTAL DE 6 V E S DE CODIGO

MOV R3,A ACALL RECIBE R3 CONTIENE BYTE ALTO MOV R2,A ,R? CONTIENE BYTE BAJO

o147 2083 O148 2083 71 S3 LOOPCAP ACALL RECIBE RECIBE 2 BYTES DE ÜiRtL:clON 01- 2085 F5 83 MOV DPH,A O150 208771 58 ACALL RECIBE 0151 2089 F582 MOV DPL,A 0152 2088 71 58 ACALL RECIBE FiEClBE DATO O153 208D FO MOVX @DPTR,A O154 208EIA DEC R2 O155 208F BA FF F1 CJNE RZ,#OFFH,LOOPCAP O156 M921B DEC R3 0157 2093 BB FF ED CJNE R3.#OFFH,LOOPCAP O158 209601 38 AJMP MENU-DEP NRRS o159 2098 O160 2098 0161 2098 ;RUTINA DE MENU EN MODO INDEPENDIENTE O162 209851 ED MENU-IND ACALL CONF-ESCR O163 M9A 31 A7 ACALL PWRDWN O164 209C 746F MOV A,#XG O185 =E71 BE ACALL IMPRIME O166 20AO 71 F6 ACALL LED? O1 67 M A 2 31 A7 ACALL PWRDV'JN O168 20A471 66 ACALL CHEYK-PBS O169 MA6B4401A CJNE A.#O<)H ItdICIO-GRAB O1 70 20A9 74 59 MOV A.#XCMAY O1 71 20AB 71 BE ACALL IMPRIME O172 20AD 71 F6 ACALL LEC2 O1 73 MAF 31 A7 ACALL PWRDWN O174 2081 71 66 ACALL CHECK-PBS O175 2083844012 CJNE A.#040H INICIO-COMP O1 76 2086 74 3C MOV A #XCMIN O1 77 2088 71 BE ACALL IMPRIME O1 78 208A 71 F6 ACALL LEDL O179 20BC 31 A7 ACALL PWKCVVIN 0180 20BE 71 66 ACALL CHECK-PBS 0181 20CO B4 40 OA CJNE A,#CJOH SAL-IND ,checa C O I I pb2 0182 20C3 0183 M C 3 0184 20C3 8480 D2 INICIO-GRAB CJNE A,tO30H,MENU-IND O1 85 20C6 O1 D2 AJMP GRABAR-IND 0186 MC8 8480 CD INICIO-COMP CJNE A #080HMENU_IND 0187 M C B 21 25 AJMP COMPR-IND O188 MCD 8480 C8 SAL-IND CJNE A , m O H MENU-IND O189 20DOO1 1B AJMP MOD-INDP O190 20D2 O191 20D27529 FF GRABAR-IND MOV TIPII) #OFFH 0192 MD50529 PROX-TIPO INC TIPO O193 20D7 E5 29 MOV A,TIFL? O194 20D9 71 AB ACALL GE;"iC O195 20DB 71 F6 ACALL LEC.. O196 20DD 71 66 ACALL CHCC.I_ PBS 0197 20DF 8 4 40 07 CJNE A M14CH START ,PB2 O198 ME2E529 MOV ATIFC O199 20E4 B4 O8 EE CJNE A.#D",t-. PROX-TIPO O200 20E701 0 2 AJMP GRAHiiR-JND O201 20E9 O202 M E 9 O203 20E9 8480 E9 START CJNE A,#08Cti PROX-TIPO ;PB1 OM4 MEC 31 28 ACALL I N l r _ t i l i k F INICIALIZAR EL Bu: -t;i DE LA RAM EN OOH O205 20EE 7471 FUENTE MOV A,#XF O206 20F071 BE ACALL IMPC?IIV1E

.. f*******~.t~...fttlttlttt********tttl~....~.**~*******.**~******~.i..~..

Page 28: GRABADOR DE EPROMs AUT~NOMO

PROYECTO TERMINAL "_ ( ; K . A B ADOR DE EPROMs AUTóNOMO

0207 20F2 71 F6 ACALL LEC.' O208 20F431 A7 ACALL PW"3'uIYbd O209 20F6 71 66 ACALL CHE-:I(_PBS 0210 20F8 84 80 F3 CJNE A.#WOh FUENTE ,PB1 021 1 20FB 71 E3 ACALL LEU 0212 20FD 71 81 ACALL DEi4:'IX 0213 20FF 31 48 ACALL CCMC ,CARGAR EL CONTF PIID0 DE LA EPROM EN LA RAM 0214 2101 743E DESTINO MOV A,#XE 0215 2103 71 BE ACALL IMPRIME 021 6 2105 31 A7 ACALL PWRDWN 021 7 21 07 71 66 ACALL CHECK-PBS 0218 21098480OC CJNE A,#O?O+,SALIR-DEST 0219 210C71 E3 ACALL LEL i 0220 210E51 16 ACALL PCM3 PROGRAMAR LA EPROR,' .;3N EL CONTENIDO DE LA RAM O221 21 10 E5 29 MOV A.TIPS O222 21 12 71 AB ACALL GE-TSC O223 2114 71 81 ACALL DELa\~X 0224 2116 21 O1 AJMP DESTINO O225 2118743C SALIR-DEST MOV A,#XCMIN 0226 211A71 BE ACALL IMPP:IME 0227 21 1 C 31 A7 ACALL PW'T'CWN O228 211E71 66 ACALL CHEIK-PBS O229 21208480DE CJNE A,#EO+ DESTINO O230 212301 9s AJMP ME1 -i~~II\lL: o231 2125 0232 2125 O233 212531 28 COMPR-IND ACALL INIC_?'I!FF O234 212731 48 ACALL CCM: O235 212901 98 AJMP MENLJ . ! N E

O236 2128 0237 2128 O238 2128 O 2 3 2128 C083 INIC-BUFF PUSH DPH 0240 21 2D CO 82 PUSH DPL 0241 21 2F CO EO PUSH A 0242 2131 903000 MOV DPTH #3000H 0243 21347400 PONCERO MOV A,#03b 0244 2136 FO MOVX @DPTR A 0245 2137 74 FE MOV A,#OFEH 0246 21 39 85 82 OC CJNE A,DpL F'KOX-CERO 0247 21 3C 74 3F MOV A,WFH 0248 21 3E B5 83 07 CJNE A,DPH PROX-CERO 0249 21 41 DO EO POP A O250 2143 DO82 POP DPL O251 21 45 DO 83 POP DPF 0252 2147 22 RET o m 2148 O254 2148A3 PROX-CERO INC DPTR O255 214921 34 AJMP POIIC.F.RO o256 2148 0257 2148 o258 2148 ;LEE EL CONTENIDO DE UN RA:~!G,C DE LA EPROM ESPECií I':ADO POR EL USUARIO o259 2148 ;Y ES PUESTO EN LA MEMORIP J F L BUFFER USANDO LA MtJFAA DlRECClON 0260 2148 o261 2148 CCMD .MOV DPTR,#PCTUL! o262 2148 .MOV A.#89H 0263 2148 ,MOVX @DPTR,A O264 214831 74 ACALL PWRLP O265 214D 71 00 ACALL CONF _..ECT 0266 214F900000 CCMDI MOV DPTR AXXDH M 6 7 2152 O268 215231 C6 CREAD ACALL RDPROM 02El 21 54 CO EO PUSH A 0270 21 56 E5 83 MOV A,DFki 0271 2158 4430 ORL A,=, . : r m B M72 21 5A F5 83 MOV DPh ' <

0273 21% DO EO POP A 0274 215E FO MOVX @L)L T L P, 0275 21 5F 74 F F MOV A,#OFl'I 0276 21 61 85 82 O 6 CJNE A.0; ' .IrEXT 0277 21 64 74 3F MOV A #:-;L.

0278 21 66 05 83 O1 CJNE A 0; +-I LIvEXT

.*****f.***. INICIALIZAR BUFFEF ,.,ah CEROS Y CARGAR EPIL I I A RAM *************

***..tt***** INlClALlZA EL BUFFEX 3FL KIT EN WH *****t*t****r~''r********

.********.** COPIA DATOS DE Lt. _PROM ALBUFER *tff*~*f'.'t~'*f~*********

" ~~

PAGINA 28

Page 29: GRABADOR DE EPROMs AUT~NOMO

PROYECTO TERMINAL c , I? .\BADOR DE EPROMS AUT~NOMO

0279 0280 o281 0282 O283 0284 O285 0286 0287 O288 O289 O290 o291 o292 O293 O294 O295 O296 0297 O298 O293 o300 0301 0302 0303 a304 a#)5 a306 0307 a308 0309 o31 o o31 1 o31 2 o31 3 o31 4 o31 5 o31 6 o31 7 o31 8 o31 9 o320 o321 o322 o323 o324 o325 o326 0327 0328 o329 a330 O331 a332 0333 0334 o335 0336 O337 o338 0339 0340 0341 0342 a343 o344 a345 o346 0347 o348 0349 a350

21 69 22 RET 21 6A 21 6A A3 CN EXT INC DPTR 21 6B E5 83 MOV A,DF-I 216D 54 CF ANL A . # l ! ' C ~ l l I B 21 6F F5 83 MOV DPH + +

21 71 21 52 AJMP CRMI' 21 73 22 RET 21 74 21 74 .este procedimento lnicializa los ' ~ ~ l ' d , t . : , que se mandan al socket - 1 ,

21 74 ,5 volts 2174906000 PWRUP MOV DPTR ::PA:

21 77 74 80 MOV A,& -- 21 79 FO MOVX @ D ' l 3 A 21 7A 90 40 O1 MOV DPT ' < ifPB1 217D 7417 MOV A,#l '4 21 7F FO MOVX @DF' l ' i A 21 80 90 60 O1 MOV DPTF dPB2 21 83 74 A6 MOV A.#KIA+ 21 85 FO MOVX @CF H A 2186 90 60 02 MOV DPT" %PC2 21 89 74 OE MOV A#OE.- 218B FO MOVX @DP: Y , A 218C 7590 FF MOV P1 W L t 218F 31 92 ACALL DL I '% 2191 22 RET 21 92 2192 78 32 DLYW MOV R3,#32+ 219431 93 DL- ACALL DELAY 1 2196 DB FC DJNZ R3 i'. X 21 98 22 RET 21 99 21 99 2199 7400 DELAY1 MOV A,#O 2198 D5 EO FD DL1 DJNZ A.DL1 219E D5 EO FD DL2 DJNZ A,DL2 21A1 22 RET 21 A2 21 A2 21A2 78 OA DLYM MOV R3,##OAt 5

21A4 DB FE DLYMI DJNZ R3,DL'.! 21 A6 22 RET 21 A7 21 A7 .~~f.********ft*tl~tf** POWER Dc,LJ!\l .*.******t******l*t**tt*tlt***'r..****t

21 A7 ,aqu se mandan voltajes de O volts ?SI como datos y direcciones tanrblen O 21A790 40 00 PWRDWN' MOV DPTFi #PA1 21 AA 74 00 MOV A.#0:'i+ 21AC FO MOVX @L:r' A 21 AD 90 60 02 MOV DP? 3 :QC2 21 BO 74 OE MOV A.#(1F 21 82 FO MOVX @ L b ;i A 21 83 90 60 O1 MOV DP: - XPB2 21 B6 74 A6 MOV a M:;., , . 21 B8 FO MOVX @C'F" ;? k 21 B9 90 40 O1 MOV DPT: ::PBI 21 BC 74 A8 21 BE FO 21BF906000 MOV DPTL !:PA? 21 C2 74 4E MOV A #4C ' 4

21 C4 FO MOVX @ r I I '" ::' A 21 c5 22 RET 21 C6 21 C6 21 C6 ,ESTA RUTINA LEE EL CONTE'I i 'ii 3E UNA LOCALIDAD. 21 C6 ;EL 'DPTR' CONTIENE LA LOCA,I : .AU A SER LEIDA 21 C6 ;EL RESULTADO ES REGRESA i EN EL ACC 21 C6 21C6 85 8332 RDPROM MOV ADKl , > L i

21 C9 85 82 31 MOV ADRG I:' 21 cc 21CC 21 CE AJMP RDG4 21 CE

.~.*****.*.~.~ff*ftft POWER . . . I . . . * . . . . . * * * * * * * * * * * ~ * * ~ ~ ~ ~ . ~ * . . . . . 1

.*****.*~**,*f*ltll**ff RETARDO ['F 8 3 millsegundos ***********'"*+***

I**tttt*tt**. retardo de, mil,seg , , ~ /, , ~ . * * t f * f * * * . * t . * . . * l * * * i t * t * * L . .

.**ftl..*l*f**.*llf.*tt RETARDO Lt- 3 riilcrosegundos ************l'''*ff****

MOV A #I:,:,. , i

MOVX QLI R A

I*f.*fl..*I** RUTINA PARA LtL +ROM **.***************.e ...l. f *L.*

Page 30: GRABADOR DE EPROMs AUT~NOMO

PROYECTO TERMINAL cx ~BADOR DE EPROMS AUT~NOMO

0351 a352 a353 a354 a355 a356 a357 0368 0359 O360 O361 a362 o363 a364 0385 0366 a367 0368 m 0370 O371 0372 0373 0374 0375 0376 0377 0378 0379 a380 0381 m 2 a383 0384 o385 0386 m 7 0388 0389 0390 a391 a392 0393 a394 0395 0396 0397 0398 0399 o400 0401 0402 0403 O404 O405 O406 0407 O408 0409 o41 o o41 1 o41 2 O41 3 O41 4 O41 5 O41 6 O41 7 O41 8 o41 9 o420 o421 o422

21 CE E5 32 RD64 21 DO D2 E5 21 D2 20 E3 OA 21 D5 21D5904001 A11L64 21 D8 D2 E3 21 DA 54 3F 21 DC FO 21DD 21 E7 21 DF 21DF904001 AllH64 21 E2 C2 E3 21 E4 54 3F 21 E6 FO 21 E7 21 E7 90 40 00 RDNX64 21 EA E5 31 21 EC FO 21ED906002 21 FO 74 OE 21 F2 FO 21 F3 90 60 O1 21 F6 74 26 21 F8 FO 21F9906000 21 FC 74 83 21 FE FO 21FF904002 m 2 3 1 A2 2204 EO 2205 CO EO m 7 9 3 6 0 0 0 22OA 74 81 ZZOC FO m D DO EO m F 85 32 83 221 2 85 31 82 221 5 22 221 6 221 6

MOV A.ADR1 SETB A.5 JB A.3,Al 1 ' : I - .

MOV DPTR.#FB SETB A.3 ANL A,#001 " ? i B MOVX @DP ' A AJMP RDk ' ~ i

MOV DPTR # F ' f CLR A.3 ANL A ,#001 ' ' ' l iB MOVX@DF fi

MOV DPTR #I 5 '

MOV A.ADK: MOVX @DF' I - i MOV DPTR ill ; 2 MOV A.#OE. MOVX @DP. F c

MOV DPTR r;'3L MOV A,#16r, MOVX@DF I ii.

MOV DPTP :f A? MOV A,#KiL MOVX@DP 1. A MOV DPTE ::: 2 ACALL DLYI': MOVX A.@L:"-R PUSH A MOV OPTS ::P 4 2 MOV A.#61" MOVX @DF F: 4 POP A MOV DPP. : I MOV DPL a t - i

RET

221 6 221 6 ;PROGRAMA EL SOCKET SEG! 1 4 EPROM

I...**********..*** ESCRIBE DATC :; >EL BUFFER A LA EPROM "****'***********

221651 ED PCMD 221 8 31 74 221A 75 33 00 PCMDI 221D 753400 2220 85 33 82 2223 85 34 83 2226 222651 ED PAGAIN 2228 71 13 222A EO 2228 71 1E 222D 51 59 222F 20 O1 OD 2232 74 FF 2234 B5 82 1 E 2237 74 OF 2239 8583 19 223C 31 A7 223E 22 223F 223F 74 76 P E R R 2241 71 BE 2243 71 81 2245 71 81 2247 74 86 224971 BE 2248 71 81 224 71 81

ACALL CON F.^; ~ ;R ACALL PLV " ;'

MOV STAR' .COH MOV ST;* #03H MOV DP!- A K T O MOV DPt +RT1

ACALL CONF -iR ACALL TGF:

ACALL TDFE ACALL Whir' -?OM JB STAT!' "ERR MOV A,#(! "

CJNE A D i >',IEXT MOV A N I '

CJNE A;¡ JEXT

RET

MOV A,#XH

MOVX A,C: r . TR

ACALL l''v'u, ~ v N

ACALL Irdb; IL'F ACALL DE' ACALL DE.. I .' MOV A#Y.J ' . , ACALL IMP'.: . ¡E ACALL DEI '! X ACALL DE

PÁGINA 30

Page 31: GRABADOR DE EPROMs AUT~NOMO

PROYECTO TERMJNAL GR BADO OR DE EPROMS AUT~NOMO

0423 224F 71 66 ACALL Cnt . "ES 0424 2251 8480 E8 CJNE A , N X ~ ;'ERR 0425 225422 RET 0426 2256 0427 2255A3 PNEXT INC DPTR 0428 2233 41 26 AJMP PAk; ':, 0429 225822 RET 04302259 0431 2259 .***t.******** RUTINA PARA ES(: 3-. R EPROM *t*.***r...*r***.

0432 2259 ;ESTA RUTINA ESCRIBE EL LCI ' 'IIDO DEL BUFFER A UNA 8. JCALIDAD 04332259 ;EL'DPTR' CONTIENE LA LOCAL u A SER ESCRITA 04342259 ;EL VALOR A ESCRIBIRSE ESTA , EL ACC 04352259 O436 -8583 32 WRPROM MOV ADRl J 0437 225C 85 82 31 MOV ADRO 1:'

O438 225FF539 MOV FILLKV, 0439 2261 O440 2261 41 63 AJMP W R B 4 0441 2263 0442 2263 .~f.fl**.....t*f*****., EPROM _,.,\., ...*l. ff.******************"*~**....*

O443 2263906000 WR64A O444 2266 7481 O445 2268 FO o446 226996001 0447 226C 74 2D O448 226E FO O449 226F906002 o450 2272 740E 0451 2274 FO 0452 2275 7432 O453 2277D2 E5 O454 2 2 7 9 M E3OA o455 227c O456 227C904001 Wl lLGA 0457 227F D2E3 0458 2281 543F C459 2283FO 0460 228441 8E 0461 2286 0462 228690 4001 W11 H6A O463 2289 C2 E3 O464 2288 543F 0485 228D FO O466 228E 0467 228E 904000 WNX64A O468 2291 E531 O469 2293FO 0470 2294 90 40 02 0471 229731 A2 0472 2299 31 A2 0473 2298 31 A2 0474 229D 31 A2 0475 229F 753F00 0476 22A2 E539 AGN64 0477 22A4 FO 0478 22A5906000 0479 22A8 74 85 0480 22AAFO O481 22AB COEO 0482 22AD 31 A2 0483 22AF 31 A2 0484 2281 31 A2 O485 228331 A2 0486 2285DO EO 0487 2287053F O488 2289 O489 2289 E53F NOV64A 0490 22BB CO EO 0491 22BD906000 0492 22C0 7481 0493 22C2FO 0494 22C3 90 40 02

MOV DPTR,#F MOV A,#06: MOVX @ D F . MOV DPTF: ;i ' :L' MOV A.#2D.~ MOVX @DP- - MOV DPTH '_I

MOV A,WCt S ,

MOV A.#AI:! SETB A 5

MOVX @DF'".

JB A3.WJ"' .

MOV DPTK iiF SETB A ? ANL A . m ' : '18 MOVX@D! . .A AJMP w m - .,

MOV DPTK #F ' CLR A 3 ANL A , W : j \ i 1B MOVX @DF. -, j j

MOV DPTH # t : ' MOV A MOVX@!Ut . MOV DPTP -

ACALL DL';. ACALL DL'(: ACALL D i ACALL Di ' l _'

MOV X , K

MOVX@Dt' +

MOV A,FILLI<L',

MOV DPTR i' .\? MOV A # 8 5 '

MOVX @ D i ' 1 '~

PUSH A ACALL DL\ ACALL DL 1'

ACALL D i i. .' ACALL DL .'. POP A INC X

MOV A,X PUSH A MOV DPÍ-F ; 42 MOV A ,& ' .< MOVX@!I+ i MOV DPTh .: I

- "

PÁGINA 3 1

Page 32: GRABADOR DE EPROMs AUT~NOMO

PROYECTO TERMINAL -~ G K ABADOR DE EPROMs AUTóNOMO

0495 0496 o497 04% 0499 OM30 0501 0502 0503 0504 O505 0506 0507 0508 0509 o51 o o51 1 o51 2 o51 3 o51 4 o51 5 o 5 1 6 o51 7 o51 8 o51 9 o5M o521 o522 o523 o524 o525 o526 o527 o528 o529 0530 0531 0532 05J3 o534 0535 o536 0537 o538 o539 0540 O541 0542 cm3 o544 o545 0546 0547 0548 a549 0550 0551 0552 0563 0564 a555 0556 0557 0558 0559 0560 O561 O562 o563 o564 0565 0566

22C6 DO EO 2208 04 20 D7 22C B 22CB E5 3F OVER64 22CD906000 22DO 74 85 22D2 FO 22D3906000 22D6 74 81 22D8 FO 22D9 C2 O1 22DB 85 32 83 22DE 85 31 82 22E1 22 22E241 E4 PERR6A

POP A CJNE A , # X ..<;Id64

MOV A.X MOV DPT" r ' ?L' MOV A,#85~ MOVX@DF 4 MOV DPT!.' . 42 MOV A,%" MOVX@Dr' '

CLR STAT: MOV DPP "

MOV DPL - il

RET AJMP PGMEk

22E4 22E4 22E4 D2 O1 PGMERR SETB STATC. 22E6 85 32 83 MOV DPL í '

22E9 85 31 82 MOV DPL t L ,

22EC 22 RET

.****,***.*.I** RUTINA DE ERE( , k;h PROGRAMAClON *******r'''''**********.i

~~

Z E D 22ED .***"**.*.*f.* CONFIG PPI-A ; ,; ESCR,TURA It**********.**+.*-********

22ED ,en espeaal puerto C de la PPI-.. Z E D COEO CONF-ESCR PUSH A 22EF CO 83 PUSH DPH 22F1 C082 PUSH DPL 22F3904003 MOV DF'T? :TRL1 22F6 74 80 MOV A,#6:'- 22F8 FO MOVX@DF .1 22F9 DO 82 POP DP! 22FB DO 83 POP DPH 22FD DO EO POP A 22FF 22 RET m 2300

m C 0 EO CONF-LECT PUSH P 2302 CO 83 PUSH DF'b 2304 CO 82 PUSH DPL 2306904003 MOV DPTn i:F TRLI 2309 74 89 MOV A,#SC'" 2308 FO MOVX@Ui ': c 230C DO 82 POP DPL 230E DO 83 POP DPri 231 O DO EO POP A 231 2 22 RET 231 3 231 3 231 3 ,para efectos de grabar aparttr ilt. 1 (*,.aildad 3000h 2313 CO EO TDPB PUSH A 231 5 E5 83 MOV A DPL 23174430 ORL A , # K :IXX)B 231 9 F5 83 MOV DPh 231 B DO EO POP A 231 D 22 RET 231 E 231 E 231 E ;para grabar apartlr de la dlrecr ld I K!k de la EPROM destino 231 E CO EO TDPE PUSH A 2320 E5 83 MOV A at 2322 54 C F ANL Ad1 ' 8 1 i !B 2324 F5 83 MOV DL'&. . 2326 DO EO POP A 2328 22 RET 2329 2329 2329 2329 74 76 HOLA MOV A.#76l- 2328 71 BE ACALL lb::-. t 232D 71 81 ACALL L i z ( . ' 232F 71 81 ACALL De:-'

.******t..**..* CONFIG ; , , .* ****************f...... e***

m ,en especlal puerto C de la PPI-?

.*** t...**.*+** TRANSFORMA E; : . :,E EpROMA RAM Lltlt.****.*..I**********t

.****.* A A . . . . . * TRANSFORM+, L E 9AM A EPROM LI**.t**.**,1..r*l**tt*tttt

.**~*****..,..********~ lMPRllv,t - , y r**l*****************t**~**~.r.r*

Page 33: GRABADOR DE EPROMs AUT~NOMO

PROYECTO TERMINAL G I ) .~ ABADOR DE EPROMS AUT~NOMO

0567 2331 745F MOV A.&i C E 3 233371BE ACALL IMP - 'E C569 2335 71 81 ACALL DE, '/

0570 2337 71 81 ACALL DEL(- '.; 0571 2339 7458 MOV A.#!!jt 4

0572 2338 71 BE ACALL IMP;- 'E 0573 233D 71 81 ACALL DEL. ''

0574 233F 71 81 ACALL DEL- 0575 2341 74 77 MOV At-t!7. 0576 2343 71 BE ACALL IMF 7 . 'E 0577 2345 71 81 ACALLUE: 1 < 0578 2347 71 81 ACALL DEL-\ X a579 234s 22 RET 0580 234A 0581 234A F599 TRANS MOV SBUF.A 0582 234C CO EO PUSH A 0583 234E 71 95 ACALL DISPLAY 0584 2350DOEO POP A 0585 23523099 FD WTB JNB SCON 1 W't! TRANSMITE BYTE DlRECC!.JNADO 0586 2355C293 CLR SCON 1 0587 235722 RET 05882358 O589 2358 3998 FD RECIBE JNB SCON O . R E i i ~ ,RECIBE ECO DE TERMINAL 0590 2358 C298 CLR SCON O O591 235D €599 MOV A,SBUF 0592 235F COEO PUSH A 0583 2361 71 95 ACALL DISPLAY 0584 2363DO EO POP A o595 236522 R ET 0586 2366 0587 2366 0598 2366 0599 2366

;monltorea los botones de control . .' ' í PB2) y no regresa hasta qLle no

o600 2366 C083 CHECK-PES: PUSH !IF1+ ;se haya preslonado uno de los :

0601 2368 C082 PUSH UPI- 0602 236A936002 MOV DP-F dPC2 O603 236D 7400 CHECA MOV A,#UOt. 0604 236F EO MOVX A @?! '"TK 0605 237054CO ANL A MlC .II 0606 237230E605 JNB A+.> 8' ~ P B S 0607 2375 30 E7 02 JNB k 7 I!-PBS 0608 2378 61 6D AJMP U t i ! ' A ,

.** .*.*...****.****.t****tt*****.......1 1....***************.*****""***~.

* * ~ ~ * ttlttt,~*tttt****~~,~**..,.....ii ~.*..*******f~******.fffft*****t*

.lt~***....*.**f*.****~.~*~******..1. . ..r.r***********t*************+t***

0609 237A 71 81 FIN-PBS ACALL DECA / I _

0610 237C DO 82 POP [:F. 061 1 237E DO 83 POP GPr 0612 2380 22 RET 0613 2381 0614 2381 0615 2381 0616 2381 7960 DELAYX MOV RI ,#WP .RETARDO 0617 2383D901 DELAY0 DJNZ R1 DEi.4YI1 0618 2385 22 RET 0619 2386 06M 2386 7800 DELAY3 MOV RO,WJk: 0621 2388D8 FE RETA1 DJNZ RO,REl~b 0622 238AD8FE RETA2 0623 238C 08 FE RETA3 DJNZ RO.RE? i 3 0624 238ED8FE RETA4 DJNZ RO.Rt" A 4 O625 m 0 8 FE RETA5 DJNZ RO,RET35 0626 2392 G I 83 0627 m 4 2 2 06282395 0629 2x35 06302x35 ; ESCRIBE EN EL DISPLAY EL \X ' ITENlDO DEL ACUMULADOH EN DOS DlGlTOS HEXA O631 23% C082 DISPLAY PUSH DPL E':CRlBE EN EL DISPLAY EL CONTENIDO DEL 0632 2397 CO83 PUSH DPH b C !MULADOR EN DlGlTOS HEY4DECIMALES. O633 2XBCOEO PUSH A 0634 2333 54 OF ANL A W F H 0635 239D 71 AB ACALL GE F7SL' ESCRIBE EL BYTE BAJO O636 239F D O E 0 POP A 0637 23A1 C4 SWAP A O638 23A254OF ANL A.#OFh

.*** l't*t**.lrr****tI*tl**lr.trrt........r.+r.~~ttt.t***********~****~****

DJNZ RO.KE-1 i.2

AJMP D E G (0 RET

.It****r*+tl+.tr*.*.*~****..*..~~......+.....+*t**tl+rr*****r******tt+tt*r

~

PÁGINA 33

Page 34: GRABADOR DE EPROMs AUT~NOMO

PROYECTO TERM I N AL G R ~BADOR DE EPROMS AUT~NOMO " ~ - ~~ .

0639 O640 0641 0642 o643 o644 o645 o646 0647 0648 m49 O650 0851 0852 0853 0854 0666 o656 0857 o658 0859 0660 O661 0662 o663 o664 0665 o666 0667 o668 0669 0670 0671 0672 0673 0674 0675 0676 0677 0678 0679 0680 0681 0682 0683 0684 0685 0686 0687 0688 o689 0690 0691 0692 o693 m 4 06% 06% m 7 0698 06?23 0700 0701 0702 0703 0704 0705 0706 0707 0708 0709 071 O

23A471 AB ACALL GET7SC: SE ESCRIBE EL BYTE ALTO Z A G DO 83 POP DPH 23A8 DO 82 POP DPL B A A 22 RET 23AB 23A B ,REGRESA EL CODIGO DE y SECVENTOS DEL ACUMULADOR 23AB 23AB C082 GETiSC PUSH DPL 23AD CO 83 PUSH DPH B A F CO FO PUSH B 2381 90 2409 MOV DPTR,#TAB~LA 2384 93 MOVC A.@A+DP'R GARGA DATO DE 7 SEGMENTOS 2385 71 BE ACALL IMPRIME 2387 DO FO POP B 2389 DO 83 POP DPH 23BB DO 82 POP DPL 23BD 22 RET 238 E 23B E 238 E 238 E ;manda al dlsplay el contenldo del acmulador cargado antes de llamar 23B E .a este urocedlmiento, normallllente ;on algun codigo establecido en id

.~**.rr*.*+r-* t**t***."**.~*.*....~."....r.+tl*t*****t*****Ilttt****.*~.

.*** rr*t.+.+r*****.**..**.******.....,+...*.r..*******t.****tttll***********.

23s E ;etiquetas 23BE CO 82 IMPRIME 23CO CO 83 23c2 co EO 23c49060OO 23C7 78 08 2369 F5 FO ETQ-I 23C B 54 80 23CO 03 23CE 03 23CF 44 10 23D1 FO 23D2 54 EF 23D3 FO 23D5 E5 FO 23D7 n 23D8 D8 EF 23DA 74 00 23DC DO EO 23DE DO 83 23EO DO 82 B E 2 22

PUSH DPL PUSH UPH PUSH A MOV D W R . d P A 2 MOV R O M E

MOV B A ANL P W U H RR i: RR A ORL A # ' O b MOVX @DPT;i A ANL A#OEFF MOVX @DP-.i A MOV A ti RL A DJNZ RI! ET .4 MOV A # K + POP k POP DF'b POP Dr7t~ RET

B E 3 23E3 23E3 CO EO LED1

;rutina que permite encender al Icd ' ' :ed rolo) PUSH A

23E5 CO 82 PUSH DPL 2 3 E - i CO 83 PUSH 3PH 23FJWGOOO MOV r)F' -R w42 23EC 73 80 MOV ,-,%O' I B E E FO MOVX @DF -,? A 2 3 E F DO 83 POP DFb 23F' DO 82 POP ::PI 23F3 DO EO POP k 23F5 22 RET 23F6

23FCj CO EO LED2 PUSH A 23F5 CO 82 PUSH DPL 23FA CO 83 PUSH SPH 23FC90GOOO MGV UPTR.tPA2 23FF 74 40 MOV P #30H 2401 FO MOVX (QDP;~Y A 2 4 2 DO 83 PCP dFti 24-1 DO 82 POP r J r ' ,

24 i DO EO POP A 24x- 22 RE i 240, 24m ,TABLAS DE DATOS 2403 240cjDF TABLA BYTE 11011~1'18 l)

.**.~.... r***********"***.,.,.***lri..tlr.tr+.********tt*******tt+tt**"*".~.

*~tt**+*rr*."tt*~LIl***~*~....*~~....r.....r**~**~**t.****tt*ltt*t*****t**

B C 1 - ;rutma para encender led 1 (leií lerci.

.I rr*.r.r...+rrr*~~~.t~~*'t+rl.l*r....r.l.....r******..~."****~**..****.*~

.gfedcba

.. " - .___ .

PAGINA 34

Page 35: GRABADOR DE EPROMs AUT~NOMO

PROYECTO TERM I N .AL GR.ABADOR DE EPROMS AUT~NOMO

0711 240A 86 BYTE lr%XX? i 38 : 0712 2406 BB BYTE 1 0 1 1 ' ~ 0 ~ ' 1 B 2 0713 240CAF BYTE 10101111B 3 0714 240D EG BYTE 111OC:llJ3 4 0715 240E ED .BYTE 11107 iC lB 5 0716 240F FD BYTE l l l l l ~ ' O I B 6

0718 2411 FF BYTE 111111~1B 8 0719 2412 EF .BYTE 111011!1B 9 0720 2413 77 .BYTE 0111Gl i 1B A 0721 241 4 7C BYTE O111 11CK)B B 0722 2415 53 .BYTE 0107'~K~lB 2 0723 2416 3E BYTE 03111''::R U 0724 241 7 79 BYTE OIlI!X!lEI t 0725 241 8 71 BYTE O111MXllB F 0726 2419 0727 2419 0728 241A ORG $+I ,OBLIGA A L El .SAMBLADOR A GENERAR 0729 241ACOM RUN WORD INICIC: "OR SEPARADO UNA LINEA DE CODIGO 0730 241C END ;PARA LA CIREC .:ON DE ARRANQUE. O731 241C 0732 241C O733 241C t a m : Number of errors = O

- "" ..

o71 7 241 o a7 .BYTE 1oooO111B 7

".

PÁGINA 35

Page 36: GRABADOR DE EPROMs AUT~NOMO

PROYECTO TERMINAL GRABADOR DE EPROMS AUT~NOMO

RESULTADOS

En relación a los avances obtenidos en el presente proyecto podemos establecer que:

Es posible "simular" la lectura y escritura al socket, es decir, se pueden enviar señales para datos, direcciones y control de voltajes tanto para leer como para escribir de la EPROM. Se dice "simular" por el hecho de que no se han logrado realizar dichas funciones en forma efectiva cuando se coloca una EPROM en el socket, únicamente se han verificado con una punta lógica y un multímetro.

Para los voltajes de control se sigue la siguiente técnica:

Si se quiere tener un voltaje X en el pin Y del socket, se debe enviar un O lógico a la línea correspondiente al voltaje X del pin Y y 1's en las demás líneas de voltajes. Esta técnica es válida en los pines 1, 22, 23, 26 y 28.

Por ejemplo si queremos que en el pin 22 se obtengan voltajes de 21 Volts y de O Volts respectivamente tendremos las siguientes asignaciones:

a) PCO-B = 1, PCI-B = 1, PC2-B = O, PC3-B = 1, PA1 -B = O. b) PCO-B = X, PCI-B = X, PC2-B = X, PC3-B = X, PA1 -B = 1.

donde la X significa cualquier valor (1 o O).

Para los pines 20 y 27 funciona de la siguiente manera:

El PB6-B para el pin 27 y el PB6-B para el pin 20 tienen la función de selectores, es decir, estas líneas establecen si la salida cambia respecto a una (PN-B, si PB6-B esta en 1) u otra (PB6-A, si PB6-B esta en O) línea de control (estos valores son para el pin 27 del socket, para el pin 20 funciona de la misma forma), el valor obtenido en la salida será la entrada seleccionada invertida.

Por ejemplo si queremos que en el pin 27 se obtenga primero un 1 y después un O proporcionados por PA2-B tendremos las siguientes asignaciones:

a) PB6-B = 1, PB6-A = X, PA2-B = O. b) PB6-B = 1, PB6-A = X, PA2-B = 1.

donde la X significa cualquier valor (1 o O).

Las PPIs (8255) están programadas según las hojas técnicas (ver anexo I ) , de la siguiente manera:

PPI-A se programa con todos los puertos de salida en la fase de escritura de la EPROM en el socket, y se programa con los puertos A y B de salida y el puerto C de entrada en la fase de lectura de la EPROM en el socket, esto es por que el puerto C de la PPI-A maneja los datos.

PAGINA 36

Page 37: GRABADOR DE EPROMs AUT~NOMO

PROYECTO TERMINAL GRABADOR DE EPROMs AUTóNOMO

PPI-B se programa con los puertos A, B y la parte alta del puerto C como salidas y la parte baja del puerto C como entrada, durante todo el desarrollo del programa, el hecho de que la parte baja del puerto C (PCO-PC3) se programe como entrada es por que son las líneas que controlan los botones (PBI y PB2) y estos proporcionan siempre una entrada al sistema.

El sistema ya cuenta con el prototipo de todo el programa en el cual ya están establecidos todos los mensajes necesarios para la comunicación con el usuario, tanto en forma independiente (mediante el display, los leds y el reconocimiento de los botones PBI y PB2), como en forma dependiente (mediante el display y la comunicación serial). Como consecuencia de esto ya existe completa comunicación entre el sistema y cualquier computadora a través del puerto serie y mediante rutinas mostradas en el anexo 2.

Dentro de estas rutinas existen algunas para leer el contenido de memoria de cualquier parte del sistema, rutinas para escribir en cualquier parte de la memoria RAM del sistema, rutinas para verificar los distintos voltajes en los pines de control, etc.

AI existir un prototipo del programa principal únicamente restaría hacer un llamado a la rutina correspondiente en el lugar indicado implementando la función llamada en algún otro lugar del programa.

S e lograron mandar las señales de control, datos y direcciones tanto para lectura como para escritura al socket pero al momento de insertar la EPROM no funcionaban, por lo cual, se sugiere verificar la sincronización adecuada de dichas señales, así como verificar los tiempos y la implementación de los algoritmos de programación.

Debido a los constantes problemas de hardware (chips quemados, en particular PPIs) se aconseja revisar la implementación de la fuente de 5 V. colocándola fuera de la tarjeta para evitar conflictos en la transferencia de información ó en los voltajes de alimentación por exceso de temperatura.

Cabe señalar que para la interfaz de usuario se podría haber usado un número mayor de displays y mas botones, o inclusive un LCD y un teclado controlados por un 8279, en este proyecto no se realizó de esa manera, pero queda abierto para posteriores modificaciones, además de que podría servir muy bien para efectos de un sistema de desarrollo del microcontrolador 8031 realizando las modificaciones pertinentes (programas para la PC, programas para el sistema y algún hardware adicional).

El hecho de que solo se use un socket, se debe a la intención de ahorrar espacio y tiempo ya que todo lo que se lograría con 2 sockets es posible realizarlo con uno solo, aunque para mayor comodidad del usuario sería mejor implementar un segundo socket, pero esto queda para mejoras posteriores.

PÁGINA 37

Page 38: GRABADOR DE EPROMs AUT~NOMO

PROYECTO TERMlh .AL ” GR -< H ADOR DE EPROMS AUT~NOMO

MANUAL DE USUARIO.

lnterfaz con el usuario

En este bloque se localizan los push bottons 1 y 2, así corno el display y los leds, de acuerdo al circuito de la figura 5:

El led 1 se ha colocado en consideración a que existiera ¡a conexión al puerto serial de una PC (Computadora Personal). para indicar en cual de los dos modos se está operando; modo local: Copia de EPROM a EPROM sin necesidad de una PC, Modo Remoto: Copia de un archivo en código maquina en una PC a EPROM vía el puerto serial de la PC.

Los otros dos leds Contrapuestos indicarán al usuario ei momento en que se están aplicando los voltajes necesarios a la EPROM para evrtar que está sea removida en ese momento, el led rojo indicara que se esta leyendo o escribiendo a la EPROM y el led verde indicara el momento en el que se puede quitar G poner la EPROM..

El PB2 (Push Botton 2) y el PBI servirán como teclado para que el usuario realice la selección del tipo de EPROM, así como el proceso de programación. El PB2 servirá como selector en los menús y submenús y el PBI corno una señal para aceptar la opción elegida por PB2 (ENTER).

El display indicará en cada momento al usuario las diferentes etapas que conforman el proceso de grabar EPROMs. desde seleccionar el modo de trabajo (Dependiente o Independiente). Si se esta en modo independiente, selecclonar el tipo de EPROM con la que se va a trabajar, y el estado en el que se encuentre el proceso de copia. (Selección, lectura, programación, verificación, errores, etc ) .

FUNCIONAMIENTO:

En base a la explicación de los bloques principales, a continuación se bosqueja el funcionamiento:

AI encender el grabador enviara u n mensaje de inicio (“HOLA”) al usuario y pondrá en el display un signo de interrogación ( :). Esperara que se presione PB2, y estará en modo INDEPENDIENTE (despliega “I”). AI presionar nuevamente PB2 se ira a modo DEPENDIENTE (despliega “d”).

Estará oscilando en ese menú mientras no se presione P B I . Si se desea trabajar en modo INDEPENDIENTE se debe presionar P B I Cuando este la “I” en el display de 7 segmentos, en ese momento se entrará al menú del modo INDEPENDIENTE. Si lo que se desea es trabajar en modo DEPENDIENTE, se tendra clue presionar PBI cuando la “d” este en el display y se entrara al menú del modo DEPENDIENTE. El proceso anterior se describe en el diagrama de flujo 1 que muestra además las acciones que se realizan vía software.

Page 39: GRABADOR DE EPROMs AUT~NOMO

PROYECTO TERMINAL CK ~ ! j ADOR DE EPROMS AUT~NOMO

El menú del modo INDEPENDIENTE se describe a continuación:

“G” ---a Indica grabar de EPROM a EPROM. “C” ----> Indica compar-ar el ccntenido de la EPROh‘ con el buffer del grabador. “c” ----> Es una señal generalizada que indicara sb / t r al menú anterior en

todos los menus y submenús.

Para realizar cualquiera de las acciones anteriores se debe de seleccionar con el PB2 y después arrancar el proceso con el PBI. Este proceso lo observamos en el diagrama de flujo 2.

Cada una de las opciones del modo INDEPENDIENTE nos lleva a una rutina. La rutina para GRABAR se muestra en el diagrama de flujo 4.

A continuación de detalla el proceso de GRABAR.

AI iniciar el proceso de programación. el usuario deberá establecer el tipo de EPROM con la que va a trabajar, esto se realiza en base a una tabla de equivalencia la cual se selecciona con el PB2, esta tabla inicia con el tipo O, y va aumentando hasta agotarla y vuelve a iniciar en forma cíclica, la tabla es la siguiente:

# selección O 1 2 3 4

.~

EPROM # - &lección -~ vpp 271 6

7 21 V 2732A 6 25 V 2732 5 25 V

12.5 - V 2764A 8 21 v 2764

Después de elegir el tipo de EPROM. se presiona el Pi; ~ para iniciar el proceso, en este punto se pedirá que se cargue ¡a EPROM fuente rvediante la aparición de una señal en el display (“F”); a continuación se vuelve a presionar el PBI y el grabador leerá datos de la EPROM fuente, segiln el tamaño de la EPROM, durante este proceso estará encendido el led rojo.

Una vez lleno el buffer, aparecerá otra señal en el dlsiJlay (“d”) indicando que se cargue la EPROM destino existiendo la posibilidad tic, elegir la opción de salir presionando PB2 para elegir “c” y después PB1 para salic Si se elige “d” y ya se cargo la EPROM destino se presiona nuevamente el PBI, el grdbador procederá a cargarla con la información del buffer. mientras vuelve a encender el led rojo.

Si existiera un error, el display mostrara alternadamente urla “H” y un número 1 el cual indicara que existe un error. se deberá presionar el PBI para reiniciar el proceso.

Si no existió ningún error, el grabador checará si la EPHOM ha sido copiada en su totalidad (solo para EPROMs 27512 que son de 64V.t1), y si es así el grabador regresara al inicio del proceso mostrando el tipo de E?ROM seleccionado, de lo

Page 40: GRABADOR DE EPROMs AUT~NOMO

PROYECTO TERMIN AL .~ C H \ I3.4DOR DE EPROMs AUTóNOMO

contrario se pedirá al usuario que inserte la EPROM /Lente nuevamente y todo el proceso anterior se repetirá hasta copiar completamente z i contenido de la EPROM.

El hecho de que solo se use un socket, se debe a la intención de ahorrar espacio y tiempo ya que todo lo que se lograría con 2 sockets es po-ible realizarlo con uno solo, aunque para mayor comodidad del usuario seria mejor imprementar un segundo socket, pero esto queda para mejoras posteriores.

Si se eligió en el menú principal la opción de trabajar en modo dependiente, se mostrara una “E” que indica “E”spera L:n caracter del puer?,. serial. En este momento se deberá correr el programa “ITFC.EXE” para inrtefazar la 1):’ con el grabador.

Este programa muestra un menú con las opciones de:

ARCHIVO: Abrir *

Guardar como Cargar al buffer *

EPROM: Programar Verificar copia Cargar a buffer Imprimir

BUFFER: Editar *

Imprimir

AYUDA Acerca de Manual

La opción Abrir, del menú ARCHIVO abre un archivo c o : ~ información en HEX, y lo alista para Cargarlo al buffer del grabador.

La opción Editar del menú BUFFER carga a la RAM de la PC 80 bytes de código HEX a partir de una dirección especificada por el usuario y los despliega en la pantalla.

Las opciones marcadas con * son las que están impl’mentadas en el programa ITFC.PAS.

Page 41: GRABADOR DE EPROMs AUT~NOMO

PROYECTO TERMIN.L\L G L , ADOR DE EPROMS AUTÓNOMO ~ "

BIBLIOGRAFíA.

Intel. MICROCONTROLLER HANDBOOK. Familia ?JICS-51, Capítulo 7.- Architecture MCS-51 Capitulo 8.- MCS-51 Programmer's Guide anu Instruction Set. Capitulo 9.- Data Sheets. Capitulo 10.- MCS-51 Aplication Notes.

Intel MEMORY. Capitulo 3.- Dinamic and Static RAMS (Rancmn Access Memories)

51256 (pag. 3-80), 5164 (pag. 3-37). Capitulo 4.- EPROMs (Erasable Programmable Read Only Memories)

2716 (pag. 4-I), 2732 (pag. 4-5j. 2764 (pag. 4.18), 27128 (pag. 4-42), 27256 (pag 463), 27512 (pag. 4-111) Algoritmo de programación QulS--Pulse (pag. 4-397)

Intel. DISPOSITIVOS PERIFÉRICOS. PPI (Programmable Peripherical Interface) t ;Ld ;5 . Pags 3-100 a 3-119.

SGS DATABOOK, LOW POWER SCHOTTKY Til. ICs C.I. : 74373, 74245, 74138, 7400, 7404, 7408 7407. 74LS151

William G. Houghton MASTERING DIGITAL DEVICE CONTROL. Capitulo 1 .- The Intel 8051 Family. Capitulo 2.- External Program Memory Expansion Capitulo 3.- External Data Memory Expansio:: Capitulo 4.- Expanding 110 Capitulo 8.- Adding An RS-232 Port

0 Motorola. SEMICONDUCTOR TECHNICAL DATA. MUA78S40 pag. 3-330 LM317 pag. 3-21 LM337 pag. 3-43

Intronics, inc. INTRODUCING TO EPROM PROGRHMER. Pag. 1 - 11.

Page 42: GRABADOR DE EPROMs AUT~NOMO

PROYECTO TERMINAL GI! A. %ADOR DE EPROMS AUTóNOMO -

ANEXO I

JUSTIFICACI~N Y

HOJAS TÉCNICAS DE

CIRCUITOS INTEGRADOS

Page 43: GRABADOR DE EPROMs AUT~NOMO

PROYECTO TERMINAL c ; ! , I ~ D O R DE EPROMS AUT~NOMO

803 1 : 0 microcontrolador de 8 bits. 0 4 puertos de 8 bits cada uno. 0 Memoria RAM interna de 128 x 8 bits. 0 2 timers de 16 bits. 0 Interrupciones. 0 Tecnología HMOS. El uso de este chip se basa en la existencia y pre: ! o que de el se obtuvo en el mercado. así como de contar con las terminale..; necesarias para manejar información de 8 bits, y direcciones de 16 bits. !iLle son características del grabador.

74245: 0 Buffer bidireccional octal de tres estados. 0 Terminal para habilitar salidas. 0 Control para transmisión y recepción. 0 Canal bidireccional de 8 bits. 0 Estado de alta impedancia. Este circuito, debido a sus 8 bits y a sus tres estaao, permite el intercambio de información, en este caso del microcontrolador con e ¡ resto del grabador.

74373: 0 Latch octal con salida en tercer estado. 0 Control de entradas al latch. 0 Control de salidas del latch. 0 Canal de 8 bits para datos de entrada 0 Canal de 8 bits para datos de salida (en tercer cs4.3do). Para este circuito se toma en cuenta su capacidat1 .jara el manejo de 8 bits y su estado de alta impedancia.

741 38: 0 Decoficicador y demultiplexor de tres a ocho. 0 Tres entradas de control para direcciones. 0 Tres entradas de habilitación 0 Ocho salidas posibles. El uso de este circuito se basa en las necesidades de poder controlar hasta ocho dispositivos con solo tres líneas para habilitarlos.

8255: 0 Interface periférica pfogramable. 0 3 buses de 8 bits. 0 Control de lectura. 0 Control de Escritura. 0 Control de selección 0 Buses con estado de alta impedancia 0 Línea de reset.

Page 44: GRABADOR DE EPROMs AUT~NOMO

PROYECTO TERMINAL GI? 4 -3 ~ D O R DE EPROMS AUT~NOMO

O 2 líneas de selección de bus. Este circuito integrado nos permite realizar la commicación entre la parte que maneja el usuario, y el socket del grabador con el mcrocontrolador.

2764: 0 Capacidad para 8Kb x 8bits O CHMOS compatible con microprocesadores y microcontroladores. O Latch de direcciones integrados. O Tamaño universal de 28 pines con dos líneas de control. 0 Bajo consumo de potencia (1 O 0 microA máximo; 0 Características de inmunidad al ruido. 0 Alta velocidad de respuesta. Esta memoria tipo EPROM tiene las características necesarias para adaptarla a nuestro sistema y almacenara el programa prlncipal (BIOS) del sistema grabador..

6264: O Capacidad para 8Kb x 8bits 0 Operación estática. O Tiempos iguales de acceso para lectura y escritura. O 5 volts de alimentación. 0 Compatible con TTL. O Datos comunes de entrada y salida.

Esta memoria tipo RAM tiene la usamos únicamente con propósitos de desarrollo del sistema, es decir, sirve como almacén temporal del programa principal para realizar pruebas. ya terminado el sistema no será necesario su uso.

62256: 0 Capacidad para 32Kb x 8bits 0 Operación estática. 0 Tiempos iguales de acceso para lectura y escritura. O 5 volts de alimentación. 0 Compatible con TTL. 0 Datos comunes de entrada y salida.

Esta memoria tipo RAM tiene la finalidad de servir como h : fe r del sistema grabador, es decir, será donde se almacenen los datos del programa 2 L;:abarse en la EPROM.

LM337: 0 Corriente de salida mayor a I .5 A. 0 Salida ajustable entre -1.2V y -37V. 0 Protección térmica interna. 0 Corriente constante con la temperatura. 0 Operación flotante para aplicaciones de alto v o ~ a : e .

Este regulador de voltaje lo usamos para proporcionar a ¡ ( , S transistores que controlan los voltajes un voltaje de -1.4V.

Page 45: GRABADOR DE EPROMs AUT~NOMO

PROYECTO TERMLNAL G R 3 ~ D O R DE EPROMS AUT~NOMO

LM317: O Corriente de salida mayor a 1.5 A. O Salida ajustable entre -1.2V y -37V. O Protección térmica interna. O Corriente constante con la temperatura. O Operación flotante para aplicaciones de alto vol:a,e.

Este regulador de voltaje lo usamos para proporcionar a los pines del socket los voltajes de programaclón adecuados controlados por los transistores.

MUA78S40: O Corriente de salida de 1.5 A sin transistor de salida. 0 Salida ajustable entre 1.5V y 40V. O Línea de 80dB y protección de carga. o Soporta desde 2.5V hasta 40V de entrada. 0 Alta ganancia.

Este cor;vertidor de DC a DC lo usamos para generar los 30 volts que necesitan en la entrada de los reguladores LM317.

Además de los circuttos integrados mencionados anteriormente, se utilizaran algunas compuertas lógicas tales como inversores, NAND, OR. etc. cuya elección dependerá del uso inmediato que se proyecte. así como algunos otros componentes de acuerdo a la hoja de especificaciones para el buen funcionamiento ct ¡os circuitos.

Page 46: GRABADOR DE EPROMs AUT~NOMO

MCS@-51 8-BIT CONTROL-ORIENTED MICROCOMPUTERS

8031 18051 8031 AH/8051 AH 8032AH/8052AH

8751 HI8751 H-1218751 H88

m Hlgh Pertormance HMOS Procesa m Boolean Processor m Internal TlmerdEvent Counters m Blt-Addressable RAM m 2-Level Interrupt Priorlty Structure m Programmable Full Duplex Serlal Channel m 32 I/O Llnes (Four &Bit Ports) m 111 Instructions (64 Slngle-Cycle) m 64K Program Memory Space m 64K Data Memory Space

m Security Feature Protects EPROM Parts Against Software Plmcy

The MCSe-51 products are optimized for control applications. Byte-processing and numerical operations on small data structures are facilitated by a variety of fast addressing modes for accessing the internal RAM. The instruction set provides a convenient menu of &bit arithmetic instructions, including multiply and divide in stnrctions. Extensive on-chip support is provided for one-bit variables as a separate data type, allowing direct bit manipulation and testing in control and logic systems that require Boolean processing.

i D . V h

8052AH 8051 AH

8051 8032AH 8031 AH

8031 8751 H

8751H-12 8751 H-88

I n tmd Momory

Program D.tr 8K x BROM 4K x 8ROM

256 x 8RAM

128 x 8 RAM 4K x 8 ROM 128 x 8 RAM

none 256 x 8RAM none 128 x 8 RAM none 128 x 8 RAM

4K x 8 EPROM 128 x 8 RAM 4K x 8 EPROM 128 x 8 RAM 4K x 8 EPROM 128 x 8 RAM

Timers/ Evmt Counters

3 x 16-Bit 2 x 16-Bit 2 x 16-Bit 3 x 16-Bit 2 X 16-Bit 2 x 16-Bit 2 X 16-Bit 2 x 16-Bit 2 x 16-Bit

Interrupts 6 5 5 6 5 5 5 5 5

The 8751H is an EPROM version of the 8051AH; that is, the onchip Program Memory can be electrically programmed. and can be erased by exposure to ultraviolet light. It is fully compatible with its predecessor, the 8751-8, b u t inoorporates two new features: a Program Memory Security bit that can be used to protect the EPROM against unauthorized r e a d d , and a programmable baud rate modification bit (SMOD). SMOD is not present in the 8751 H-12 or the 8751 H-88. The 8751 H-88 also only operates up to 8 MHz.

Page 47: GRABADOR DE EPROMs AUT~NOMO

803118051 8031AH18051AH 8032AHl8052AH 8751 HI8751 H-1218751 H-88 PRELC%NlNA,RY

Figure 1. MCS*-51 Block Diagram

PIN DESCRIPTIONS

vcc Supply voltage.

vss

Port O also receives the code bytes during program- ming of the EPROM parts, and outputs the code bytes during program verification of the ROM and EPROM parts. External pullups are required during program verification.

Circuit ground. Port 1

Port o Port O is an 8-bit open drain bidirectional I/O port. As an output port each pin can sink 8 LS TTL inputs. Port O pins that have 1s written to them float, and in that state can be used as high-impedance inputs.

Port O is also the multiplexed low-order address and data bus during accesses to external Program and Data Memory. In this application it uses strong inter-

sink 8 LS TTL inputs. nal pullups when emitting Is, and can source and

Port 1 is an &bit bidirectional 110 port with internal pullups. The Port 1 output buffers can sinkhource 4 LS TTL inputs. Port 1 pins that have 1s written to them are pulled high by the internal pullups, and in that state can be used as inputs. As inputs, Port 1 pins that are externally being pulled low will source current (IIL, on the data sheet) because of the internal pullups.

Port 1 also receives the lowsrder address bytes dur- ing programming of the EPROM parts and during program verification of the ROM and EPROM parts.

Page 48: GRABADOR DE EPROMs AUT~NOMO

8031/8051 8031 AW8051AH 8032AH18052AH e 8751 HI8751 H-1218751 H-88 P,RELDR,NEFllARV

7 ws2'w32 ONLV

T2EX P1.l m.0 ADO

Pln

Pad

Flgure 2. MCSX-51 Connections I

In the 8032AH and 8052AH, Port 1 pins P1.0 and PI .1 also serve the T2 and T2EX functions, respec- tively.

Port 2

Port 2 is an &bit bidirectional 110 port with internal pullups. The Port 2 output buffers can sinWsource 4 LS TTL inputs. Port 2 pins that have 1s written to them are pulled high by the internal pullups, and in that stale can be used as inputs. As inputs, Port 2 pins that are externally being pulled low will source current (IIL, on the data sheet) because of the internal pullups.

Port 2 emits the high-order address byte during fetches from external Program Memory and during accesses to external Data Memory that use 16-bit addresses (MOVX @DPTR). In this application it uses strong internal pullups when emitting 1 s. During accesses to external Dala Memory that use &bit ad- dresses (MOVX @Ri), Port 2 emits the contents of the P2 Special Function Register.

Port 2 also receives the high-order address bits dur- ing programming of the EPROM parts and during program verification of the ROM and EPROM parts.

Port 3

Port 3 is an 8-bit bidirectional I/O port with internal pullups. The Port 3 output buffers can sinWsource 4 LS TTL inputs. Port 3 pins that have 1s written to them are pulled hgh by the internal pullups. and in that state can be used as inputs. As inputs, Port 3 pins that are externally being pulled low will source current (IIL, on the data sheet) because of the pullups.

Port 3 also serves the functions of various special features of the MCS-51 Family, as listed below:

Port Pln P3.0 P3.1 P3.2 P3.3 P3.4 P3.5 P3.6

P3.7

A R m l h F Unction

RXD (serial input port) TXD (serial output port) ¡hi73 (external interrupt O)

(external interrupt 1) TO (Timer O external input) T1 (Timer 1 external input)

(external data memory write strobe)

86 (external data memory read strobe)

J

Page 49: GRABADOR DE EPROMs AUT~NOMO

8255A/82558-5 PROGRAMMABLE PERIPHERAL INTERFACE

m MCS-85TM Compatible 8255A-5

24 Programmable I/o Pins m Completely TTL Compatible m Fully Compatible with Intel

Microprocessor Families m Improved Timing Characteristlcs

m Direct Bit Set/Reset Capability Eadng Control Appiicatlon Interface

m Reduces System Package Count m Improved DC Driving Capability m Available In EXPRESS

-Standard Temperature Range -Extended Temperature Range

m 40 Pin DIP Package or 44 Lead PLCC (See Intel Packagmg: Order Number 231369)

The Intel 8255A is a general purpose programmable 110 devlce designed for use with Intel microprocessors. I t has 24 I10 plns whlch may be lndivldually programmed in 2 groups of 112 and used in 3 major modes operatlon. In the first mode (MODE O), each group of 12 I10 pins may be programmed in Sets of 4 to be inpa or output. In MODE I , the second mode, each group may be programmed to have 8 lines of input or output. 01 the remarnlng 4 pins, 3 are used for handshaking and interrupt control signals. The third mode of operation (MODE 2) IS a bidirectional bus mode whlch uses 8 lines for a bidirectlonal bus, and 5 lines, borrowing one from the other group, for handshaking.

1

231308-2

Figure 2. Pin Configuration

3-100

Page 50: GRABADOR DE EPROMs AUT~NOMO

8255A FUNCTIONAL DESCRIPTION

Ga"al T ~ Q 8255A is a programmable peripheral interface (?PI) device designed for use In Intel mtcrocomputer systems. Its function IS that of a general purpose I/O component to interface peripheral equipment to the mcrocomputer system bus. The functional configu- ration of the 8255A is programmed by the system software so that normally no external logic is neces- sary to interface peripheral devices or structures.

Data Bus Buffer This 3-state bidirectional &bit buffer is used to inter- laCG the 8255A to the system data bus. Data is ransmitted or received by the buffer upon execution of input or output instructions by the CPU. Control words and status information are also transferred through the data bus buffer.

AeadIWrite and Control Logic The function of this block is to manage all of the internal and external transfers of both Data and Control or Status words. It accepts inputs from the

CPU Address and Control busses and in turn, issues commands to both of the Control Groups.

(S) Chlp Select. A "low" on this input pin enables the communication between the 8255A and the CPU.

( m Read. A "low" on this input pin enables the 8255A to send the data or status information to the CPU on the data bus. In essence, it allows the CPU to "read from" the 8255A.

( m Wrlte. A "low" on this input pin enables the CPU to write data or control words into the 8255A.

(A0 and AI) Port Select O and Port Select 1. These input sig- nals, in conjunction with the RD and WR inputs, con- trol the selection of one of the three ports or the control word registers. They are normally connected to the least stgnificant bits of the address bus (4 and A,).

i I I

1

Page 51: GRABADOR DE EPROMs AUT~NOMO

8255A BASIC OPERATION IiJXTk I WR I I Input Operation

Output Operatlon

I Dlsable Functlon

X I , A I X 1 X I 1 1 Data Bus -+ 3-State

1

Data Bus ”* 3-State O 1 1 X X

Illegal Condition O O / 1 ’ i

(RESET) Reset. A “high” on this Input clears the control reg- lster and all ports (A, B, C) are set to the input mode.

Group A and Group B Controls

The functronal configuration of each port is pro- grammed by the systems software. In essence, the CPU “outputs” a control word to the 8255A. The control word contalns Information such as “mode”, “bit set“, “bit reset“, etc., that Initializes the func- tional configuration of the 8255A.

3-102

Each of the Control blocks (Group A and G r o u p q accepts “commands” from the ReadlWrite Cow Logic, receives “control words” from the inteny data bus and issues the proper commands to its m sociated ports.

Control Group A-Port A and Port C upper (C7-CI) Control Group B-Port 8 and Port C lower (C3-Q

The Control Word Register can Only be written ¡m. No Read operation of the Control Word Register b allowed.

Ports A, 8, and C

Tho 8255A contains three &bit ports (A, 8, and c]. All can be configured in a wide variety of f u n c t i a characteristics by tho system software but each ha its own special features or “personality” to further enhance the power and flexibility of the 8255A.

Port A. One &bit data output latch/buffer and om &bit data input latch.

Port B. One &bit data inputloutput latch/buffor and one &bit data Input buffer.

Port C. One &bit data output Iatchlbuffer and one &bit data input buffer (no latch for input). This port can be divided into two 4-bit ports under the rnodr control. Each 4-brt port contains a 4-bit latch and tt can be used for the control signal outputs and status signal Inputs In conjunction wrth ports A and B.

Page 52: GRABADOR DE EPROMs AUT~NOMO

I

o ".d I I I I

2313OE-4

Figure 4.6225A Block Dlagram Showlng Group A and Group B Control Functlons

Pln Conflguratlon

.*a I

Pin Names

1 D7-DO Data Bus (Bi-Directional) RESET Reset Input

cs

WR Read Input m Chip Select

Write Input

AO. Al Port Address

PA7-PA0

Port B (BIT) PB7-PBO

Port A (BIT)

PC7 - PC0 Port c (BIT)

. vcc + 5 Volts

GND o Volts

8255A OPERATIONAL DESCRIPTION

Mode Selection There are lhree basic modes of operation that can be selected by the system software:

3-1 03

Page 53: GRABADOR DE EPROMs AUT~NOMO

Mode &Basic Input/Output

Modt '"Strobed Input/Output

Mode 2- 31-Directional Bus

When the reset input goes "hlgh" all ports will be set to the Input mode ((.e., all 24 lines will be In the high impedance state). After the reset IS removed the 8255A can remam In the Input mode wlth no addi- tional inl!ialization required. During the execution of the system program any of lhe other modes may be selected using a single output instruction. This al- lows a srngle 8255A to service a varlety of perlpheral devices with a simple software maintenance routine.

The modes for Port A and Port B can be separately defined, while Port C IS divided into two portlons as required by the Port A and Port B definitlons. All of the output registers, including the status flip-flops, will be reset whenever the mode IS changed. Modes may be combined so that thelr functional definition can be "tailored" to almost any I 1 0 structure. For instance; Group B can be programmed in Mode O to monitor simple swltch closmgs or dlsplay computa- tional results, Group A could be programmed in Mode 1 to monitor a keyboard or tam reader on an interrupt-drlven basis.

1 1

237300-6

Figure 5. Basic Mode Definitions and Bus Interface

r 5- L r- L L

Flgure 6. Mode Deflnltlon Format

The mode deftnitions and possible mode c o m tions may seem confusmg at first but after a cursay review of the complete device operation a simpk, loglcal I/O approach will surface. The design of thr 8255A has taken into account things such as dli. clent PC board layout, csntrol signal definition vs #: layout and complete functional flexibility to supper( almost any peripheral device with no external logic. Such deslgn represents the maximum use of avallable pins.

Single Bit Set/Reset Feature Any of the elght bits of Port C can be Set or Reset uslng a smgle OUTput instruction. T h ~ s feature re- duces software requlrements in Control-based apple catlons.

3-1 04

Page 54: GRABADOR DE EPROMs AUT~NOMO

m o - r c r ~ v r

B l T S E T I R L S f l FLAG

231308-8 "

Figure 7. Bit Set/Reset Format

When Port C is being used as status/control for Port A or B. these bits can be set or reset by ustng the Bit Set/Reset operation lust as if they were data output ports.

interrupt Control Functions

When the 8255A is programmed to operate In mode 1 or mode 2, control signals are provided that can be used as interrupt request inputs to the CPU. The In- terrupt request signals, generated from port C, can be lnhlblted or enabled by setting or resetting the assoctated INTE flip-flop, using the blt set/reset lunctton of port C.

This function allows the Programmer to disallow or allow a specific I/O device to interrupt the CPU with- out affectrng any other devtce tn the interrupt struc- ture.

INTE flip-flop definition:

(BIT-SET)-INTE is set-Interrupt enable

(BIT-RESET)-INTE is RESET-Interrupt disable

NOTE: All Mask flip-flops are automatically reset during mode selection and device Reset.

Operating Modes MODE O (Easlc InpuVOutput). This functional con- figuration provides simple input and output opera- tions for each of the three ports. No "handshaking" is required, data is simply written to or read from a specifled port.

Mode O Basic Functional Definitions: Two &bit ports and two 4-bit ports. Any port can be input or output. Outputs are latched. Inputs are not latched. 16 different lnput/Output configurations are pos- sible in this Mode.

231308-9

3-1 05

Page 55: GRABADOR DE EPROMs AUT~NOMO

MODE O PORT DEFINITION

A 8 I Group A j Group B I - 1

I o

OUTPUT INPUT 2 O 1 OUTPUT 1 OUTPUT 1 O O

INPUT OUTPUT 1 OUTPUT 1 j OUTPUT O o O

OUTPUT OUTPUT O OUTPUT O I OUTPUT O O

j o 1 o I 1 I 1 I OUTPUT I OUTPUT 1 3 ’ 1 INPUT I INPUT I 1 O 1 1 1 O 1 O I OUTPUT ! INPUT I 4 I OUTPUT I OUTPUT 1 1 O I 1 I O 1 1 ~ OUTPUT 1 INPUT ~ 5 1 OUTPUT I INPUT 1 I o 1 1 1 1 1 O I OUTPUT I INPUT 1 6 INPUT

”c”” , OUTPUT - 1 I OUTPUT I INPUT 7 I INPUT I INPUT

INPUT 1 OUTPUT 1 8 1 OUTPUT I OUTPUT

\ 1 I O O 1 1 1 INPUT 1 OUTPUT 9 1 OUTPUT 1 INPUT 1 1 1 1 O ~ 1 I O , INPUT 1 OUTPUT I 10 I INPUT I OUTPUT 1

I 1 1 1 1 O I O ~ INPUT 1 INPUT 1 12 I OUTPUT 1 OUTPUT ¡- 1 1 1 1 O I 1 1 INPUT I iNPUT 1 13 I OUTPUT 1 INPUT 1 ¡ 1 1 1 1 1 1 O 1 INPUT 1 lNPUT ¡ 14 1 INPUT 1 OUTPUT I i l / l j l / 1 INPUT INPUT INPUT 1 15 1 INPUT

3-1 O6

Page 56: GRABADOR DE EPROMs AUT~NOMO

IAODE CONFIGURATIONS

CONTnOL WORD CO

231308-13

0 7 % -

231308-14

3-1 07

Page 57: GRABADOR DE EPROMs AUT~NOMO

,'* - PA,-P*,

231308-17

231308-19

. "

1 0 0 0 1 0 1 1

D,-Do "

1 0 0 1 0 0 1 0 I

O ) Do "

O, Do --

3-1 O9

Page 58: GRABADOR DE EPROMs AUT~NOMO

I

231300-23

I

D,.Do ---

1 WNTROL WORD 815

D1-O0 t_

~ ~~~~ ~~~

Operating Modes

MODE 1 (Strobed Input/Output). This functional configuration provides a means for transferring I10 data to or from a specified port In conjunction with strobes or “handshakmg” signals. In mode 1 , pori A and port B use the lines on port C to generate or accept these “handshaking” slgnals.

Mode 1 Basic Functional Definitions: * Two Groups (Group A and Group 6)

Each group contains one 8-blt data port and one

The %bit data port can bo either input or output.

The 4-bit port is used for control and status of the

4-bit control/data port.

Both inputs and outpu:s are latched.

&bit data port.

Input Control Signal Deflnition

data into the input latch. (Strobe Input). A “low” on this input loads

IBF (Input Buffer Full F/F) A “high” on this output indicates that the data has been loaded into the input latch; in essence, an ac- knowledgement. IBF is set by STB input being low and is reset by the rising edge of the RD input.

INTR (Interrupt Request)

A “high” on this output can be used to interrupt the CPU when an input device is requesting service. INTR is set by the is a “one”, IBF is a “one” and INTE is a “one”. It is reset by the falling edge of RD. This procedure allows an input device lo re- quest service from the CPU by simply strobing its data into the port.

Page 59: GRABADOR DE EPROMs AUT~NOMO

¡ n u 5164WL

8K X 8-BIT CMOS STATIC RAM

5164s-10 Unitr 5164s-12 -

Address Access Time ( t u )

ns 60 55 Output Enable Access Time (b~) ns 120 1 O0 Chip Select Access Time (tACS)

ns 120 1 O0

m Statlc Operatlon m Power Down Mode - No Clock/Retresh Required m l T L ComDatlble m Equal Access and Cycle Times - Slmplifles System Design m Slngle + 5V Supply

~

m Common Data Input and Output m High Reliability 28-Pin 600 Mil PDlP

Package

The 51645 is a 8192-word by &bit CMOS static RAM fabricated using CMOS Silicon Gate process.

The 51648 is placed in a standby or reduced power consumptron mode by asserting either CS input (m,, CS2) false. When in standby mode, the device is deselected and the outputs are in a high impedance state, independent of the m input. When device is deselected, standby current is reduced to 100 'pA (max). The device will remain in standby mode until both pins are asserted true again. The device has a data retention mode that guarantees that date will remain valid at minimum VCC of 2.0V.

Block Dlagram

hiwnory Arroy

*I 2

240570 - 1

Pin Connectlonr

240570-2

Pln Namer

ChiD Select One I I

Chip Select Two

Write Enable

"cc I Power GND I Ground

Page 60: GRABADOR DE EPROMs AUT~NOMO

w 5164S/L

Device Operation earliest transitiion of m,. high WE or low CSp. Out Enable (OE) IS used for precise control of the out-

=1

Standby High Standby X X L X Standby High Z Standby X X X H

Powor I/O Mode m WE cs, -

L Active DOUT Read L H H

L Active High Z Read H / H H

ABSOLUTE MAXIMUM RATINGS 'Notice: Stresses above those listed under 'Mbso- lute Maximum Ratings" may cause pefmanent dam-

Voltage on Any Pin age to the device. This is a stress ratihg only and Relative to Ground (VIN, VOUT) . . . . . -0 .W to 7v functional operation of the device st these w any

RECOMMENDED OPERATING CONDITIONS Voltage referenced to VSS. TA = 0% to 70%

Symbol Unltr Max Typ Mln Parameter

VCC 5.0 I 5.5 I v 4.5 Supply Voltage

I V S ~ I Ground I O I O I o I v l VIH v Vcc + 0.3 2.2 1 - Input High Voltage

VIL V 0.8 - -0.3 Input Low Voltage

Mom 1. During transitions, Ihe Inputs may undershoot to - 3.5V for periods less than 20 n<

CAPACITANCE TA = 25°C. f = 1.0 MHz -

sVmm Unltr Max Mln Parameter

CIN 1 PF 6 - Input Capacltance (VIN = OV)

%UT PF 8 - Output Capacitance (VO~JT = OV)

Nom This parameter is sampled and not 1 0 0 % tested

Page 61: GRABADOR DE EPROMs AUT~NOMO

inw 51256S/L

32K X 8-BIT CMOS STATIC RAM

~~ ~ ~~ ~~ ~

Address Access Time (tm) 120 ns 1 O 0

Chip Select Access Time ( t A G ) ns 120 1 O 0

Output Enable Access Time (b~) ns 60 50

m Static Operatlon m Power Down Mode - No Clock/Refresh Required m TTL Compatible D Equal Access and Cycle Tlmes - Simpllfles System Deslgn m Single + 5V Supply

m Common Data Input and Output m High Rellabillty 28-Pin 600 M11 POlP

Package

The 51256s is a 32766-word by &bit CMOS static RAM fabricated using CMOS Silicon Gate process.

When the Chip Select is brought high, the device assumes a standby mode in which the standby current is reduced to 100 p A (max). The device has a dala retention mode that guarantees that data will remain valid at minimum Vrr. of 2.0V.

Functlonal Block Dlrgram

1024 x 256 DECODER MEMORY ARRAY

4-4

M

"cc

GNO

240572- 1

Pln Connection.

-~ 240572-2

Chip Select

m I Wrie Enable

1 OutDut Enable

L GND I Ground I

Page 62: GRABADOR DE EPROMs AUT~NOMO

51256S/L

Device Operation The 51 2568 has two control inputs: Chlp Select (a) and Write Enable (m). CS2 the power control pin used for device operation. WE is the data control pin used to gate data at the I10 pins. Out Enable (OE) is used for precise control of the outputs.

ABSOLUTE MAXIMUM RATINGS Voltage on Any Pin

Relative to Ground (VIN, VOUT) . . . . . -0.34 to 7V Storage Temperature (TSTG) . . . . - 55°C to + 150°C Power Dissipation (PD) . . . . . . . . . . . . . . . . . . . . . 1 .OW DC Continuous Output Current (los). . . . . . . . .50 rnA

Table 1. Mode Selectlon Truth Table

'Nolice: Stresses above those listed under "Abso- lute Maximum Ratings" may cause pennanent dam- age to the device. This is a stress rating only and functional operation of the device at these or any other conditions above those indkatbd in the opera- Iional sections of this specifkation is not implid. Ex- posure to absolute maximum ratihg conditions for extended periods may affect device reldbility.

RECOMMENDED OPERATING CONDITIONS Voltage referenced to VSS. TA = 0°C to 70°C

Parameter Unlt Max Typ Mln Symbol

Supply Voltage

V O O 1 0 vss Ground

V 5.5 5.0 4.5 vcc

Input High Voltage

Input Low Voltage

V Vcc + 0.5 2.2 VIH V 0.8 - 0.3 VIL

NOTE VIL (Min) = - 3.0V for 20 ns pulse

CAPACITANCE TA = 25°C. f = 1.0 MHz

symbol I Parameter Min Max Unit

ClNl

NOTE

PF 10 Output Capacitance (VOUT = OV) I CGUT

PF 8 Input Capacitance (VIM = OV)

This parameter IS sampled and not 100% tasted

Page 63: GRABADOR DE EPROMs AUT~NOMO

¡ n u 2716

16K (2K x 8) UV ERASABLE PROM Fast Acceso Time - 2716-1: 350 ns Max - 2716-2 390 ns Max -2716: 450 ns Max

m Single + 5V Power Supply

m Low Power Disslpatlon -Active Power: 525 mW Max -Standby Power: 132 mW Max

m Pin Compatible to Intel “Unlversal Site” EPROMs Simple Programming Requirements - Single Location Programmlng -Programs with One 50 ms Pulse

m Inputs and Outputs TTL Compatible Durlng Read and Program

m Completely Static

The Intel 2716 is a 16.384-bit ultraviolet erasable and electrically programmable read-only memory (EPROM). The 2716 operates from a single 5-volt power supply, has a static standby mode, and features fast single- address programming. It makes destgning with EPROMs fast, easy and economical.

The 2716, with its single 5-volt supply and with an access time up to 350 ns, is ideal for use with high- performance + 5V microprocessors such as Intel’s 8085 and 8086. Selected 2716-5s and 2716-6s are also available for slower speed applications. The 2716 also has a static standby mode which reduces power consumption without increasing access time. The maximum active power dissipation is 525 mW while the maximum standby power dissipation is only 132 mW, a 75% savings.

The 271 6 uses a simple and fast methbd for programming-a slngle TTL-level pulse. There is no need for high voltage pulsing because all programming controls are handled by TTL signals. Programming of any location at any tim-ither individually, sequentially or at random IS possible wlth the 2716‘s single-address program- mmg. Total programming time for all 16,384 bits is only 1 O0 seconds.

vcc - GNO O--r

VPP - PROGRAM - OE AND 4 E-” - CE - - OUTPUT BUFFERS

Y GATING

CELL MATRIX

DATA OUTPUTS ‘ 0 - ‘7

Pln Names AO-Alo Addresses E

Output Enable m Chip Enable

00 -07 Outputs

-2, 210310-1

Figure l. Block Dlagram

Page 64: GRABADOR DE EPROMs AUT~NOMO

2716

2716

~-"---L I I

210310-2 NOTE: Intel "Universal Site" compatible EPROM confqurations are shown in the blocks adjacent to the 2716 pins.

- ~ ~ . -

Flgun 2. Cordlp Pln Conflgwatlon

EXTENDED TEMPERATURE (EXPRESS) EPROMs The Intel EXPRESS EPROM family is a series of electrically programmable read only memories which have received additional processing to enhance product characteristics. EXPRESS processing is available for several densities of EPROM, allowing the choice of appropriate memory size to match sys- tem applications. EXPRESS EPROM products are available with 168 f 8 hour, 125'C dynamic burn-in using Intel's standard bias configuration. This pro- cess exceeds or meets most industry specrfications of burn-in. The standard EXPRESS EPROM operat- ing temperature range is 0% to 7VC. Extended op- erating temperature range ( - 40% to + 85%) EX- PRESS products are available. Like all Intel EPROMs, the EXPRESS EPROM family is inspected to 0.1 K electrical AQL. This may allow the user to reduce or eliminate incoming inspection testing.

EXPRESS EPROM PROOUCT FAMILY

PRODUCT DEFlNlTONS Typ.(Operatlng TomporahmlBurn-ln 125% (hr)

O 1

0% to + 70% 44 - 4rc to + 85'C

168 *e

EXPRESS OPTIONS

2716 Versions Plckaglng Optlonr

wood Vorrknr Cudip I

-1 I Q STD Q, I

J

Page 65: GRABADOR DE EPROMs AUT~NOMO

2716

I

DEVICE OPERATION

The six modes of operation of the 271 6 are listed tn Table l. It should be noted that inputs for all modes are l TL levels. The power supplies required are a + 5V VCC and a Vpp. The Vpp power supply must be at 25V during the three programmmg modes, and must be at 5V in the other three modes.

Read Mode

The 2716 has two control functions, both of whtch must be logically satisfied in order to obtaln data at the outputs. Chip Enable (E) is the power control and should be used for device selection. Output En- able (m) is the output control and should be used to gate data from the output pins, Independent of device selection. Assuming that addresses are sta- ble, address access time (tACC) is equal to the delay from CE to output (tCE). Data is available at the out- puts ~(-JE after the falling edge of OE. assuming that m has been low and addresses have been stable for at least tACC-tOE.

Standby Mode The 2716 has a standby mode which reduces the maximum active power dissipation by 75’10, from 525 mW to 132 mW. The 2716 is placed in the standby mode by applying a TTL-high signal to the m input. When in standby mode, the outputs are in a high impedance state, independent of the m in- put.

Output OR-Tieing

Because 2716s are usually used in larger memory arrays, Intel has provided a 2-line control function that accommodates this use of multiple memory connections. The two-line control function allows for:

a) the lowest possible memory power dissipation,

b) complete assurance that output bus contention and

w~ll not occur.

To use these two control lines most efficiently, m (pin 18) should be decoded and used as the primary devlce selecting function, while m (pin 205 should be made a common connection to all devices in the array and connected to the m line from the sys- tem control bus. This assures that all deselected memory devices are in their low-power standby modes and that the output pins are active only when data IS desired from a particular memory device.

Programming Initially. and after each erasure. all bits of the 2716 are in the “1 ” state. Data is introduced by Selectively programming “O’s” into the desired bit locations. Al- though only “0‘s” will be programmed, both “1’s” and “O’s” can be presented in the data word. The only way to change a “O” to a “1” is by ultraviolet light erasure.

The 271 6 is In the programmin mode when the Vpp power supply is at 25V and &is at VIH. The data to be programmed is applied 8 bits in parallel to the data output pins. The levels required for the address and data Inputs are TTL.

When the address and data are siable. a 50 m active-high, TTL program pulse is applied to the E input. A pulse must be applied at each address loca- tion to be programmed. You can program any loca- tion at any timnither individually, sequentially, or at random. The program pulse has a maximum width of 55 ms. The 2716 must not be programmed with a DC signal applied to the E input.

Table 1. Mode Sclcctlon

NOTE: 1. X can be VIL or VIH.

Page 66: GRABADOR DE EPROMs AUT~NOMO

2716

Programming of multiple 2716s in parallel with the same data can be easily accomplished due to the simplicity of the programming requirements. Like in- puts of the paralleled 2716s may be connected to- gether when they are programmed with the same data. A low level TTL pulse applied to the input programs the paralleled 2716s.

Program Inhibit

Programming of multiple 2716s in parallel with differ- ent data is also easily accomplished. Except for m, all like inputs (including m) of the parallel 2716s may be common. A TTL-level program pulse applied to a 2716's input wiEVpp at 25V will program that 2716. A low-level CE input inhibits the other 2716 from being programmed.

Verify

A verify should be performed on the programmed bits to determine that they were correctly pro- grammed. The verify may be performed with Vpp at 25V. Except during programming and program veri- fy, Vpp must be at 5V.

ERASURE CHARACTERISTICS

The erasure characteristics of the 2716 are such that erasure begins to occur upon exposure to light with wavelengths shorter than aproximately 4000 Angstroms (A). It should be noted that sunlight and certain types of fluorescent lamps have wavelengths in the 3000-4000A range. Data show that constant exposure to room-level fluorescent lighting could erase the typical 2716 in approximately 3 years, while it would take approximately 1 week to cause erasure when exposed to direct sunlight. If the 2716 is to be exposed to these types of lighting conditions for extended periods of time. opaque labels should be placed over the window to prevent unintentional erasure.

The recommended erasure procedure for the 2716 is exposure to shortwave ultraviolet light which has a wavelength of 2537 Angstroms (A). The integrated dose (¡.e., UV intensity X exposure time) for erasure should be a minimum of 15 Ws/cm? The erasure time with this dosage is approximately 15 to 20 min- utes using an ultraviolet lamp with a 12000 p W / c d power rating. The 2716 should be placed within 1 inch of the lamp tubes during erasure.

ADDRESS =FIRST LOCATION

Vpp = 25.0V

.) f PROGRAM ONE 50mr PULSE >

1

PASSED 210310-3

Flgure 3. Standard Programmlng Flowchart

Page 67: GRABADOR DE EPROMs AUT~NOMO

¡ n u 2732A

32K (4K x 8) UV-ERASABLE PROMS M 200 na (2732A-2) Maxlmum Access m Low Current Requlrement

M Compatlble with High-speed Wme . . . HMOS*-E Technology - 100 mA Actlvb

- 35 mA Standby

Mlcrocontrollera and Mlcroprocesaorr m Intellgent IdentlflerTu Mode . . . Zero WAIT State -Automatic Programmlng Operrtlon m Two Llne Control m 10% VCC Tolorance Avallablb

m Indu8try Standard Plnout . . . JEOEC Approved 24 Pln Ceramlc Package ( S . . Pacluglog Spot. 0rd.r c 231 360)

The Intel 2732A is a 5V-only, 32,768-bit ultraviolet erasable (cerdlp) Electrically Programmable Read-only Memory (EPROM). The standard 2732A access time is 250 ns with speed selection (2732A-2) available at 200 ne. The access time is compatible with high performance microprocessors such as the 8 MHz iAPX 186. In these systems, the 2732A allows the microprocessor to operate without the addition of WAIT states.

An important 2732A feature is Output Enable (m) which is separate from the Chip Enable (m) control. The m control eliminates bus contention in microprocessor systems. The is used by the 2732A to place it in a standby mode (m = VI,) which reduces power consumption without increasing access time. The standby mode reduces the current requirement by 65%; the maximum active current is reduced from 100 mA to a standby current of 35 mA. 'HMOS is a patented process of Intel Corporation.

Pln N8m.r

- n256 7C2M

Flgun 1. Block Dlagram 280081 -1

2732A

4 "--"---o

290081-2

- ! 7 1 W !X121

Intel "Universal Site" compatible EPROM configurations are shown in the blocks adjacent to the 2732A pins. Figure 2. Ccrdip Pin Configuration

J

Page 68: GRABADOR DE EPROMs AUT~NOMO

w 2732A

EXTENDED TEMPERATURE (EXPRESS) EPROMs The Intel EXPRESS EPROM family is a series of electrically programmable read only memories which have received additional processing to enhance product characteristics. EXPRESS processing is available for several densities of EPROM, allowing the choice of appropriate memory size to match sys- tem applications. EXPRESS EPROM products are available with 168 *€l hour, 125'C dynamic burn-in using Intel's standard bias configuration. This pro- cess exceeds or meets most industry specifications of burn-in. The standard EXPRESS EPROM operat- ing temperature range is 0% to 70%. Extended op- erating temperature range (-40'C to + 85%) EX- PRESS products are available. Like all Intel EPROMs. the EXPRESS EPROM family is inspected to 0.1 YO electrical AQL. This may allow the user to reduce or eliminate incoming inspection testing.

READ OPERATION D.C. CHARACTERISTICS Electrical Parameters of EXPRESS EPROM prod- ucts are identical to standard EPROM parameters except for:

I=,(') V a Active Current (mA)

Current at High

I I Temperature (mA) I NOTE l. Maximum current value is with outputs 00 to O7 unloaded.

EXPRESS EPROM PRODUCT FAMILY

PRODUCT DEFINITONS Type Operatln Temperature Burn-In 125.C (hr]

(PC to + 70%

- 40% to + 85'C 168 f8

EXPRESS OPTIONS

2732A Versions Packaging Optlona

Spoed Veralona Cerdlp

I - 25

I

W

mE/vpp = +5V. R = 1 K n . V m =

Page 69: GRABADOR DE EPROMs AUT~NOMO

w 2732A

every eight devices. The bulk capacitor should be located near where the power supply is connected to the array. The purpose of the bulk capacitor is to overcome the voltage droop caused by the inductive effects of PC board traces.

r"c3 vcc 1 S.0V vpp = 2 1 .ov

I - PROCRAU ONE 5Oma PULSE

< vq: I v,, = 5.ov

F l PASSED

290001 -0 J Flgure 3. Standard Prognmmlng Flowchart

PROGRAMMING MODES CAUTION: Exmeding 22V on m/Vpp ~lHlp.nr- nent&&rng. tm *wco.

Initially, and after each erasure (cerdip EPROMs). all bits of the EPROM are in the "1 " state. Data is intro- duced by selectively programming "Os" into the bit locations. Although only "Os" will be programmed, both "1 S" and "Os" can be present in the data word. The only way to change a "O" to a "1" in cerdip EPROMs is by ultraviolet light erasure.

The device is in the programming mode when the bE/Vpp input is at 21V. It is required that a 0.1 pF capacitor be placed across i x /Vpp and ground to suppress spurious voltage transients which may damage the device. The data to be programmed is applied 8 bits in parallel to the data output pins. The

TTL. levels required for the address and data inputs are

When the address and data are stable, a 20 ms (50 ms typical) active low, TTL program pulse is ap-

plied to the input. A program pulse must be ap- plied at each address location to be programmed (see Figure 3). Any location can be programmed at any time-either individually, sequentially, or at ran- dom. The program pulse has a maximum width of 55 ms. The EPROM must not be programmed with a DC signal applied to the input.

Programming of multiple 2732As in parallel with the same data can be easily accomplished due to the simplicity of the programming requirements. Like in- puts of the paralleled 2732As may be connected to- gether when they are programmed with the same data. A low level TTL pulse applied to the input programs the paralleled 2732As.

Program Inhibit

Programming of multiple EPROMs in parallel with diierent data is easily accomplished by using the Program Inhibit mode. A high level m input inhibits the other EPROMs from being pr rammed. Except for all like inputs (including $;q/Vpp) of the par- allel EPROMs may be common. A l T L low level pulse applied to the input with m / V p p at 21V will program that selected device.

Program Verify

A verify (Read) should be performed on the pro- grammed bits to determine that they have been cor- rectly programmed. The verify is performed with m / V p p and m at VIL. Data should be verified toV after the falling edge of E.

intellgent ldentifierm Mode

The inteligent Identifier Mode allows the reading out of a binary code from an EPROM that will identify its manufacturer and type. fh i mode is intended for use by programming equipment for the purpose of automatically matching the device to be pro- grammed with its corresponding programming algo- rithm. This mode is functional in the 25'C f 5'C am- bient temperature range that is required when pro- gramming the device.

To activate this mode, the programming equipment must force 1 1.5V to 12SV on address line A9 of the EPROM. Two identifier bytes may then be se- quenced from the device outputs by toggling a& dress line A0 from VIL to VW. All other address lines must be held at VIL during the int&ent Identifier Mode.

Byte O (A0 = Vl1) represents the manufacturer code and byte 1 (A0 = Vln) the device identifier code. These two identifier bytes are given in Table l.

Page 70: GRABADOR DE EPROMs AUT~NOMO

inw 276419

64Ü (8K x 8) UV ERASABLE PROMS

m Fast Access Time-HMOS' ¡I E m Inteligent ldentlflerm Mode

m Moisture Resistant Approved . . .28 Lead Package m Two-line Control

The Intel 2764A is a 5V only, 65,536-bit electrically programmable read-only memory (EPROM). The 2764A is fabricated with Intel's HMOSII-E technology which significantly reduces die size and greatly improves the device's performance, power consumption, reliability and producibility.

The 2764A provides access times to 180 ns (2764A-1). This is compatible with high-performance microproces- sors, such as Intel's 8 MHz iAPX 186 allowing full speed operation without the addition of WAIT states. The 2764A is also directly compatible with the 12 MHz 8051 family.

Two-line control and JEDEC-approved. 28 pin packaging are standard features of Intel higher density EPROMs. This assures easy microprocessor interfacing and minimum design efforts when upgrading, adding, or choosing between non-volatile memory alternatives. 'HMOS is a patented process of Intel Corporation.

- 180 ns Cerdip D2764A-1 Industry Standard Pinout . . . JEDEC

(See Packagng Spec, Order +231369)

vcc - ano - OAlA OUfPUTS

0 0 - 0 7

I I

Flgure 1. Block Dkgram

230864- 1

Page 71: GRABADOR DE EPROMs AUT~NOMO

w 2764A

NOTE:

Pln Names

7- OutDut Enable I . 0 0 - 0 7 outputs m Program N.C. No Connect

2764A

230864-2

Intel "Universal Site"-Compatible EPROM pin configurations are shown in the blocks a d m t to the 2784A pina. Flgurr 2. Cordlp Pln Contlguratlon

Page 72: GRABADOR DE EPROMs AUT~NOMO

w 2764A

EXTENDED TEMPERATURE (EXPRESS) EPROMs The Intel EXPRESS EPROM family is a series of electrically programmable read only memories which have received additional processing to enhance product characteristics. EXPRESS processing is available for several densities of EPROM, allowing the choice of appropriate memory size to match sys- tem applications. EXPRESS EPROM products are

EXPRESS EPROM PRODUCT FAMILY PRODUCT DEFINITIONS

Typo Burn-ln 125'C (hr) Operrtlng Temperrturo O

None - 4VC to + 65'C T 1 6 6 f6 (Tc to + 70%

L - 40% IO + 65'C 1 166 f6

READ OPERATION D.C. CHARACTERISTICS

available with 168 k 8 hour, 125'C dynamic burn-in using Intel's standard bias configuration. This pro- cess exceeds or meets most industry specifications of burn-in. The standard EXPRESS EPROM operat- ing temperature range is 0% to 70°C. Extended op- erating temperature range ( - 40'C to + 85.C) EX- PRESS products are available. Like all Intel EPROMs, the EXPRESS EPROM family is inspected !o 0.1 % electrical AQL. This may allow the user to reduce or eliminate incoming inspection testing.

EXPRESS OPTIONS 2764A VERSIONS

Prckrglng Optlono Sped Vorrlonr Cordlp

-20 I Q. T. L

Electrical parameters of EXPRESS EPROM products are identical to standard EPROM parameters except for: TD2764A

Symbol Te8t COnditlOn8 LD2764A Parameter

Min Max ISB = VlH, = VIL 40 Vcc Standby Current (mA)

Ice,(" m = E = VIL 1 O0 Vcc Active Current (mA)

VCC Active Current Vpp = VE, T~,-,,h"t = 85% at High Temperature (mA) m = m = VIL 75

NOTE l. The maximum current value IS with outputs 00 to O7 unloaded.

Burn-in mas and Thing Diagrams

Page 73: GRABADOR DE EPROMs AUT~NOMO

2764A

(-)

1

FAILED

int,ligent ProgrammingTM Algorithm The inteligent Programming Algorithm. a standard in the industry for the past few years, is required for all of Intel's 12SV CERDIP EPROMs. Plastic EPROMs may also be programmed using this method. A flow- chart of the inbligent Programming Algortthm is shown in Figure 3.

The inbligent Programming Algorithm utilizes two different pulse types: initial and overprogram. The duration of the initial m pulse(s) is one millisec- ond, which will then be followed by a longer overpro-

gram pulse of length 3X msec. X is an iteration coun- ter and is equal to the number of the initial one milli- second pulses applied to a particular location, be- fore a correct verify occurs. Up to 25 one-rnillisec- ond pulses per byte are provided for before the over- program pulse is applied.

The entln roquencs of program pulres and byte vsrlficatlonr Ir performod at VCC = 6.OV and Vpp = 1 2 9 . When the int&ent Programming cy- cle has been completed, all bytes should be com- pared to the original data with VE = Vpp = 5.0V.

Page 74: GRABADOR DE EPROMs AUT~NOMO

¡ n u 27C64/87C64

64K (8K x 8) CHMOS PRODUCTION AND UV ERASABLE PROMS

m CHMOS Mlcrocontroller and Mlcroprocessor Compatible

m High Performance Speeds - 150 ns Maximum Access Time - 67C644ntegrated Address Latch - Unlversal 28 Pln Memory Slte, 2-llne m New Quick-Pulse ProgrammingTu

Algorithm (1 second programming) m Avallable In 28-Pln Cerdlp and Pla8tlc

DIP Package and 32-Lead PLCC Packape.

Control m Low Power Consumption - 100 pA Maxlmum Standby Current m Nolse lmmunlty Features - * 10% VCC Tolerance

-Maximum Latch-up lmmunlty Through EPI Processing

Intel's 27C64 and 87C64 CHMOS EPROMs are 64K bit 5V only memories organized as 8192 words of 8 bits. They employ advanced CHMOS'II-E circuitv for systems requiring low power, high performance speeds, and immunity to noise. The 87C64 has been optimized for multiplexed bus microcontroller and microprocessor compatibility while the 27C64 has a non-multiplexed addressing interface and is plug compatible with the standard Intel 2764A (HMOS 11-E).

The 27064 and 87C64 are otfered in both a ceramic DIP, Plastic DIP, and Plastic Leaded Chip Carrier (PLCC) Packages. Cerdip packages provide flexibility in prototyping and R8D environments, whereas plastic DIP and PLCC EPROMs provide optimum cost effectiveness in production environments. A new Ouick-Pulse Program- mingTM Algorithm is employed which can speed up programming by as much as one hundred limes.

The 87C64 incorporates an address latch on the address pins to minimize chip count in multiplexed bus systems. Designers can eliminate an external address latch by tieing address and dala pins of the 8 7 W directy to the processor's multiplexed addressldata pins. On the falling edge of the ALE input (ALE/=), address information at the address inputs (Ao-A~~) of the 87064 is latched internally. The address inputs are then ignored as data information is passed on the same bus.

The highest degree of protection against latch-up is achieved through Intel's unique EPI processing. Preven- tion of latch-up is provided for stresses up to 100 mA on address and data pins from - 1V lo VCC + 1V. 'HMOS and CHMOS are patented processes of Intel Corporation.

Shaded Areas '. represent the 87064 v e f m 290000-1

Flgure 1. Block Diagram

Page 75: GRABADOR DE EPROMs AUT~NOMO

280000-2 NO= Intel "Universal Site" Compatible EPROM Pin Configurations are shown in the adjacent blocks to 27064 Pins.

Shaded Areas . ' r e p e t e n t lb 0 7 W version

Figure 2. Pin Contiguratlon

32 PIN PLCC

0.450" X 0.550' ( 1 1.430 X 13.970)

(MILLIMETERS)

TOP VIEW

280000-1 1

Figure 3. PLCC(N) Lead Configuration

Page 76: GRABADOR DE EPROMs AUT~NOMO

Extended Temperature (Express) EPROMs The Intel EXPRESS EPROM family is a series of electrically programmable read only memories which have received additional processing to enhance product characteristics. EXPRESS processing is 'available for several densities of EPROM, allowing the.choice of appropriate memory size to match sys- tem applications.

EXPRESS EPROM products are available with 168 f 8 hour, 125% dynamic burn-in using Intel's stan- dard bias configuration. This process exceeds or meets most industry specifications of burn-in. The standard EXPRESS EPROM operating temperature range is O'C to 70'C. Extended operating tempera- ture range (- 40% to + 85%) EXPRESS products are also available. Like all Intel EPROMs. the EX- PRESS EPROM family is inspected to 0.1 % electri- cal AQL. This may allow the user to reduce or elimi- nate incoming inspection testing.

READ OPERATION

D.C. CHARACTERISTICS

EXPRESS EPROM Product Family

PRODUCT DEFINITIONS

I Type I Operating I Burn-In 125°C (hr) I TemDerature PCI

a l Oto +70 168 f8

T I - 4 0 t 0 + 8 5 I NONE

EXPRESS Options 27C64/07C64 Vcrdona

Speed Venlona Cerdlp PLCC

I I -20 I T,L.Q I T I

Electrical Parame : of EXPRESS EPROM products are identical to standard EPROM parameters except for:

27C64 Symbol Test Condltlons 87C64 Parameter

Mln Max

ISB

E = VIH, = VIL 1.0 lTL

€€ = v a , m = VIL 0.1 CMOS VE Standby Current (mA)

Iccl(l)

VPP = V a , Tambmt = 85'C High Temperature = = VIL 20, 30 TTL VCC Active Current at

m = m = VIL 20,30 TTL Vcc Active Current (mA)

NOTE 1. see notes 4 and 6 of Read Operation D.C. Characteristics.

I

290000-13 +5V R = 1 KII Vcc = t 5 V

Vpp = + 5V GNO = OV = 33.3 K H z m= +sv

Burn-In Birr and Tlmlng Dlrprama

Page 77: GRABADOR DE EPROMs AUT~NOMO

4 f ADORESS =FIRST LOCATION 3

Vtt = 6.25V

7s- PROGRAM ONE 100 u s PULSE

1 INCREMENT X

<“-J A Vcc E Vpp = 5.0V

29oooO- t 2 ~~

Figure 5. Quick-Pulse ProgramrningTv Algorithm

Quick-Pulse Programmingm Algorithm

Intel’s 27C64 and 87C64 EPROMs can now be pro- grammed using the Quick-Pulse Programming Algo- rithm, developed by Intel to substantially reduce the throughput time in the production environment. This algorithm allows these devices to be programmed in under one second, almost a hundred fold improve- ment over previous algorithms. Actual programming time is a function of the PROM programmer being used.

The Quick-Pulse Programming Algorithm uses initial pulses of 1 O 0 microseconds followed by a byte veri-

fication to determine when the address byte has been successfully programmed. Up to 25 100 ps pulses per byte are provided before a failure is rec- ognized. A flowchart of the Quick-Pulse Program- ming Algorithm is shown in Figure 5.

For the Quick Pulse Programming Algorithm, the en- tire sequence of programming pulses and byte verifi- cations is performed at VCC = 6.25V and Vpp at 12.75V. When programming of the EPROM has been completed, all bytes should be compared to the original data with VCC = Vpp = 5.0V.

Page 78: GRABADOR DE EPROMs AUT~NOMO

27 128A 128K (16K x 8) PRODUCTION AND UV ERASABLE PROMS m Fast 150 nsec Access Time

m Low Power - HMOS' 11-E Technology

- 100 mA Maxlmum Active - 40 mA Maximum Standby

m New Quick-Pulse Programmlngm Algorithm - Used on Plastlc DIP - intellgent ProgrammingTM Algorithm

Compatible

m Intellgetit ldentltlerm Mode m f 10% VCC Tolerance Available -Automated Programming Operations m Available in 28-Pin Cerdip and Plastic

Packages (.See Psckagmg Spec. Order r231369)

The Intel 271 28A is a 5V only, 131,072-ht ultraviolet erasable and electrically programmable read-only memo- ry (EPROM). The 27128A is' fabricated with Intel's HMOSII-E technology which significantly reduces die size and greatly improves the device's performance, reliability and manufacturability.

The 27128A is currently available in two different package types. CERDIP packages provide flexibility in prototyping and RBD environments where reprogrammability is required. Plastic DIP EPROMs provide opti- mum cost effectiveness in production environments.

Intel's new Quick-Pulse Programming Algorithm enables these Plastic EPROMs to be programmed within two seconds. Programming equipment that takes advantage of this innovation will electronically identify t h e EPROM with the help of the int&ent Identifier and rapidly program it using a superior programming method. The inQigent Programming Algorithm may be utilized in the absence of such equipment and is used to program CERDIP devices.

The 271 28A is available in fast access times including 150 ns (271 28A-1). This ensures compatibility with high- performance microprocessors, such as Intel's 8 MHz 80186 allowing full speed operation without the addition of WAIT states. The 27128A is also directly compatible with the 12 MHz 8051 family.

'HMOS is a patented process of Intel Corporation.

vcc - GND -

VPP - - t t t t t t t t

m "c OUTPUT ENABLE -' CHIP ENABLE

a-- PROG LOOK AND "--c OUTPUT BUFFERS

DECODER 4 Y-GATINQ

X DECODER : CELL MATRIX

131,072-BIT

Figure 1. Block Diagram

ADDRESS INPUTS

AO-Ao

230849-1

Page 79: GRABADOR DE EPROMs AUT~NOMO

271 20A

Pin Names

, - ._. . . . - - . .. . . N.C. I NO INTERNAL CONNECT

27120A P27126A 27321,

230849-2

NOTE: Inld "Univwul SIa'%omp.UOb EPROM Pln CaWiguationr u a Shorm in Ru Blodrr AdmcmI lo the 27128A Pins

Flgure 2. Cordip(D)/Phrtlc(P) DIP Pln Conflguratlonr

Page 80: GRABADOR DE EPROMs AUT~NOMO

w 27128A

EXTENDED TEMPERATURE (EXPRESS) EPROMS The Intel EXPRESS EPROM family is a series of electrically programmable read only memories which have received addltlonal processing to enhance product characteristics. EXPRESS processing is available for several densities of EPROM, allowing the chdce of appropriate memory size to match sys- tem applkrtions. EXPRESS EPROM products are

EXPRESS EPROM PRODUCT FAMILY PRODUCT DEFINITIONS ,- Typo 6um-In 125% (M) Oporatkrg Tomporrturo o

None -40% to + 85.C T . 166 f 8 0% to + 70%

L -40% to f85.C I 168 f 8

available with 168 f8 hour, 125’C dynamic burn- using Intel’s standard bias configuration. Thls prl cess exceeds or meets most industry specificatior of burn-in. The standard EXPRESS EPROM OWB ing temperature range is 0% to 70%. Exte1 ... :d 01 erating temperature range (-40% to + 85%) E: PRESS products are available. Like all Int EPROMs, t h e EXPRESS EPROM family is inspecte to 0.1% electrical AQL. This may allow the user reduce or eliminate Incoming inspection testing.

EXPRESS OPTIONS

27120A Ver8lonr

READ OPERATION

D.C. CHARACTERISTICS Electrical Parameters of Express EPROM Producta are identical to standard EPROM parameters except fo

I

Pmm0t.r TD27128h LD27128A Mln I M U I T0.1 COndltkM

Bum-in 81.a and nmlng Diagrama

Page 81: GRABADOR DE EPROMs AUT~NOMO

+ ADORESS = FIRST LOCATION

PROGRAM ONE IWrs PULSE

4 INCREMENT X

1

<-y+, I , $ f*ILDD

vcc = vpp = 5.ov

FIgure 4. Oulck-Pulso Programmlngn Algorithm

Quick-Pulse Programmingm Algorithm (For Plastic EPROMs)

Intel's Plastic EPROMs can now be programmed us- ing the Quick-Pulse Programming Algorithm, devel- oped by Intel to substantially reduce the throughput time in the production programming environment. This algorithm allows Plastic devices to be pro- grammed In under two seconds, almost a hundred fold improvement over previous algorithms. Actual programming time is a function of the PROM pro- grammer being used.

The Quick-Pulse Programming Algorithm uses initial pulses of 100 microseconds followed by a byte veri- fication to determine when the address byte has

been successfully programmed. Up to 25 100 pr pulses per byte are provided before a failure ir rec ognized. A flow chart of the Quick-Pube Program ming Algorithm is shown in F i e 4.

For the Quick-Pulse Programming Algorithm, the en tire sequence of pfogrammlng pulses and byte vM, cations is performed at Vcc = 6.25V and Vpp 8 12.75V. When programming of the EPROM ha been completed, all bytes should be compared 11 the original data with V m = Vpp = 5.0V.

In addition to the Quick-Pulse Programming Algo rithm, Plastic EPROMs are also compatible with In tel's int&ent Programming Algorithm.

Page 82: GRABADOR DE EPROMs AUT~NOMO

27C128 128K (16K x 8) CHMOS PRODUCTION AND

UV ERASABLE PROMS m CHMOS Microcontroller and

Microprocessor Compatible m Low Power Consumption - 100 pA Maxlmum Standby Current m Maxlmum Latch-Up Immunity Through

€PI Processing - * 1V Input Protectlon - 14V Vpp Protection

m High Performance - 150 ns Access Time m Quick-Pulse ProgrammingTM Algorithm

Allows Rapid, Automated Programming - 2 Second Throughput Available In 28-Pln Cerdlp and 32-Lead PLCC Packages

(See Packaging Spec. Order r231360)

Intel's 27C128 CHMOS EPROM is a 128K bit 5V-only memory, organized as 16,384 words of 8 bits each. The 27C128 is ideal for systems requiring low power, high performance. and noise Immunity due to its CHMOS'II-E processing, and it is pin compatible with the standard Intel 271 28A.

The 27C128 is offered in Ceramic DIP and Plastic Leaded Chip Carrier (PLCC) Packages. Cerdip packages provide flexibility in prototyping and R 8 D environments while the PLCC package is most cost effective in production environments. The Quick-Pulse ProgrammingTM Algorithm improves programming ' s p e e d by as much as one hundred times over older algorithms. further reducing costs for system manufacturers.

Intel's untque EPI processing provides excellent latch-up immunity. Prevention of latch-up is guaranteed for stresses up to 1 O 0 mA on address and data pins from - 1 V to Vcc + 1 V and for Vpp voltage overshoot up to 14V.

'HMOS and CHMOS are patented processes of Intel Corporation.

vcc - ON0 -

m CHIP ENABLE

PAOQ LOQlC OUTPUT BUFFERS

AODAESS INPUTS

&-A13

Y OECOOER YGAtlNQ

X : CELL MATRIX

131,072.811

Figuro 1. Block Dlagram 290127-1

Page 83: GRABADOR DE EPROMs AUT~NOMO

w 27C128

Pln Name8

CHIP ENABLE

OUTPUT ENABLE

PROGRAM No I n t m l Connect Don't Uw

27C128

290127-2 NOTE Intel "Universal Site"-CornpatiMe EPROM Pin Configurations are Shown in the Blocks Adjacent to the 27C128 Pins.

Flgure 2. Cerdip(D) Pln Conflguratlona

-

Flaure 3. PLCC(N1 Lead Confloumtlon

Page 84: GRABADOR DE EPROMs AUT~NOMO

w 27C 128

READ MODE The 27C128 has two control functions, both of which must be logically active in order to obtain data at the outputs. Chip Enable (m) is the power control and should be used for device selection. Output Enable (m) IS the output control and should be used to gate data from the output pins, independent of de- vice selection. Assuming that addresses are stable, the address access time ( t A E ) is equal to the delay from m to output (t&. Data is available at the out-

k assuming t h a t k uts after the dele of ~ O E from the falling edge of

has been low and addresses have been stable for at least tACC.bE.

STANDBY MODE EPROMs can be placed in standby mode which re- duces the maximum current of the device by apply- ing a TTL-high signal to the input. When in stand- by mode, the outputs are in a high impedance state, independent of the input.

Two Llne Output Control Because EPROMs are usually used in larger memo- ry arrays, Intel has provided 2 control lines which accommodate this mumple memory connection. The two control lines allow lor: a) the lowest possible memory power dissipation,

b) complete assurance that output bus contention and

w i l l not occur.

To use these two control lines most efficiently. E should be decoded and used as the primary device selecting function, while should be made a com- mon connection to all devices in the array and con- nected to the m line from the system control bus. This assures that all deselected memory devic- es are in their low power standby mode and that the output pins are active only when data is desired from a particular memory device.

SYSTEM CONSIDERATIONS The power switching characteristics of EPROMs re- quire careful decoupling of the devices. The supply current, Icc, has three segments that are of interest

to the system designer-the standby current level, the active current level, and the transient current peaks that are produced by the falling and rising edges of Chip Enable. The magnitude of these tran- sient and inductive current peaks is dependent on the output capacitive and inductive loading of the device. The associated transient voltage peaks can be suppressed by complying with Intel’s Two-Line Control, and by properly selected decoupling capaci- tors. I t IS recommended that a 0.1 pF ceramic ca- pacltor be used on every device between VE and GND. This should be a high frequency capacitor for low inherent inductance and should be placed as close to the device as possible. In addition, a 4.7 pF bulk electrolytic capacitor should be used between VCC and GNO for every eight devices. The bulk ca- pacitor should be located near where the power sup ply is connected to the array. The purpose of the bulk capacitor is to overcome the voltage droop caused by the inductive effect of PC board-traces.

PROGRAMMING MODES Caution: Exceeding 14V on Vpp will permanent& damage the device.

Initially. and after each erasure, all bits of the EPROM are in the “1” state. Data is introduced by selectively programming “Os” into the desired bit lo- cations. Although only “Os” will be programmed, both “1s” and “Os” can be present in the data word. The only way to change a “O” to a “1” is by ultravio- let light erasure.

The device is in the programming mode when Vpp is raised tosrogramming voltage (See Table 2) and m and PGM are both at TTL low and = VIH. The data to be programmed is applied 8 bits in paral- lel to the data output pins. The levels required for the address and data inputs are TTL.

Program Inhibit Programming of multiple EPROMs in parallel with different data is easily accomplished by using the Program Inhibit mode. A high-level E or m input inhibits the other devices from being pro rammed. Except for S, all like inputs (including dk) of the parallel EPROMs ma be common. A l T L low-level pulse applied to the hd input with Vpp at its pro- gramming voltage and m = VIL will program the selected device.

Page 85: GRABADOR DE EPROMs AUT~NOMO

w 27C 128

F START

ADDRESS =FIRST LOCATKIN

4 Vpp = 12.75V Va = 6.25V

PROGRAM ONE loops PULSC

* X =25?

PASSED 290127-0

Figura 5. Oulck-Pulse ProgrammingTu Algorithm

Quick-Pulse Programminga Algorithm

Intel's 27C128 EPROM is programmed using the Quick-Pulse Programming Algorithm, developed by Intel to substantially reduce the throughput time in the production environment. This algorithm allows the device to be programmed in under two seconds, almost a hundred fold improvement over previous algorithms. Actual programming time is a function of !he PROM programmer being used.

-ne Quick-Pulse Programming Algorithm uses initial pulses of 100 microseconds followed by a byte ven-

fication to determine when the address byte has been successfully programmed. Up to 25 100 ps pulses per byte are provided before a failure is rec- ognized. A flowchart of the Quick-Pulse Program- ming Algorithm is shown in Figure 5.

For the Quick Pulse Programming Algorithm, the en- tire sequence of programming pulses and byte v e f i cations is performed at Vcc = 6.25V and Vpp at 12.75V. When programming of the EPROM has been completed, all bytes should be compared to the original data with VE = Vpp = 5.0V.

Page 86: GRABADOR DE EPROMs AUT~NOMO

27256 256K (32K x 8) PRODUCTION AND UV ERASABLE PROMS m New Quick-Pulse ProgrammingTM m Plastic Production P27256 is

Algorithm for Plastic P27256 Compatible with Auto-Insertion - 4 Second Programming Equipment - intellgent ProgrammingTM Algorithm Moisture Resistant . .

Compatible m Fast Access Time

m Industry Standard Pinout . . . JEDEC Approved . . . 28 Lead Cerdip and Plastic Package - 170 ns D27256-1 - 200 nr P27256-2 ( S e e Packaglng Spec. Order r231369)

m intellgent IdentlfierTM Mode

The Intel 27256 is a 5V only, 262,144-bit Ultraviolet Erasable (Cerdip)/plastic production (P27256) electricall programmable read-only memory (EPROM). Organized as 32K words by 8 bits, individual bytes can be ac cessed in less than 170 ns (27256-1). This is compatible with high performance microprocessors, such as th Intel iAPX 186, allowing full speed operation without the addition Of performance-degrading WAIT states. Thl 27256 is also directly compatible with Intel's 8051 family of microcontrollers.

The Plastic P27256 is ideal for high volume production environments where code flexibility is crucial. Plasti packaging is also well-suited to auto-insertion equipment in cost-effective automated assembly lines. Intel' new Quick-Pulse Programming Algorithm enables the P27256 to be programmed within four seconds (plu programmer overhead). Programming equipment which takes advantage of this innovation will electronicall identify the EPROM with the help of the inkligent Identifier and rapidly program it using a superior program ming method. The inteligent Programming Algorithm may be utilized in the absence of such equipment.

The 27256 enables implementation of new, advanced systems with firmware-intensive architectures. Thl combination of the 27256's high-density, cost-effective EPROM storage, and new advanced microprocessor having megabit addressing capability provides designers with opportunities to engineer user-fnendly. h ~ l reliability, high-performance systems.

The 27256's large storage capability of 32 K-byte; enables it to function as a high-density software carriel Entire operating systems, diagnostics, high-level language programs and specialized application software ca reside in a 27256 EPROM directly on a system's memory bus. This permits immediate microprocessor acces and execution of software and elminates the need for time-consuming disk accesses and downloads.

Two-line control and JEDEC-approved. 28-pin packaging are standard features of all Intel high-densit EPROMs. This assures easy microprocessor interfacing and minimum design efforts when upgrading, adding or choosing between nonvolatile memory alternatives.

The 27256 is manufactured using Intel's advanced HMOS'II-E technology,

'HMOS is a patented process of Intel Corporation.

vcc - a m - 0411 o u w u n

00-0 ,

a

280087- 1

Flgure 1. Block Diagram

Page 87: GRABADOR DE EPROMs AUT~NOMO

27256

NOTE:

Pin Names

Chip Enable

0 0 - 0 7 outputs N.C. No Connect

27256 P27256

lntel"Universal Site"-Compatible EPROM pin configurations are shown in the blocks adjacent to the P27256 pins. Figura 2. Cerdip/PIartlc DIP Pin Conflguratlon

I

Page 88: GRABADOR DE EPROMs AUT~NOMO

w 27256

EXTENDED TEMPERATURE (EXPRESS) EPROMs The Intel EXPRESS EPROM family is a series of electrically programmable read only memories which have received addltional processing to enhance product characteristics. EXPRESS processing is available for several densities of EPROM, allowing the choice of appropriate memory size to match s y s tem appllcations. EXPRESS EPROM products are

EXPRESS EPROM PRODUCT FAMILY

PRODUCT OEFlNiflONS

available with 168 f 8 hour, 125% dynamic burn-in using Intel's standard bias configuration. This pro- cess exceeds or meets most industry specifications of burn-in. The standard EXPRESS EPROM operat- ing temperature range is O'C to 70%. Extended o p erating temperature range (-40% to + 85%) EX- PRESS products are available. Like all Intel EPROMs, the EXPRESS EPROM family is inspected to 0.1 % electrical AQL. This may allow the user to reduce or eliminate incoming inspection testing.

EXPRESS OPTIONS

27256 VERSIONS

Type ~emperature Operating

12WC (hr) 168 k8 O'C to + 70'C Q

Burn-in

T I -40% to f 85'C I None L 1 -4O'Cto + 8 S C I 168 f8

- Prckaglng Optlonr

Speed Vmrmlans Cordlp

I -20 Q, T, L 1

READ OPERATION

D.C. CHARACTERISTICS Electrical parameters of EXPRESS EPROM products are identical lo standard EPROM parameters except for:

Symbol Test Condklons LD27256 Parameter TO27256

Mln Max ISB E = VI& bE = VIL 50 Vcc Standby Current (mA)

Ice(') bE = E - VIL 125 Vcc Active Cunent (mA)

NOTE l . The maximum current value is with outputs 00 to 07 unloaded.

Burn-In Bbs and fimlng Diagrams

Page 89: GRABADOR DE EPROMs AUT~NOMO

27256

e l cc = Vpp = 5.W

Flgure 4. Intellgent ProgrammingTv Flowchart

inteligant ProgrammingTM Algorithm

The inbligent Programming Algorithm has been a standard in the industry for the past few years. A flowchart of the inbligent Programming Algorithm is shown in Figure 4.

The inteligent Programming Algorithm utilizes two different pulse types: initial and overprogram. The duration of the initial E pulse(s) is one millisecond, which will then be followed by a longer overprogram

pulse of length 3X msec. X is an iteration counter and is equal to the number of the initial one millisec- ond pulses applied to a particular location, before a correct ver* occurs. Up to 25 one-millisecond puls- es per byte are provided for before the overprogram pulse is applied.

The entire sequence of program pulur and byte verlflcatlonr Ir performed at Vcc = 6.0V and Vpp = 12.W. When the inbligent Programming cy- cle has been completed, all bytes should be com- pared to the original data with Vcc = Vpp = 5.0V.

Page 90: GRABADOR DE EPROMs AUT~NOMO

¡ n u 27512 512K (64K x 8) PRODUCTION AND

UV ERASABLE PROM m Software Carrier Capablllty m Low Power m 170 ns Maxlmum Access Tlme

m Two-Llne Control m intellgent ldentlfler~ Mode

m lTL Compatible

- 125 mA mar. Actlve -40 mA max. Standby Intellgent Prograrnmlngm Algorlthrn

-Automated Programmlng Opsratlona in csrdlp ( S e a packagmg spec orda # 231 369)

The Intel 27512 is a 5V-only, 524,288-bit ultraviolet Erasable and Electrically Programmable Read Only Memo- ry (EPROM) organized as 64K words by 8 bits. This ensures compatibility with high-performance microproces- sors, such as the Intel 8 MHz iAPX 286, allowing full speed operation without the addition of performance-de grading WAIT states. The 27512 is also directly compatible with Intel’s 8051 family of microcontrollers. The 27512 enables implementation of new, advanced systems with firmware intensive architectures. The combination of the 27512’s highdensity, cost-effective EPROM storage, and new advanced microprocessors having megabyte addressing capability provides designers with opportunities to engineer user-friendly, high-re liability, high-performance systems. The 27512’s large storage capability of 64 K-bytes enables it to functlon as a high-density software carrier. Entire operating systems, diagnostics, high-level language programs and specialized application software can reside in a 2751 2 EPROM directly on a system’s memory bus. This permits immediate microprocessor access and execution of software and eliminates the need for time-consuming disk accesses and downloads. Two-line control and JEDEC-approved. 28-pin packaging are standard features of all Intel highdensity EPROMs. This assures easy microprocessor interfacing and minimum design efforts when upgrading, adding. or choosing between nonvolatile memory alternatives. The 27512 is manufactured using Intel’s advanced HMOs *It-€ technology. ‘HMOS is a patented process of Intel Corporation.

231088-1

Figure 1. Block Dlagram

Page 91: GRABADOR DE EPROMs AUT~NOMO

w 27512

Pin Names

€€ iS€EIVpp

Don't Use O.U.

outplcs 00-01

Oulpvts EnablelVpp

b - A 1 5 Addresses

Chg Enable

27512

231088-2

Figure 2. Pin Conflguratlonr

EXTENDED TEMPERATURE (EXPRESS) EPROMs The Intel EXPRESS EPROM family is a series of electrically programmable read only memories which have received additional processing to enhance product characteristics. EXPRESS processing is available for several densities of EPROM, allowing the choice of appropriate memory size lo match sys- tem applications. EXPRESS EPROM products are available with 168 f 8 hours, 125% dynamic burn-in using Intel's standard bias configuration. This pro- cess exceeds or meets most industry specifications of burn-in. The standard EXPRESS EPROM operat- ing temperature range is 0°C to 70'C. Extended op- erating temperature range ( - 40.C to + 85T) EX- PRESS products are available. Like all Intel P q O M s , the EXPRESS EPROM family is inspected tu J. 1 *lo electrical AQL. This may allow the user to reduce or eliminate incoming inspection testing.

EXPRESS EPROM PRODUCT FAMILV PRODUCT DEFINITIONS Type I Operating Temperature] Bum-in 125% (hr)

Q f o'c to + 70% I 168 + 8

EXPRESS OPTIONS 27512 VERSIONS

Speed Verdonr

-STD. -25, -30 Q, T, L -3 L

Page 92: GRABADOR DE EPROMs AUT~NOMO

275 12

READ OPERATION

D.C. CHARACTERISTICS

Electrical parameters of EXPRESS EPROM products are identical to standard EPROM parameters except for: TD27512

Symbol LD27512 Parameter Test Condltlonr

Mln Max

’SB = VlH. m / V w = VIL 50 VCC Standby Current (mA)

ICCl(” m/vpp = E = VIL 150 VCC Active Current (mA)

VCG Active Current at TAmbient = 05°C High Temperature (mA) aE/vpp = €€ = VIL 125

NOTE 1. The rnaxlmurn current value IS with outputs 00 to O7 unloaded.

.I c 9

. v s s c 1 4

Al 5

231088-4

231088-3 Binary SeQuence from lo A15

+5V A = 1 K f 1 Vw) I +5V V s = GND E = GND

Burn-In Bias and Tlmlng Diagram8

Page 93: GRABADOR DE EPROMs AUT~NOMO

2751 2

” r

Figure 5. Lnbllgent Programmingm Flowchart

inteligent ProgrammingTM Algorithm The inkligent Programming Algorithm programs Intel EPROMs using an efficient and reliable method particularly suited to the production programming environment. Typical programming time for individu- al devices are on the order of six minutes. Actual programming times may vary due to differences in programming equipment. Programming reliability is also ensured as the incremental program margin of each byte is continually monitored to determine when it has been successfully programmed. A flow- :hart of the tnteligent Programming Algorithm is shown in Figure 4.

The inkligent Programming Algorithm utilizes two different pulse types: initial and overprogram. The duration of the initial pulse@) is one millisecond, which will then be followed by a longer overprogram pulse of length 3X msec. X is an iteration counter and is equal to the number of the initial one millisec- ond pulses applied to a particular location, before a correct verlfy occurs. Up to 25 one-millisecond puls- es per byte are provided for before the overprogram pulse is applied. 7?te entire s e q w ~ of progrrm pulse8 and byto veriflcallbnr is perfomad 8t VCC = 6,OK When the inteligent Programming cy- cle has been completed, all bytes should be com- pared to the original data with VCC = 5.0V.

Page 94: GRABADOR DE EPROMs AUT~NOMO

T54LSOOK74LSOO

QUAD 2-INPUT NAND GATE

"cc

GNO

GUARANTEED OPERATING RANGES

PART NUMBERS MIN TEMPERATURE

T54LSOOX 4 5 v 5 0 V

T74LSOOX 4 75 V 5 o v

OC CHARACTERISTICS OVER OPERATING TEMPERATURE RANGE (unless otherwise specllled)

SYMBOL PARAMETER LIMITS

' MIN I Wp MAX UNITS rEsT CONOITIONS I N ~ I ~ I I

I

VOL OUlpUt LOW Voh.ge

'In Input HIGH Current

IIL Input LOW Current -0 36 mA Vcc = MAX. VIN = 0.4 V

.'os - 20 - 100 mA VCc = y*X. V w ~ = O V

54.74 O 25 O 4 V kCc = MIN. IoL = 4 O mA. VIN - 2 O V

74 0 3 5 0 5 V VCC-MIN. IOL-B0mA.VlN-20V

1 O 20 pA Vcc= MAX.VIp, = 2 7 V O I mA Vcc = MAX. VIN - 10 V

Oulput Short Clrcud Currcnr (Nole 31 *-

'#>

....

Page 95: GRABADOR DE EPROMs AUT~NOMO

T54LS04/T74LS04 - " I

HEX INVERTER

GUARANTEED OPERATING RANGES "

PART NUMBERS SUPPLY VOLTAGE

MIN MAX TYP TEMPERATURE

T54LS04X

V C I O t70.c 5 2 5 v 5 0 V T74LSMX 4 75 v

-55OC IO 125'C 5 5 v 5 0 V 4 5 v

DC CHARACTERISTICS OVER OPERATING TEMPERATURE RANGE unless olherw!s_e specllied)

,yp LIMITS

MIX-_ -UTNS INOIC 1 1 -

2 0 Guaranteed Input HIGH Volnp* ~ _ _ - " VIL

O ? o a Guaran1s.d lnpul LOW VOlIDQ.

"" ~ - - -. ". - . -. " .______ . -066 - I 5

3 4 2 7 3 4

"

VCC = MIN. IIp, - 18 mA

VC- = MIN. 1 0 ~ -4M)#A. VIN VIL Output HIGH Voltage

AC CHARACTERISTICS: 14 --25OC (See Page 273 for Waveforms) . "" ~- - " . " -.

F

Page 96: GRABADOR DE EPROMs AUT~NOMO

QUAD 2-INPUT AND GATE

"CC

GUARANTEED OPERATING RANGES . . - PART NUMBERS

MIN SUPPLY VOLlAGE

N P MU '

0-cto + l O * C . 5.28 v 5 o v 4 76 V T74LSOBX

-55.C to 126% S S v 5 o v 4 5 v T54LSO8X

TEMPERATURE

x P.ck80. IVW; D for CeIWnic DID. 8 for PIarllc Dep Se, PackagonO Inlormalmn Se~non lor packages awlable on this vloduct

DC CHARACTERISTICS OVER OPFlRATlNG TEMPERATURE RANGE (unless olherwtse specdid)

LIMITS SYMBOL PARAMETER ' MIN TEST CONDITIONS INWe 1) UNITS

MAX ~' Typ

VIH

Input ClimD Diode VOIl.lp VCO

Input LOW VOll.0. VIL

Gumentad Input HIGH V o h w V 2.0 IWUI HIGH Vol te~e

S4

74 V Gueran ld Input LOW V011.ge

- -085 V c c - M l N . 1 , ~ ~ -18mA V - 1 S

(In ~~

Input HIGH Current I O VCC=MAX.V,N- 2 7 V PA 20

I o 1

V C C " A X . V ~ N = O V mA 8 8 44 Supptr Current LOW ICCL

Vcc -MAX. 1nputsOp.n mA 4 8 24 SUDD& Current HIGH ~CCH

VCc = M U . V ou~ - O V mA -1 O0 - 20 Current ( ~ o c e 31 '0s

VcC 2 MAX. VIN = O 4 V mA -0 38 IWUI LOW Current IIL

vc, = MAX. vy( = I O V mA . .-

ourput Shon ClICUil

. .

AC CHARACTERISTICS: TA = 25OC (See Page 273 for Waveforms1 "

"_

Page 97: GRABADOR DE EPROMs AUT~NOMO

DUAL 2-WIDE 2-INPUT/J.INPUT AND-OR-INVERT GATE

QUARANTEED OPERATING RANGES

PART NUMBERS SUPPLY VOLTAGE

PIP MIN I TEMPERATURE ' MAX

T M L S S l X - 554c to I25T 4 5 v 5 o v I 5 5 v T74LS5 1 x I 475V I 5 0 V I 525V I O.Cto+lO'C

DC CHARACTERISTICS OVER OPERATINO T E M P E R A T L ) _ R E - R A N O E ~ olherwlse rpec~lted) .

SVMBOL MIN NP MLJ( UNITS TEST CONMTIONS(Nole 11

PARAMETER LIMITS 1 7

VIM

Gurfrnted I n o u t LOW Vohuje V o

tuerantad lnpul HIGH Volug. V 2 0 Input HIGH Voltage

VIL Input LOW Voll.pe 54 0 7 74

I 1 0 I 20 I #A I V C C = M A X . V I N - I ~ V I O 1 I mA I Vrr-MAx.V,U- lOV

IIL

VCC = M A Y . vou, - o v mA - Io0 - 20 Current ( ~ o t e 31 '0s

Vcc - MAX. VIN - 0.4 V -O 36 mA Input LOW Current

Outpul Short Circuol

AC CHARACTERISTICS: TA = 25OC (See Page 273 for Waveforms)

1

Page 98: GRABADOR DE EPROMs AUT~NOMO

i T54LS138R74LS138

1-OF-8 DECODER/DEMULTIPLEXER

OEscatPrtoN - T h l Lsm&st T L ¿ C S I J ~ ~ ; ~ “ ! ~ , B I S P (t,i WI, mea !,aid 0ecoderlOl:nulriplrxrr. This drwicr I$ I W I I ~ ty~(prl for high Ppood b!p%Icr mcmery chip s o l a c t addrnr decodinp. Thr multiple input snabI(( a!l9W gllrellel bxpansion 10

6 I.of.24 decoder usmg just t h r n LS138 devices or to a 1.of.32 decoder using four LSl3Es end one inverter. The LS138 ir febricalrd with rhe Schottky barrter diode prwem for high wead and ir complalely compatible with all SGS-ATES TTL farnilits.

DEMULTIPLEXING CAPABILITV MULTIPLE INPUT ENABLE FOR EASY EXPANSION TYPICAL POWER DISSIPATION OF 32 mW ACTIVE LOW MUTUALLY EXCLUSIVE OUTPUTS INPUT CLAMP DIODES LIMIT HIGH SPEED TERMINATION EFFECTS FULLY TTL AND CMOS COMPATIBLE

PIN NAMES LOADING (Note al

_A0 :A2 Address Inputs €1. €2 Enable (Actwe LOW1 Inwu 0.5 U L E3 Enable (Actwe HIGH) Input 60 - G7 Act~ve LOW Outputs INote bl

0.25 U L

0.5 U.L. 0.25 U.L.

CONNECTION DIAGRAM DIP (TOP VIEW1

I

Page 99: GRABADOR DE EPROMs AUT~NOMO

T54LS164/T74LS164 SERIAL-IN PARALLEL-OUT SHIFT REGISTER

D E S C R I P T I O N - The T54LS164/T74LS164 ir a high speed 8-811 Serial-In Parallel-Out Shift Register. Serial data is enter& through a 2-lnpul ANO gata synchronous with the LOW IO HIGH transition of Ihe clock. The devlce features an asynchronous Master Reset which clears the register Istting all outputs LOW Independent of the clock. It ulilizer the Schottky diode clamped process to achieve high speeds and is fully compatible with all S G S A T E S TTC products.

TYPICAL SHIFT FREOUENCV OF 35 MHz ASYNCHRONOUS MASTER RESET GATED SERIAL DATA INPUT

e FULLV SYNCHRONOUS DATA TRANSFERS INPUT C L A M P DIODES LIMIT HIGH SPEED TERMINATION EFFECTS FULLV TTL AND CMOS COMPATIBLE

PIN NAMES LOADING INotc J¡

A. 0 Olla Inputs o 5 U.L. 0.25 U.L.

CP Clock (Actwe HIGH Gomg 0.5 U.L. O 25 U.L.

B 00 - 0 7 Outputs (Note bl

Edge1 Input Master Reset (Actwe LOW1 Input 0.5 U.L.

hnrts a I TTL Un31 Load I U L 1 * 40 YA HIGHII 6 m4 LOW. b The Outpul LOW draw factor 2 5 U.L to, MdMary 154) md 5 U.L 1 0 1 C0mmrrco.l 1741

Temorrature R a n w

LOGIC DIAGRAM " __ __ "" ~ - ~" - " ."

LOGIC SYMBOL

CONNECTION DIAGRAM DIP (TOP VlEWl

Page 100: GRABADOR DE EPROMs AUT~NOMO

4 ,

T54LS373n74LS373 OCTAL TRANSPARENT LATCH

WITH 3-STATE OUTPUTS

DESCRIPTION - The T54LSTr74LS373 Consosrs of eoqht latches wllh 3-state outputs for bus organized system applications. The fllp-flops appear transparent to the data Idata changes asynchronously) when Latch Enable (LE) I S HIGH When LE IS LOW, the data thatfleets the set-up tlmes 1s latched. Data appears on the bus when the Outpur Enable íO€) I S LOW. When O€ I S H I G H the buroutpurs IS In the htgh Impedance state.

ElQHT UTCHES IN A BINOLE PACKAQL J-STATL OUTPUTS ron Bus INTERFACINQ

FULLY CMOS AND m COUPATABLE

ABSOLVTE MAXIMUM RATINGS (above whlch the useful lde may be Impanred)

HVbTERESlb ON LATCH ENABLE INPUT c u M P DIODES LIMIT HIGH SPEED TERMINATION EFFECTS

Storage Temperature - 65'C 10 + 150'C Temperalure (Ambient) Under elas -- 55°C 'o + 125'C ycc Pm Potenhal to Ground Pm 3.5 V 10 + 7.0 V Input Vohags (dc) U 5 V l O + 1 5 v

'inpu~ Current (dc) 25 mA to +5.0 mA Voltage Appbd lo ov(puts (Oulpu( HIGH) - 0 5 V l O + l O V Ou~put Current idc) (Outpul LOW) +50 mA

' E ~ W ~npu votap. m n or ~nprn Curmu M n adncmnl lo POI*CI VH ~WYU

PIN NAMES

HIGH

DO - m Data Inputs o 5 U.L. LE Latch Enable (Actwe HIGH) O S U L

O? Input Outpul Enable (Actwe LOW) 0 5 UL

00 - 07 Oulpuls (Note b) Input

65 (25) U L

LOADING (Note a )

0.25 U.L

0.25 U.L

15 (7.5) U L

LOOK SVMOOL

vcc - Rn 20 GNO - PmIO

CONNECTION DIACRAY DIP ITOP VIEW1

L

P

Page 101: GRABADOR DE EPROMs AUT~NOMO

r' ". 1 NUTOROLA i SEMICONDUCTOR - 1 4 7 W O I

I

TECHNICAL DATA

UNIVERSAL SWITCHING REGULATOR SUBSYSTEM

I I L L - J I / j

UNIVERSAL SWITCHING REGULATOR

!

SUBSYSTEM

SILICON MONOLITHIC INTEGRATED CIRCUIT

I " "A

-1 i

PIN CONNECTIONS

i

MOTOROLA LINEAR INTERFACE GEVICES

3-390

Page 102: GRABADOR DE EPROMs AUT~NOMO

MOTOROLA LINEAR4NTERFACE DEVICES

1-.-t91

\

Page 103: GRABADOR DE EPROMs AUT~NOMO

pA78S40

FIGURE 6 - STEP-UP CONVERTER ,

Page 104: GRABADOR DE EPROMs AUT~NOMO

J

?

Page 105: GRABADOR DE EPROMs AUT~NOMO

I 1

MOTOROLA M137 TECHNICAL DATA SEMlCONDUCTOR - I I LM237

THREE-TERMINAL ADJUSTABLE OUTPUT NEGATIVE VOLTAGE REGULATORS

The LM137/2371337 are adjustable 3-terminal n8gaeve voltage

voltage range of - 1.2 V to -37 V. These voltage regulators are regulators capable of supplying in excess of 1.5 A over an output

exceptionally e8.y to use and require only two external resistors to set the output voltage. Further, tney employ internal current

them errentially blow-out proof. limiting, thermal shutdown and safe area compansrtton. making

The LM137 series sorva a wide variety of applicatiorts including local. on-urd regulation. This device can also be used to make a programmable output ngulator; or, by connecting a fixed re- sistor batween the adjÜSlm8nt and output. the LM137 series can be used as a precision Current regulator.

O Output Currcnt in Excess of 1 .S Amper. in K and T Suffix

o Output Curronl in Excess of 0.5 Ampere In H Suffix Packago o Output Adjustabla Between - 1.2 V and -37 V 0 Internal Therms! Overload Protection o Internal Short-Circuit-Current Limiting. Constant with

0 Output Transistor Safe-Area Compensation

O Standard 3-Lead Transistor Packsgm o Floating Operation tor High Voltago Applicalions

0 Eliminatea Stocking Many Fixed Voltages

Packages

Temperatura

"37

i THREE-TERMINAL ADJUSTABLE NEGATIVE VOLTAGE REGULATORS

SILICON MONOLITHIC INTEGHATED CIRCUIT

L I

T sumx PLASTIC PACKAGE

CASE 221A

PIN l. AUJUST 1. Vi"

I 3. V0"I 1

STANDARD APPLICATION

"out

I

n sumx METAL PACKAGE

CASE 74

Ieorrmm VhWl

IS INFLIT

PIN 1. AIWJST 'l. OUTP'JT 3. I m

MOTOROLA LINEAR/INTERFACE DEVICES

3.43

Page 106: GRABADOR DE EPROMs AUT~NOMO

z

MOTOROLA

TECHNICAL DATA

LM117 SEMICONDUCTOR - LM217

LM317

OUTPUT POSITIVE VOLTAGE REGULATORS THREE-TERMINAL ADJUSTABLE

1 1

THREE-TERMINAL ADJUSTABLE POSITIVE VOLTAGE REGULATORS '

INTEGRATED CIRCUIT SILICON MONOLITHIC

The LM117,217 317 are adjustable 3-termma1 posltwe voltage ;-A regulators capable of supplymg In excess 01 1.5 A ovef an output voltage range of 1.2 V to 37 V. These voltage regulators are ex. ceptiooal;y easy to u5e and require only two eaernal resislors 10

CASt 1 set the output voltage. Further, they employ internal currenl Ilm- METAL PACKAGE

them essentially blow-out pioof. ,ting. thermal shutdown and safe area c3mpensatoon. m a k w

The LMll7 series serve a wnde vareety of appllcalions lncludlng IOCSI. on card regulation This devlcr can also be used to make a programmable output regulator, or by connecting a Itxed rests- tor between the adjustment and output, the L H 1 1 7 serles can be used as a precoslooi current regulator. e Output Current in Excess of 1.5 Ampere In K and T Suffix

e Ouiput Current in Excess of 0.5 Ampere In ti Sufflx Packaye e Output Adjustable between t ? V and 37 V e Internal Thermal Overload Prctectuon PLASTIC PACKAGE

Inle-nai Short-Ckrcu#t Current Limitmg Constant wlth CASE 221A

e Output Transstor Safe-Area Cornpensallon Floattng Operation lor tilgh Voltrge Aoohcations 5tar.da,d 3-lead Transistor Packages El#mti:..:es Stocklng Many Ftxed Voltages

K SUFFIX

O 0

U S E IS OUTPUT

Pl". I ..*a 3 .I.(lrlc.ll" ,.01.,d 1." C.".

C.,. I. :o,.* .I.I,VIC.( Sannecll" Packages

1 SUFFIX

Temperature

PIN 1 ADJUST 1 2 VOUl 3. V,"

, " _.

1 2

STANDARD APPLICATION "-7

"-t---- I ORDERING lNMRMAllON

1

MOTOROLA L1NEAR:INTERFLCE DEVICES

3-21

Page 107: GRABADOR DE EPROMs AUT~NOMO

PROYECTO TERMINAL C~KABADOR DE EPROMS AUT~NOMO ~ - ~ ~ _ _ _ - "_____ .

ANEXO 2

LISTADOS DE

PROGRAMAS DE

PRUEBA

Page 108: GRABADOR DE EPROMs AUT~NOMO

PROYECTO TERMINAL. GRABADOR DE EPROMS AUT~NOMO

Debido a la necesidad de estar desarrollando innumerables pruebas para evaluar el funcionamiento de cada etapa del sistema grabador, se precisa una forma ágil y segura para tal efecto; una forma segura pero poco ágil es el uso de memorias EPROM para realizar las pruebas, ya que se requiere tener un grabador y un borrador de EPROM así como de un cierto tiempo para que las memorias se borren lo cual entorpecería el avance del proyecto.

Tomando en cuenta io anterior, así como la proyección que se da al grabador para poder trabajar mediante el puerto serie de la PC, se realizaron programas con tal fin y adaptando nuestro sistema para aceptar memorias EEPROM (Electrically Erasable and Reprogrammable Only Memories) en lugar de las EPROM para agilizar las pruebas, se desarrollaron los programas "CARGADOR.ASM" Y "CARGAD.PAS".

El programa CARGADOR.ASM se grabo en una EEPROM y este contiene el código necesario para cargar un programa que le llega de! puerto serial enviado por el programa CARGAD.PAS a la RAM del grabador y después ejecutarlo. Esto es lo que hizo mas ágiles las pruebas.

En base al hecho de que se debiera tener intercomunicación del sistema con la PC vía puerto serie, se implemento un programa que mostrara dicha intercomunicación, el cual recibe un caracter de! teclado de la PC, lo transmite al grabador y este lo regresa para volver a aparecer en la pantalla dos veces. dicho programa lleva por. nombre "ECOP.ASM".

Una vez aprobada la intercomunicación, se desarrollan programas para probar y poner a punto la interfaz con el usuario en modo de trabajo independiente (botones y display), surgiendo así el programa "CHKPBS.ASM", permitiendo también el monitoreo de las líneas de datos y direcciones manejando palabras que permitieran examinar el cambio de valor lógico (de O a 1 y viceversa) por lo cual se manejaron dos palabras complementarias "AA" y "55", así como los voltajes de programación que se van a manejar, creando el archivo "CHKVTJS.ASM".

Ya teniendo control de todo lo anterior se implementa un programa que además de integrarlo, presenta la mterfaz con el Gsuario. así como ;os mensajes necesarios para ir llevando al usuario al buen uso de su programador (los cuales se comentan en el manual de usuario) y mostrando un "esqueleto" del programa final, este, enfocado al tipo de EPROM 2764A. surge el programa "GRAB64 ASM" que se ve precedido por todos los anteriores y otros muchos progranlas de srueba al igual que los arriba mencionados.

Para el modo de trabajo dependiente se desarrollo i.in programa que permitiera el manejo de las opciones del grabador en este modo, y haciendo uso de algunos de los programas desarrollados en el modo independiente (taies como el cargad.pas, etc) se implementa el programa "ITFC .PAS".

Page 109: GRABADOR DE EPROMs AUT~NOMO

PROYECTO TERMINAL ! RABADOR DE EPROMS AUT~NOMO . . . " ~

Los códigos fuentes ya compilados y funcionando, se pr2sentan a continuación:

0001 O 0 0 0 0002 oooc 0003 0009 0004 O000 0108+ O000 0005 O000 0006 O000 0007 O000 0008 0000 21 O 0 O009 OOG2 O010 O100 O01 1 O1 O0 74 50 0012 O102 F5 98 0013 0101 0014 01G4 74 F4 0015 O106 F5 8D 0016 O108 0017 0108 74 20 0018 OIGA F5 89 O019 OlOC O020 O1 ['C 74 40 O021 OlOt F5 88 0022 o1 1 (>

0023 O1 10 31 2C 0024 O1 72 FB 0025 O1 i'; 31 2C 0026 O1 I FA 0027 O1 i ' ) 0028 O1 I ij 0029 0116 31 2C 0030 O1 lb F5 83

0032 O1 ' F5 82 0033 O1 1:.

0034 011E 31 2C 0035 012Ci 0036 012C FO 0037 O1 2 0038 012; 1A

0031 O1 l , ~ , 31 2C

.m****** este programa permite cargar' un proi;r'ama e11 la direccion ;estatiiecldo COII el primer .ORG y lo mmienm .j ejecutar en la direccion ;proplrc.sta en ei segundo .ORG

#INCLUDE EQUS.TXT . LIST

. LIST

ORG OOOOh AJMP INICIO

MOV A,#OF4H :CARGA VALOi-i DE BAUDRATE MOV TH1 A

MOV A,#20H :PROGRAMA TI;%.lER 1 EN MODO 2 MOV TMGD,A

MOV A,#40H :ARRANCA TlME!R 1 MOV TC0Y.A

REZNB ACALL RECIBE :RECIBE t :_ TOTAL DE BYTES DE CODIGO MOV R3.A

MOV R2.A ;R2 (CONTIENE E') 'E BAJO; ACALL RECIBE R3 CONTIEhL BYTE ALTO;

L@(JPCAP ACALL RECIBE .RECIBE 2 BYTES DE DlRECClON MOV DPH A ACALL RECiBE MOV DPLA

ACALL RECIBE .RECIBE DA' .i

MOVX @DPTR,A

DEC R2 0039 OIL:; EA FF F1 CJNE R2.#OFFH,LOOPCAP 0040 01::: 16 DEC R3 0041 0121:~ BB FF ED CJNE R3 #OFFH.LOOPCAP 0042 O1 29 0043 0 1 2 ~ ~ 02 20 O 0 LJMP 2000H 0044 012(; 0045 01: ': 30 98 FD RECIBE JNB SCON.O RECiBE 0046 01; 1 C2 98 CLR SCON O

0048 O1 22 RET 0047 O1 ' E5 99 MOb A,SEJF

0049 O1 3.1 0050 O1 ?,!l; . ORG $+ 1 :OBLIGA AL ENSAM3LADOR A GENERAR 0051 011J:. O 0 O1 RUN .vmrd INICIO ;FOR SEPARAL 3 UNA LINEA DE CODIGO 0052 01: ' END PARA LA DlRECClON >E ARRANQUE. tasm: NUI:;WI. of errors = O

Page 110: GRABADOR DE EPROMs AUT~NOMO

PROYECT( ) TERMINAL ( "BADOR DE EPROMS AUT~NOMO ~- " .

{ESTE PF\JGRAMA ES EL ENCARGADC DE MANDMF. INFOF- MACION UTILIZANDO EL PUERTO SERIE 1 LE LA PC; TRABdJA EN CONJUNTO COW EL (;ARGAC'lR.ASM} PROGRAM LEEOBJ; USES CRT DOS; VAR NL,Tb PC,CB,BE,EC NB,I,J:INTEGEP.

NOMBF-!E-ARCH,LINEA TBS,PCS.CBS:STRINGjSO]. F,FE:TE:.IT; {P*** L ,*********m++**** ******************A I********* *******A***** } FUNCTION H E M (N:INTEGER):STRING: VAR LINE STRING[16]; BEGIN LINE:='O1:13456789ABCDEF': HEXA:=L"\IE[HI(N) DIV If<+ I]+LINE[HI(N) 'AOD 161 1]+ LINE[LO(i\.i DIV 16+1]+LIUE[LO(NI MOD 16+1];

END; ESTE PROCEDIMIENTO M,+NDA LA Ih!:'ORMACION CONTENIDA EN EL

REGISTRcj 'AL' POR EL PUERTO SERIE HACIENDC USO Dt: LA INTERRUPCION 14 DEL DOS

PROCEDi IRE ESCRIBE ('Y,.BYTE):

{"*A A **********

r*m******~*~**********m****~******n**********~**********x*****~.**+ 1

var

begin Reg : Re:;ksters;

with Reg ( 1 0

begin DX:=O; AH:=$OI AL:=X;

end; INTR($I.I REG);

end;

{-***** ESTE PROCEDIMIENTO ESTABLECE LA CONFIGJRACION DEL PUERTO PARA QUE TRABAJE A LA VELOClDkD DE 'BAUD RATE' ADECUADA EN EASE AL CRISTAL QUE MANEJA EL

PROCEDURE INITPORT: var

begin

SISTEMA ***********m*********++x+*+**********m*****~** 1

Reg : Re :tsters;

with Reg 10 begin DX:=O: AH:=O: AL:=$OP : INTR($"l I REG);

end; end;

BEGIN {PROGRAMA PR'NCIPAL). CLRSCR BE:=O: NL:=O; INITPORT WRITELN

READLh 'GOMBRE-ARC'. I ) NOMBRE^ I\RCH:=NOME;?E-ARCH+'.OBJ': WRITELP,

WRITE (';<SMBRE DEL r.ICHIVO 3BJET3:');

Page 111: GRABADOR DE EPROMs AUT~NOMO

PROYECTO TERMINAL RABADOR DE EPROMS AUT~NOMO

ASSIGN 'r NOMBRE-AP'JH); RESET (f.

.

TB:=-3; NLlz-2; WHILE NOT EOF(F) DO BEGIN

VAL('$'+!\OPY (LINEA,2 . : j NB,EC): TB:=TB+ dB. INC(NL)

END; TBS:=HExA(TB); ESCRIBE ;HI(TB)); ESCRIBE ! LO(TB)); RESET( F FOR J:=' 'O NL DO BEG1 N READLNIELINEA); VAL('$+LoPY(LINEA,2,2),NB,EC). VAL('$+COPY(LINEA,4.4,.PC,I); FOR l.= 1 TO NB DO BEG1 N CBS:=C. PY(LINEA,8+1*2.2): VAL('$+CBS,CB,EC); PCS:=HEXA(PC); GOTOX Í 1.6);

escribei ;¡(PC)):

ESCRIBt(LO(CB)); INC(BE)

END; END; READLN!' LINEA); GOTOXr i 8); WRITELN 'DIRECCION DE ARRANQUE: $',COPY (LINEA,12,2) i..OPY(LINEA,10,2)); CLOSE(F :

GOTOX\ : 11); WRITELI,"SE ESCRIBIERON $,HEXA(BE),' BYTES . ' ) , END.

READLNt F LINEA);

WRlTEi ~u('ESCRIBIENOJ $,CBS.' A $,PCS):

ESCRltji ,iO(PC));

INC(PC 1

Page 112: GRABADOR DE EPROMs AUT~NOMO

PROYEC'Y! I TERMINAL !RABADOR DE EPROMS AUT~NOMO -___ ._I_. "

o001 OO(.i> 0002 OOC?'.. 0003 00!:1 0004 00L '

0005 00i:i; 0006 OOOS 0007 200:)

0162+ 001 1 1

0008 201Jk ~ 74 50 O009 2 0 ~ : ' F5 98 O010 20' ' ,

0011 20Ct.; 74 F4 0012 200t) F5 8D 0013 2 0 ~ ,"

0014 20(: 74 20

0016 20t 0017 20i. ~, 74 40 0018 20(: t F5 88 0019 2C' C2 98 0020 20 0021 20 ' 11 24 0022 2 0 , : 0023 20 1 F5 99

0015 20; I . F5 89

;este / ) I :jyrama I egresa el caracter que se intrci,;uce desde el teclado de la ;PC dc..de un elnuladot- de terminal configurac.) para tt-ansmitir por el ;puef") serie 1

. LIST #INCLUDE C:EQUS.TXT

LIST

ORG 2000h INlClO MOV A.#50H ;PROGRAM/: PUERTO SERIE EN MODO I

MOV SCON,A

MOb A,#OF4H ;CARGA VALC:? DE BAUDRATE MOV TH1.A

MOV A,#20H ;PROGRAMA T,?,AER 1 EN MODO 2 MOV TM@D,A

MOV A.#4clH :ARRANCA TIMER 1 MOV TCOU,A CLR sc0r.J o

RECNB ACALL RECIBE RECIBE E r'TE

MOV SBUF.A 0024 2C 30 99 FD VLTBH JNB SCON. 1 . W B H :TR.tNSMITE ECO 0025 20 j! C 2 99 CLR SCON 1 0026 20 ' ' , F5 99 MOV SBUF.A 0027 20 30 99 FD W B H I JNB SCON.l .VVTBHI :TKANSMITE ECO 0028 20: C 2 99 CLR SCON 1 0029 20L. 80 EE SJMP RECrdB 0030 20: : 0031 20; i 0032 211, ; 30 98 FD RECIBE JNB SCON.O.RECIBE 0033 2CL C2 98 CLR SCON O 0034 2G: E5 99 MOL' A,SBIJF 0035 20: 22 RET 0036 20: 0037 20: 1 ORG $ + I ;OBLIGA AL ENSAW SLADOR A GENERAR 0038 20: , : O 0 20 RUN .\,smI-d IN1 310 .POR SEPARA20 UNA LINEA DE CODIGO 0039 20; ! .END PARA LA DlRECClOh JE ARRANQUE. tasm: N u ' : ) e t - of errors = O

Page 113: GRABADOR DE EPROMs AUT~NOMO

PROYEC' ; TERMINAL I .KABADOR DE EPROMS AUTÓNOMO

O001 OOOU ;ESTE PROGRAMA PERMITE LLER LOS 2BS DE ¡..A INTERFAZ CON EL USUARIO 0002 00013 ;AS1 COMO DESPLEGAR DATOS EN EL DISPLAY 0003 OGUO #INCLUDE C:EQUS.TXT 0162+ OO! 10 . LIST 0005 00:~ ! . LIST 0007 20~1~1 .ORG 2030H O009 2000 90 60 03 INICIO: MOV DPTR. #PCTRL2 O010 2052 74 88 MOV A.#88H 001 1 2Oil.i FO MOdX I@DPTR,A 0012 2GC1.; 90 40 03 MCV DPTR, #PCTRLI 0013 2C ' 74 80 MO'd A.#30H 0014 2 0 , 5 FO MOVX f@3PTR,A 0017 20W 74 DF REGRESO MOV A,#XCERO 0018 200E 1 1 2C ACALL IMPRIME 0020 2 C : 1 90 60 02 ET6 MOV DPTR,#PC2 0021 20 1 I.: EO MO'\JX A.;,@DPTR 0022 20 i 54 co ANL A.#OCOH 0023 20 i 1; B4 80 04 CJNE A,#080H,ET5 0024 20 'I :J 74 86 MOV A.#XUNO 0025 2013 11 2 C ACALL iMPRlME 0026 201 ' ) 0027 2C! ' , 90 60 02 ET5 MOL DPTR.#PC2 0028 2GL EO MG'dX A.@DPTR 0029 2C. 54 CO ANL A.#OCOH

0031 202~i 74 BB MGV k.#XDOS 0032 20;.: I 1 2C ACkLL iMPRlME 0033 202.4 0034 202 1 O1 10 AJMP ETG 0038 202 : 90 60 O 0 IMPRIME: MO'J DPTR,#PA2

.___ . ~~- ~.

o030 2 ~ : I a4 40 EA CJhE A,#040H ET6

0039 0040 004 1 0042 0043 0044 0045 0046 0047 0048 0049 0050 005 1 0052 0053 0054 0055

MGV RO,#08

ANL A #&OH RE A RR A ORL A #:OH MGVX al3PTR.A ANL. A.#OEFH MGVX .@DPTR,A MG'v' R . F I RL A DJNZ KO,ETQ4 RE7

VOV 21,A

PvlOVX @DPTR,A RE

0056 202.1.; ORG $- I OBLIGA AL ENSAMbLADOR A GENERAR 0057 2G4.1 O0 20 RUN WORD INICIO ;POR SEPARADO UNA LINEA DE CODIGO 0058 2C41: END PARA LA DlRECClON DE ARRANQUE. 0059 20.11) 0060 2041, tasm: N L I I I : ) P I of errors = C;

Page 114: GRABADOR DE EPROMs AUT~NOMO

PROYE('T1 1 TERMINAL t :KABADOR DE EPROMS AUT~NOMO

o001 OOCr

0002 OOUd ;PARA MONITOREA8 LOS VOLTAJES NE ZESARIOS PARA PROGRAMACION DE EPROM 0003 O O W #INCLUDE C:EQUS.TXT 0162+ 001 0 .LIST 0004 O O C , . LIST 0005 OOG! !

0007 2004.' 0008 20(J!.' 90 60 03 INICIO: MOV DPTH, #PCTRL2 0009 20C ~: 74 88 MGV k,#88H 0010 20C FO MGVX @DPTR,C- 001 1 20Lh 90 40 03 MOV DPTR, #PCTRLI 0012 2000 7480 MOV A.#80H 0013 2005 FO MOVX @DPTR,A 0014 2OCC 0015 201 .; 0016 20C , 74 DF REGRESO MCV A.3XCERO 0017 2OCL 11 48 ACALL IMPRIME 0018 201iJ O019 201 .I 90 60 02 ET6 MOV DPTR #PC2 0020 201 > EO MOVX A,@DPTR 0021 20.1 ,: 54 co ANL A.#OCOH O022 20 8 : B4 80 12 CJNE A.#080H ET5 0023 207 . j 74 86 MC'v' A.#XUNO

0025 20: ;7 74 AA MO'v' A.#OAAH 0026 20 ' ~ 90 40 O 0 MOV DPTR,#PAI 0027 202.: 11 5D ACHLL DATO

0029 2,X 90 40 O 1 MCv' DPTR.#PE Í 0030 20Z9 11 5D AC-LL DATO 0031 2023 0032 20; 3 90 60 02 ETS: MOV DPTR #PC2 0033 20,5 EO MGL'X A.@DPTF 0034 2 O F 54 CO ANL A,#OCOH 0035 20:- 1 84 40 DC CJNE A.#040H,ET6

"" ~ ". - .m*** "ROGHAMA QL'E MAk3A LAS PAL/:.!. RAS DE CONTROL A LOS

PUERTOS DE LAS PPIs

0006 ZOC;!! .ORG 2000H

0024 20'18 11 48 ACALL IMPRIME

0028 20: i 74 17 MO'\J A.#017H

0036 201;,1 74 BB MOL' A.#XDOS 0037 205G 11 48 ACrLL IMPRIME 0038 20:. .j 74 55 MC .j A.#i755H 0039 L'3: -4 90 40 O 0 MO':J DPTR,#PAI 0040 :':.X 3 11 5D AC;IiL DATO O041 ;'3:-:z 74 O 0 MC.1 A.#OOH 0042 29,'; 1 90 40 O 1 MCV DP-R,#PB 1 0043 2044 11 5D AC.ALL DATO 0044 204 ._i 0045 1'3,¡(3 01 I O AJMP ET6 0046 L 5.: 3 0047 L".' 3

0049 201:Y 90 60 O 0 IMPRIME MO\. DPTR,#PA2 0050 200B 78 08 MC; RO,#08 0051 2 0 4 3 F9 ETQ4 i4OV R1 ,A 0052 25A E 54 80 AN, A.#e IH 0053 ;'O: 3 03 RR A 0054 ;O! I 03 RR A 0055 2Ot 2 44 1 O ORL~ A.#ÍCIH

0048 1- 3

Page 115: GRABADOR DE EPROMs AUT~NOMO

PROYE( '1 i)TERhlINAL ~. ~- ~

0056 2 3 1 ' 4 FO 0057 2:): 5 54 EF

0059 20155 E9

0061 205A D8 F1 0062 ?O!.C 22 0063 2 0 i D 0064 2OC111) FO DATO 0065 20t.E 22

0058 2057 FO

O060 2059 23

0066 20':F

c . :ABADOR DE EPROMs AUTóNOMO ~ "_ "___ " - -_

MGVX GJPTR.A AN; A.#,:,EFH MO,;X ,Qi:jPTR.t.. MOV k E l RL A DJNZ RO ETQ4 RE'

MOVX @DP1-R.A R E- i

0067 20r?3 ORG $+ 1 OBLIGA AL ENSAME-ADOR A GENERAR 0068 2& 4 O 0 20 RUN VVORC INICIO ;POR SEPARADO UNA LINEA DE CODIGO 0069 29112 END F'ARA LC DlRECClON CE ARRANQUE.

0071 2062 tasm: NIII: ber of errors = O

0070 20t-J2

Page 116: GRABADOR DE EPROMs AUT~NOMO

PROYE('T0 TERMINAL I i?AEiAIlOR DE EPROMs AUTóNOMO

/" EL NOMBRE DE ESTE PROGRAM; ES ITFC.PAS QUE ES EL QUE SE ENCARGA DE INTERFAZAR LA PC CON EL GRABADOR CUANDO SE ESTA TRABAJANDO EN MODO DEPENDIENTE*/ PROGRAM GRABA;

USES CRT,DOS;

CONST

- __- ~~

V I2 = 12.5V'; v21 = 21.0V': V25 = '25.0V'; DIRES= '8000; TOPE =65500;

var AR-1KSTS:STRING: LINEA STRING: DIRE:STRING[4]; NNOMAR,NOMAR:STRlNG[l3] VDEF,rdV,TPE:STRING[7]; T,S.SIFIS.RES:CHAR: OP-CC D,C:BYTE; SEG 1hD:INTEGER; NL,TB ?C,CB,BE,EC,NB.I,J:INTEGER UB,N.E COL,REN,DIR INTEGER TBS Pc;S,CBS.STRING[80]; F,FE TEXT; CONT3UF:ARRAY[I. TOPE] OF WOF 3 ;>

{m********.****++****m**************~~~*******ct******************x***** }

FUNCTION HEXAB (N:BYTE)'STR!NG: VAR LINt .STRING[16]: BEGIN LINE:='OI 23456789ABCDEF'. HEXAB = LINE[ N DIV 16+1]+LINE[ N Moil 16+1].

END:

(m********~***********cm**~*~*******~~********.*******************x** }

FUNCTISN HEXA (N:INTEGER):S [RING: VAR Lll'jE -STRING[16] BEG1 N LINE:='OI 23456789ABCDEF' HEXA:=LINE[HI(N) DIV 16+1]+LINE(HI(N) MOD 16+1]+ LINE[LO(N) DIV I~+I]+LINE[LO(NI MOD '6+1];

END:

{f*******Lrr.***************t*-1.*********-*******-.*********************** 1 FUNCTIJ'd HEXAW (N:W(IRD):SIRING VAR LINE :STRING[16] BEG1 N LINE:='Ol L3456789ABCDEF'

END: HEXAVL' - HEXAB(HI(N))+1iEXAEi_O(N)',:

{cm******,.***********-**i~*********.~******r?**xc******************** 1 FUNCTION BUSCA(OP-C3DE:BY rE):INTEGER; VAR I.INTEGER;

OP-S"C. iNG[2]:

Page 117: GRABADOR DE EPROMs AUT~NOMO

PROYE('': 1 TERblINAL .::ABAL)OR DE EPROMS AUT~NOMO ~ ~ _ _ I ~ " ~

BEG1 N I:=O; OP.=HE>,AB(OP_CODE) REPEAT INC(I);

BUSCA 4 .

END:

UNTIL (C.IPY(AR-INSTS[ I ] . 1,2) x LIP) OR (1>242)

{*m*****.-.**********xK*+*,*,********~.~*****t*,***********x************ }

var

begin

PROCEDiLjRE ESCRIBE (,< BYTE)

Reg : R~~~ls ter -s ;

with Rei) do begin DX: =O AH:=$O AL:=X

end; INTR(SI,I,REG).

end:

{CCI*******,*****m***xK*+**c~*******************~*********************** 1 PROCEDLJRE LEE (VAR X BYTE) var

begin Reg ' Ri'.iistet-s;

with Rell .lo begin DX:=O AH:=$[:;

X:=AL end:

INTR($ ¡,$,REG):

end:

{m*****~~*.*****+*****H++*+***********~i********i~**C******************** } PROCEEORE INITPORT var

begi 11

Reg Registers;

with Rei] JO begin DX:=O AH:=O AL.=$8S

end: INTR($ '*1,REG);

end

{******A. A . *********x PROCEDIMIENTO IMPRIME ********AA*-

X,Y =PGS,CION PLC =POSICION DE LETRA CAMBIADA TT : TAMAÑO DEL TEXTO 6s = INDICADOR LC = LETRA CAMBIADA *********************,~*m*******

m * * * * * * ~ ~ . ~ * * * x m * * * * m * * * * * * * * * * * * * i ~ * * * * * * * * c * * * * * * * * * * * * * * * * * * * * * * * * , 1'

PROCELLRE IMPRIME(X.Y.INTE.;ER;TEXTO:STRING TT,PLC f 5:INTEGER;LC:CHAR);

Page 118: GRABADOR DE EPROMs AUT~NOMO

BEG1 N TEXTCCLOR(0); TEXTBkSKGROUND(2): GOTOX' (X,Y); WRITE' ! EXTO); GOTOX :(PLC,Y), TEXTCCLOR(4); WRITE(1.C); GOTOX'. (TT,Y); IF BS.--- O THEN WRITELN. TEXTCGiOR(7);

END; TEXTB,+,CKGROUND(O):

{rn******~***m*-*f*****f***************~~.*********************************, I

FUNCTI .)N LEE-BYTE(DI%.WORD):BYTE, VAR

0P-CC)d:BYTE; BEG1 N ESCRIBE(3); ESCRIBE(HI(D1R)); ESCRIEPF(LO(DIR)); LEE(GF -COD); LEE-B? I-E:=OP-COD

END:

T * * * * * * * ..*-* PROCEDlMlENTO MENUE *t******************i**f**~*

INDIC = .NDICA SI YA SE ACTIVC LA OPCION O NO, Y CUAL DE ELLAS +**H+*

PROCELURE MENUE(INDIC.lNTESERj BEGIN HIGHVIDEO; WINDOW (1,2,80,2);

m******~.**~m******m****~********~~********,.********************* 1

TEXTBtaCKGROUND(0): TEXTC, lLOR(2). IF INDI: .-I THEN

ELSE TEXTC(ACKGROUND(2)

TEXTEIACKGROUND(OI WRITE,'?I'); TEXTC,IiOR(7), WRITE,'KCHIVO ' ) TEXTBP,CKGROUND(O) CLREOL. IF INDIC=2 THEN

ELSE

TEXTC 3LOR(2): WRITEi'E'); TEXTCgiOR(7); WRITE''F'R0M '1. TEXTB:ICKGROUND(O). CLREOL IF INDI ;. 3 THEN

ELSE

TEXTHMCKGROUND(~I

TEXTtJt\CKGROUND(O'

TEXT!I/\CKGROUND(2;

TEXTtittCKGROUND(0)

Page 119: GRABADOR DE EPROMs AUT~NOMO

PRO\'E( TO TERMINAL iJ XABADOR DE EPROMs AUTÓNOMO

TEXTCi.liOR(2);

TEXTCOLOR(7):

" - .~__. ~~~ ___ ~

WRITEt,'B');

WRITEt'UFFER '1 TEXTBbjCKGROUND(0). CLREO- IF INDIC=4 THEN BEG1 N

TEXTBACKGROUND(2). WRITE'A');

END ELSE BEG1 N TEXTBACKGROUND(G) WRITE( A');

END TEXTC(ILOR(~); WRITE ? '); TEXTCOLOR(7); WRITE('UDA I ) ;

TEXTBACKGROUND(0): CLREOL END

{M* k ***+ ****x*** PROCE:C)IMIEN"(Cj ES-AtDO ********************~~*-*

MAIUEJk, -A "BARRA DE ESTADC: ' *********************+.***- *m*****,*~***+********mr************n******************************** 1 PROCEWRE ESTADO: BEG1 N WINDOW (1,25,80,25); G O T O ~ Y ( 1 , l ) ; WRiTEI'/\RCHIVO:'); CLREOL GOTOXY(10,l); WRITEiPdOMAR): GOTOX\ (25,l); WRITE,": IPO DE EPROL,: ' ) . CLREO, GOTOX'r (39,l);

G0TOA.Y (50,l);

CLREC',_ GOTO) k 1,60,1); WRITElNV); GOTOXY(69,l): WRITEs"3ALIR < - + I ) ;

END;

WRlTErTPE);

WRITE "\!OLTAJE- I ) ;

Page 120: GRABADOR DE EPROMs AUT~NOMO

PROYE( 'io TERMINAL x. I LABADOR DE EPROMS AUT~NOMO ~- - -~ __- " __-

{M*****, A * ***** PROCEDIvIENTO ;::ARG/\, *************A* ********'L.****-*

MANDA AL BUFFER EL A7CHIVC' SELECCIONADO **h*n******l*r'**m******rm**

PROCE9URE CARGA; BEGIN CLRSC*> BE:=O NL.=O, INITPORT; ASSIGPI (F,NOMAR); RESET : F);

m********..*++m******m****+******************+********************** I

TB: z-3 NL x-2

WHILE NOT EOF(F) DO BEGIN READL.N(F,LINEA); VAL('$'+SOPY (LINEA,2.2),NB.EC). TB:=TE-:+NB; INC(N:~

END;

TBS:=hEXA(TB). ESCRIHE (HI(TB)): ESCRli [I (LO(TB));

RESET,/');

FOR J =- 1 TO NL DO BEG1 N READ! '..i(F,LINEA); VAL('$ I '2OPY(LINEA,2.2,.NB.EC VAL('$ rZOPY(LINEA,4.4) PC,I),

WINDCJW (20,8.70,15); TEXT': ..)LOR(O):

FOR ! TO NB DO BEGlh

TEXTEI' CKGROUND(2),

CBS -LOPY(LINEA,8+1*2.2). VAL('$ 1-CBS,CB.EC); PCS =-IEXA(PC): GOT: C Y ( 1 , l ) ; WRl~i. : .N( 'ESCRIBIEN~O $'.CBS.' A $',PCS) escrltJr , i l (PC)) ESCR,,?E(LO(PC)); ESCR !IE(LO(CB)); INC(B: INC(P

END END.

VAL('$" ,-OPY(LINEA,12 ..I).CB.EC') {MANDA DPH} ESCRli., (CB);

CLOSt- 1 ; GOTC),, ,I ,5); WRITE. d('SE ESCRIBIE90N $' FiEXA(BE),' BYTES ' )

Page 121: GRABADOR DE EPROMs AUT~NOMO

END:

{m*****.*.************ PROCEDlMlENTO ARCH1 f******************r***

MANE<!.. EL MENU DE ARCHIVO NOMAR =TIENE EL NOM3RE DEL ARCHIVO rm***~....*****************************+********~********************* 1 PROCF IRE ARCHI; BEGlh

WINDC ~ ' d ( 1,3,20.7); MENUE 1 ' ) ;

IMPRlh.~t(l, l, 'ABRIR ' 15 1 3,'A') IMPRIIIcL 1,2,'GUARDAR COMO ' 15. ',O,'G'); IMPRlb ~ 1,3,'CARGAR A BUFFEF-' ' 15.1.0,'C'):

CLRSC .\ WINDi .:'i(2,4,33,5); CASE ! ' ;?S OF

DlRS - ;.ADKEY;

'A'.'a ' , GIN lPRIME(1 ,l,'NOMBRE DEL ARCHIVO: * OBJ '.30.22 1 ,' I ) ;

I lTOXY(28,l); F =READKEY; T:iXTCOLOR(O): T . IXTBACKGROCIND(2).

lTOXY(21,l); REOL,

r iADLN (NN0MI.R). y >MAR:=NNOMkR + ' OEJ'

SIGN (F,NOMAR). F .!SET (F); C OSE(F);

--~ r I ; 'G', 'g' 3 SIN

, PRIME( 1 , l ,'GI:-IRDAR COMO ' OBJ ' 30,28 1 ' ) : C .lTOXY(28,1); 1 .READKEY;

y iXTBACKGROUND(2); 1 3TOXY( 15,l);

rXTCOLOR(0):

.REOL: i- EADLN (NNOMAil) 'It3MAR:=NNOMDR +' OB.;'

{ ?EWRITE(NOMAR,I.} I

L ) 1

'C'.'c' GIN , ,JNDOW(34,14.35 16).

L_RSCR, EXTCOLOR(0): iIXTBACKGROIJIUD(2) 3TOXY(2,2); RITE('S/N'); =READKEY;

CXTCOLOR(7). 'IXTBACKGROUND(0):

,. .RSCR: I T='S' THEN

ZARGA: 3

Page 122: GRABADOR DE EPROMs AUT~NOMO

PROYE( O TERLIINAL 1 i.: .MADOR DE EPROMS AUT~NOMO _ _ _ _ ~ ~ _ _ _ ELSE

ERF( R; END:

TEXTC ~ -OR(7):

CLRSC' END:

TEXTB. ,KGROUND(O):

{m***** . I*********** PROi;EDIMIENTO VOLTAJES ********************

MANEJ:, _A OPCION DE VOLTALES DE PROGRAMACION VDEF -~<ESENTA EL VCLTAJE P'OR DEFAULT NV = VOLTAJE DE PROGRAMACION *m****$* ,*****************************,********************************** 1 PROCEZ JRE VOLTAJES BEGIN

WINDC \/ (25,10.65,14):

TEXTEt 3KGROUND(2); IND:=O GOTO> ' ( 1, l ) : WRITE1 U('V0LTAJE DE PROGKAMACION PROPUESTO: '.VDEF.' I ) :

GOTO: (1.2): WRITE d( ' ' ) , GOTO I (1,3), WRITE NUEVO VOLTAJE. ' J . WRITE, \]('S/N '1 GOTC', (16,3): RES:=L :ADKEY: CASE .S OF 'S ' , S':Í?: ;IN

TEXTC -OR(O),

.1 REOL. ': JTOXY ( 1 , I );

REOL; i PRlME(2.1,'(1)12.5V ' i2,3.0,'1'):

'*: :'RIME(15,1,'(2)21 OV 12.16,0'2'); , ; ?RIME(28,1,'(3)25 O V ' '0 29.1 '3');

,- .READKEY; ?TOXY( 16,3);

L.. .SE S OF '.NV:=V12;

~ .NV-=V21; NV.=V25;

'T dD. {FIN DEL LYSE DE S} .; RSCR:

'N' 'n' I'. J =VDEF ELSE

END: {FIN DEL CASE}

E' 3, {FIN DEL EkGIN}

ERix ,R.

END:

{m*****.. -*************f****1-*******..*******C***f*********************'

} PROCE IRE CONF; BEG1 N WINDC \ ' (23.4.43 18); TEXTC. i OR(0). TEXTB.':.KGROUND(2): IMPRlMiT (1.2,' (O) 2716 ' 12.3,O '0'1. IMPRIIL''i (1,3,' (1) 2732 ' 12.3.C 1'):

Page 123: GRABADOR DE EPROMs AUT~NOMO

PROl'EI ' , OTEK\IINAL ( I : .MADOR DE EPROMS AUT~NOMO ~~~ "" -~

IMPRIME (1,4,' (2) 2732A 'J2.3 i; '2'). IMPRIME (1,5.' (3) 2764 12.3.0 3 ' ) . IMPRIIVE (1,6.' (4) 2764A ' 12,3 0.'4'): IMPRIME (1,7.' (5) 27128 ' . 12.3.0 '5 ' ) :

IMPRIME (1.9.' (7) 27256 ' 12.3.0 7'): IMPRIM'k~1.10.' ( , 8 ) 27512 ' 12.3 m., '3'); T:=REA [')KEY: CLRSCL IND.=O CASE " ! I F

IMPRlMi' (1,8,' (6) 27128, ' . 1 2 . 3 C ' 6 ' ) :

'0':BELIN T'Jf:='2716': V :.?F:=V25 UE =2047. S $3' ; ES::RIBE(O).

EN C! '1':BEC;IN

T,'C,='2732' L 1 EF:=V25 CI ? =4095.

E ;3RIBE( 1 j .

1 3 ' ;

Eku: 'Z''BE:,IN

T :' ' Z . -' 2732A': u 3EF:=V21 b:f =4096. S - 2'; E S.:RIBE(2).

Eh r '3':BE: IN

T~JE:='2764'. V 3EF:=V21 U L =8191: v - "2'. . E <",RIBE(3).

EP I' '4' B I ( IN

7- 2 T. = I _ . 2764A': V. CF:=V12 i j5 =8191. S : '1 ' ; E SzRIBE(4):

EP ': '5 Bt: IN

T -'i.='27123'; V._ EF:=V21 U? =I6383 S : '21; E : 3RIBE(5): En:

'6' BE N T : i ='27128A': V3fF:=V12. CIF = 16383-

. 1':

Page 124: GRABADOR DE EPROMs AUT~NOMO

PRO\'E('."O TERLIINAL C I< 4 B A ;)OR DE EPROMs AUTóNOMO __ . " ~~ ~

ESZRIBE(6): ENL

'7':BEC;IN TPE:='27256'; \/CtF:=V12: L = 32767: S I '1 ' ; E:3SRIBE(7);

EKC. '8'.BtC;lN

T'~'E:='27512'; VL EF:=V12: U t = 6550. S : ' 1 ' ; E: CRIBE(8);

Eh;:

ERRC,: ELSE

END, {Fth DEL CASE} IF IND=( THEN

VOLT) JES: CASE S OF

'IVES :RIBE(O): '2' E.; :RIBE(I): '3' E:; :RIBE(2):

END: {FIN DEL CASE DE S j TEXTC . LOR(7)

CLRS( END

TEXTE'-CKGROUND(0):

PROCECURE VERIF; BEG1 N

GOTOX'/( 1.4): WRITLL-N('SI SIRVE LA I ERIFICACION'). DELA) ( 1000)- CLRSCtl:

END

{m*~**~.,********************1~**~*****~~************************~-******,

1

PROCF: URE CARG; BEG1 N

GOTC) Y ( 1.4). WRITE _N('SI SIRVE LA CARGA';. DELA': t 1000) CLKS,: 3:

END

{m*+.*~..~********,***********~~..*s*,*~*.~~~****~****************,,,****** 1 PROCEGURE IMPR; BEG1 N

GOTO> Y ( 1,4), WRITE N('SI SIRVE LA IMPRESI~N' ) ; DE LA ' 1000) CLRS,, ?

END

Page 125: GRABADOR DE EPROMs AUT~NOMO

PRO\'E(' "O TERbllNAL t?ABADOR DE EPROMs AUTóNOMO ". " . .. . ___

y * * * * * , , ***x***************** ********A*******+**************************,

PR0CE;;URE EPR; BEG1 N MENUEl2); WlNDC:V(18.3,44,18); IMPRIb' i( 1 ,I ,'PROGRAN.\R ' 16.1 ,C,'P');

IMPHIM.I(I,S.'CARGAR C BUFFER '.16.1,0,'C'); IMPRIM:Z(l,4.'IMPRIMIR le, 1 0,'l'). DIRS.=cEADKEY,

IMFRlK !(1,2 'VERIFICAF COPIA 16,1.0,'V');

CASE ,¡?S OF 'P'!'P' E" SIN

C! ISCR. CGUF:

EN I: 'v','V' BF- ;IN

C : .ZSCR. V: ?IF;

:f h 'c','C E: ;IN

C1 RSCR. C.-\3G:

EN,: I t 1 1 i , I BE:; N

C 'ZSCR, I R . R;

Elu. ELSE BEGlPl

ER 3 R ; .'L:-; ;CR:

EK3 END. {FIN DEL CASE}

END

********************-**********1*********************-************

CARG- JF:

lNITPf 3T. BEG; N

ESCR:':E(l): VAL('S DIRE,DIR,EC): DlPE 'iEXAW(DIR); ESCR E(HI(DIR)); ESCF, E(LO(DIR));

FOR R::N :=O TO UB DC BEG1 P ,

LEE :). ,.:0'.-BUF[REN+I]:=(C) }

{ Et ,D ENC (-*****.,********************~~*********.***********~************~******\ 1

PRGCE 'URE EDT: 1

BEG N WINO N(19.4.60.21). TE ..T )LOK(O) TE , \T : ..CKGROUND(2).

Page 126: GRABADOR DE EPROMs AUT~NOMO

PRO\'E( ' 70 TERMINAL Li<.AE3AL)OR DE EPROMs AUTóNOMO - " . ~~ "~ . ~

GOTC rY (1 1 ) ; WF:IT: .N ('DIRECCION. G a l - C P Y (12.1); READ! Y(DIRE); LOWL ]EO. INITP~ i T ; ES::R - E( 1 j . Vk.~i'P * DIRE.DIR,EC): DIkE 'iEXAW(DIR); ESCR'F\E(HI(DIR)); ESKR F.E(LO(DIR));

F O ~ F !'N :=O TO 1 DO BEGlh LC.)'& v I DE0 GOTC XY (1 .REN+2): W 3 I T E(HEXAW(DIR),' I ,

hi(;h\'lDEO;

Page 127: GRABADOR DE EPROMs AUT~NOMO

PRO\'Ec.TO TERMINAL :il.M%AL)OR DE EPROMs AUTóNOMO "" .. .__ ~~ ~

DI R =Dl R+80; STR(DIR,DIRE).: TEXTCOLOR(71 TEXTBACKGRC:UND(O) CLRSCR;

END: , . I I . I I i:nPR;

ELSE EPKC j

EN U CLRSCF,. ENC

{M*,&%. ,,*****************"***********************************~~****** ? PRC'::t JRE ACQ; BEGIrd

TEXTCOLOR(O),

WIND -;W(20 10.70.16); GC?? C = Y ( l . l ) ; WKiTi GRABADOR CE EPRÜMS PARA EL PROYECTO TERMINAL 2 I ) ;

TE,UT€;/-,CKGROUND(2):

GGT Cj ' Y ( 1.2) ; ' i ;

GOTC>,Y( 1.3); WFNi ' OCTC'BRE DE 1996 GC.1 : ~'(1.4);

GC -1 i \ f t 1 . 5 ) ; WKI~T" '1.

WRiTt , ' DERECHOS RESERVADOS ' ) : DELA ', 1500):

ENC

{M**.P ,************************************f***************f*****X******* 1 PRO::E ~ i iRE MAN; BEGIN

GC-FC I Y( 1.4); w m ! ~ , . . ~ ( # s SIRVE EL MANUC DEL.^' 1000). CLRS;.

ENC

{m*~~~,..*******r*******************************************~~********** }

PRCI(:E T,URE AYUD; BEG: ,\I

WlPi,.;f d(52.3,75,6); IMFK;; r \ l . 1 'ACERCA DE ' 13 ;,O,'A'): IMPKlh:^ I(1.2,'MANUAL ' 13.1 0 'M'); DIRS - EADKEY; CLP:;: CAL:[- qis '3F

ME!.:(. 4 )

'a :Q 'n, !> (!AN

ELLir ER;:, --,

END

TE; 5 .,KGROUND(O); TE>^, C :,LOk(7);

Page 128: GRABADOR DE EPROMs AUT~NOMO

PRO\ ti :'O TERMINAL ( K.i\BADOR DE EPROMs AUTóNOMO " " .~ ~~

CLRS: ENE

{*X** .... r***********************r***-******************************~~*****,

f PR03tL;URE INITPC; BEG1 N ESCK13E(6);

END

{m*,*** ,,*****************flrCI***l****~*********************f******1C******

} PROCE3URE INIT; BEG1 '\I TE>\' c ' I LOR(7): TEA ' ~ L ZKGROUND(0); CLt-,:~;: F-: ME:v~-N: ~ O ) . ES7 .\L,(,J

END,