esd manual

34
Electronics System Design Lab VII Sem ECE EC 2404 ELECTRONICS SYSTEM DESIGN LAB 1. Design of a 4-20mA transmitter for a bridge type transducer. Design the Instrumentation amplifier with the bridge type transducer (Thermistor or any resistance variation transducers) and convert the amplified voltage from the instrumentation amplifier to 4 – 20 mA current using op-amp. Plot the variation of the temperature Vs output current. 2. Design of AC/DC voltage regulator using SCR Design a phase controlled voltage regulator using full wave rectifier and SCR, vary the conduction angle and plot the output voltage. 3. Design of process control timer Design a sequential timer to switch on & off at least 3 relays in a particular sequence using timer IC. 4. Design of AM / FM modulator / demodulator i. Design AM signal using multiplier IC for the given carrier frequency and modulation index and demodulate the AM signal using envelope detector. ii. Design FM signal using VCO IC NE566 for the given carrier frequency and demodulate the same using PLL NE 565. 5. Design of Wireless data modem. Design a FSK modulator using 555/XR 2206 and convert it to sine wave using filter and transmit the same using IR LED and demodulate the same PLL NE 565/XR 2212. 6. PCB layout design using CAD Jerusalem College of Engineering Page 1

Upload: nasrin-rafee

Post on 08-Mar-2015

8.748 views

Category:

Documents


5 download

TRANSCRIPT

Page 1: Esd Manual

Electronics System Design Lab VII Sem ECE

EC 2404 ELECTRONICS SYSTEM DESIGN LAB

1. Design of a 4-20mA transmitter for a bridge type transducer.Design the Instrumentation amplifier with the bridge type transducer (Thermistoror any resistance variation transducers) and convert the amplified voltage fromthe instrumentation amplifier to 4 – 20 mA current using op-amp. Plot thevariation of the temperature Vs output current.

2. Design of AC/DC voltage regulator using SCRDesign a phase controlled voltage regulator using full wave rectifier and SCR,vary the conduction angle and plot the output voltage.

3. Design of process control timerDesign a sequential timer to switch on & off at least 3 relays in a particularsequence using timer IC.

4. Design of AM / FM modulator / demodulatori. Design AM signal using multiplier IC for the given carrier frequency andmodulation index and demodulate the AM signal using envelope detector.ii. Design FM signal using VCO IC NE566 for the given carrier frequency anddemodulate the same using PLL NE 565.

5. Design of Wireless data modem.Design a FSK modulator using 555/XR 2206 and convert it to sine wave usingfilter and transmit the same using IR LED and demodulate the same PLL NE565/XR 2212.

6. PCB layout design using CADDrawing the schematic of simple electronic circuit and design of PCB layoutusing CAD

7. Microcontroller based systems designDesign of microcontroller based system for simple applications like securitysystems combination lock.

8. DSP based system designDesign a DSP based system for echo cancellation, using TMS/ADSP DSP kit.

9. Psuedo-random Sequence Generator

10. Arithmetic Logic Unit Design

Note: Kits should not be used. Instead each experiment may be given as mini project.TOTAL= 45 PERIODS

Jerusalem College of Engineering Page 1

Page 2: Esd Manual

Electronics System Design Lab VII Sem ECE

INDEX

Jerusalem College of Engineering Page 2

S.No. Experiment Name

1 Design of a 4-20mA transmitter for a bridge type transducer

2 AC/DC voltage regulator using SCR

3 Design of process control timer

4 a) Design of AM modulator / demodulator

b) Design of FM modulator

5 Design a FSK modulator using XR 2206

6 PCB layout design using CAD

7 Psuedo-random Sequence Generator

8 Arithmetic Logic Unit Design

9 Microcontroller based systems design

10 DSP based system design

Page 3: Esd Manual

Electronics System Design Lab VII Sem ECE

1. DESIGN OF A 4-20mA TRANSMITTER FOR A BRIDGE TYPE TRANSDUCER.

AIM:

To design the instrumentation amplifier with the bridge type transducer and convert the amplified voltage from the instrumentation amplifier to 4-20mA current using op-amp.

SOFTWARE REQUIRED:

Orcad

THEORY:

In a number of industrial and consumer applications physical quantities such as temperature, pressure and light intensity are to be measured and controlled. These physical quantities measured with the help of transducers have to be amplified so that it can drive the display system. This function is performed by an instrumentation amplifier.

The circuit uses a resistive transducer whose resistance changes as a function of the physical quantity to be measured. The bridge is initially balanced by a dc supply so that V1=V2. As the physical quantity changes, the resistance RT of the transducer also changes, causing an unbalance in the bridge (V1≠V2). This differential voltage gets amplified by the three op-amp differential instrumentation amplifier. The amplified voltage is converted to current using V-I converter.

The important features of instrumentation amplifier are:

1. High Gain Accuracy 2. High CMRR3. High Gain Stability With Low Temperature Coefficient 4. Low Dc Output5. High Output Impedance

Jerusalem College of Engineering Page 3

Page 4: Esd Manual

Electronics System Design Lab VII Sem ECE

DESIGN:

A sensistor is a type of resistor whose resistance changes with temperature.

Instrumentation Amplifier:

Let V1 = 2.3v

V2 = 2.5v

V0 = 4v

Assume R' = 45k

R = 10k

V0 = R2/R1(1+90k/10k)(0.2v)

4v = R2/R1(1+90k/10k)(0.2v)

4 = 2R2/R1

R2/R1 = 2.

Let R1 = 50k

R2 = 100k

V - I Converter:

Let V0 = 4v

I0 = 8mA

R = V0/ I0

R = 500Ω

Jerusalem College of Engineering Page 4

Page 5: Esd Manual

Electronics System Design Lab VII Sem ECE

CIRCUIT DIAGRAM:

U 5

u A 7 4 1

3

2

74

6

1

5+

-

V+V-

O U T

O S 1

O S 20

V 5

1 5 V d c

V 9

1 5 V d c

U 2

u A 7 4 1

3

2

74

6

1

5+

-

V+V-

O U T

O S 1

O S 2

U 3

u A 7 4 1

3

2

74

6

1

5+

-

V+V-

O U T

O S 1

O S 2

0

VR 1 4 1

5 k

2

1

R 1 1

5 0 0

21

V 1 2

1 5 V d c

I

R 8

1 0 0 k

21

0R 1 5 1

5 k

2

1

R 2 0

5 0 0

21R 4

1 0 k

2

1

R 1 2 1

5 k

21

V 1 1 1

1 5 V d c

R 1 0

1 0 0 k

21

0

R 6

5 0 k

21

V0

0

R 5

4 5 k

2

1

0

R 1 3 1

5 . 5 k

21

V 1 1 55 V d c 0R 7

5 0 k

21V

V 8

1 5 V d c

V 7

1 5 V d c

U 1 0

L M 7 4 1

3

2

74

6

1

5+

-

V+V-

O U T

O S 1

O S 2

0

V 3 0

1 5 V d c

0

R 3

4 5 k2

1

V 41 5 V d c

0

0

PINDIAGRAM of IC741:

PROCEDURE:

1. Connections are given as per the circuit diagram.2. Use PSPICE simulator and run.3. Note down the input voltages applied to the IA, output voltage of IA and output current.4. Vary the resistance value and note down the readings.5. Plot the variation of resistance Vs output current.

Jerusalem College of Engineering Page 5

Page 6: Esd Manual

Electronics System Design Lab VII Sem ECE

TABULATION:

Sl.No Resistance(kΩ)

Input Voltage (V1) volts

Input Voltage (V2) volts

Output Voltage (V0 )volts

Output Current(I0 )mA

RESULT:

Thus the instrumentation amplifier with the bridge type transducer was designed and the amplified voltage was converted to current.

VIVA QUESTIONS:

1. What is Instrumentation Amplifier?2. Explain the working of the circuit.3. When will wheat stone bridge be balanced?4. Give the important features of IA.5. What is a transducer?

Jerusalem College of Engineering Page 6

Page 7: Esd Manual

Electronics System Design Lab VII Sem ECE

2. AC/DC VOLTAGE REGULATOR USING SCR

AIM:

To construct a phase controlled voltage regulator using full wave rectifier and SCR.

SOFTWARE:

OrCad

THEORY:

In phase control the Thyristors are used as switches to connect the load circuit to the input ac supply, for a part of every input cycle. That is the ac supply voltage is chopped using Thyristors during a part of each input cycle. The thyristor switch is turned on for a part of every half cycle, so that input supply voltage appears across the load and then turned off during the remaining part of input half cycle to disconnect the ac supply from the load. By controlling the phase angle or the trigger angle ‘’ (delay angle), the output RMS voltage across the load can be controlled. The trigger delay angle ‘’ is defined as the phase angle (the value of t) at which the thyristor turns on and the load current begins to flow.

CIRCUIT DIAGRAM:R 1 0

1 k

2 1

I

X3

2 N 1 5 9 5

0

R 7

1 k

21

V 1 25 V d c

V 1 0

F R E Q = 1 kV A M P L = 5V O F F = 0

R 9

1 k

2

1

D 5

D 1 N 4 0 0 7

X2

2 N 1 5 9 5

V

0

V 8

F R E Q = 1 k

V A M P L = 5

V O F F = 0

0

V

Jerusalem College of Engineering Page 7

Page 8: Esd Manual

Electronics System Design Lab VII Sem ECE

GRAPH:

PROCEDURE:

1. Connections are given as per the circuit diagram.2. Use PSPICE simulator and run.3. Note down the input voltages applied to the SCR, output voltage and current of SCR.4. Plot the Graph.

RESULT:

Thus the phase controlled voltage regulator using full wave rectifier and SCR was constructed and output was verified.

VIVA QUESTIONS:

1. What is meant by phase controlled voltage regulator?2. Explain the operation of the circuit.3. List the applications of SCR.4. What is firing angle?5. Give the methods to trigger the SCR?

Jerusalem College of Engineering Page 8

Page 9: Esd Manual

Electronics System Design Lab VII Sem ECE

3. DESIGN OF PROCESS CONTROL TIMER

AIM:

Design a sequential timer to switch on & off 3 relays in a particularsequence using timer IC.

SOFTWARE REQUIRED:

OrCad

THEORY:

The process control is the activities involved in ensuring a process is predictable, stable and consistently operating at a level(target) of performance with only normal variation. The IC 555 is highly stable device for generating accurate time delay oscillations. The process control timer designed using timer IC555 is operated in either astable or monostable mode. There are three timers used to trigger the other timers through a switch control. The output of the next timer is obtained after a delay with respect to the delay in the triggering of the circuit.

DESIGN:

vc= Vcc (1 – e-t/RC)

At t = T, vc= (2/3) Vcc

Therefore, T=1.1RC

Here, T=1.1 ms

Assume C= 0.1uF

R =

R= 10KΩ

Jerusalem College of Engineering Page 9

Page 10: Esd Manual

Electronics System Design Lab VII Sem ECE

CIRCUIT DIAGRAM:

0

0

0

V

U 9

5 5 5 a lt1

2

3

4

5

6

7

8

G N D

TR I G G E R

O U TP U T

R E S E T

C O N TR O L

TH R E S H O L D

D I S C H A R G EV C C

1 0 k

2

1

R 5

1 k

2

1

1 2

. 1 u

1

2

1 k

2

1

5 V d c

0

C 4. 1 u

1

2

. 1 u

1

20

0

0

1 2

0

1 2

U 3

5 5 5 a lt1

2

3

4

5

6

7

8

G N D

TR I G G E R

O U TP U T

R E S E T

C O N TR O L

TH R E S H O L D

D I S C H A R G EV C C

. 1 u1

2

V

V

1 0 k

2

1

. 1 u1

2

V

0

0

0

0

U 7

5 5 5 a lt1

2

3

4

5

6

7

8

G N D

TR I G G E R

O U TP U T

R E S E T

C O N TR O L

TH R E S H O L D

D I S C H A R G EV C C

. 1 u

1

2

. 1 u

1 2

. 1 u

1 2

1 0 k

2

1

5 V d c

0

0

1 k

2

1

V 6

TD = 0

TF = 0P W = 1 mP E R = 2 m

V 1 = 5

TR = 0

V 2 = 0

5 V d c

TABULATION:

Timer 1 Time, t = ms Frequency= Hz

Timer 2 Time, t = ms Frequency= Hz

Timer 3 Time, t = ms Frequency= Hz

Jerusalem College of Engineering Page 10

Page 11: Esd Manual

Electronics System Design Lab VII Sem ECE

GRAPH:

PROCEDURE:

1. Connections are given as per the circuit diagram.2. Use PSPICE simulator and run.3. Note down the input voltages applied and the output at each stage.4. Plot the Graph.

RESULT:

Thus a sequential timer was designed to switch on & off 3 relays in a particularsequence using timer IC.

VIVA QUESTIONS:

1. List the application of the Timer.2. Explain the circuit operation3. What is a relay and give its uses?4. What do you mean by a process control timer?5. Why is monostable multivibrator used in the circuit?

Jerusalem College of Engineering Page 11

Page 12: Esd Manual

Electronics System Design Lab VII Sem ECE

4a) AM MODULATOR / DEMODULATOR

AIM:

To construct Amplitude Modulator circuit using multiplier IC and Demodulator circuit

using envelop detector.

SOFTWARE REQUIRED:

OrCad

THEORY:

Modulation is achieved by varying one of the three parameters, amplitude, frequency and phase in accordance with the message signal while keeping the other two parameters as constant. Hence the amplitude is varied in accordance with the instantaneous values of the low frequency signals. The frequency of the carrier is much greater than the amplitude of the modulating signal to avoid over modulation.

CIRCUIT DIAGRAM:

0V 2

F R E Q = 1 0 kV A M P L = 5V O F F = 0

U 1

A D 6 3 3 / A D

12346

7

85

X1X2Y 1Y 2Z

W

V+

V-

C 4

. 0 0 9 u1

2

C 3

. 1 u

1 2

0

V 4

1 5 V d c

V 3

1 5 V d c

0

V 1

F R E Q = 1 kV A M P L = 5V O F F = 0

0

R 2

5 0 k

21D 2

D 1 N 4 0 0 1

0

0

0

C 1

. 1 u

1 2

0

0

Modulation Index =

Jerusalem College of Engineering Page 12

Page 13: Esd Manual

Electronics System Design Lab VII Sem ECE

GRAPH:

PROCEDURE:

1. Connections are given as per the circuit diagram.2. Use PSPICE simulator and run.3. Note down the input voltages applied and output voltage4. Also note down the demodulated output.5. Plot the Graph and calculate the modulation index.

RESULT:

Thus the message signal was modulated and demodulated. The modulation index was

also calculated.

VIVA QUESTIONS:

1. What is modulation and the need for it? Give its types.2. What is an envelope detector?3. Explain the working of the circuit.4. What is demodulation?5. What is modulation index?

Jerusalem College of Engineering Page 13

Page 14: Esd Manual

Electronics System Design Lab VII Sem ECE

4.b FREQUENCY MODULATION

AIM:

To perform the Frequency modulation using IC 566 and to calculate the modulation index for various modulating voltages.

HARDWARE REQUIRED:

Frequency generator, IC NE566, Resistors, Capacitor, CRO, Bread board and connecting wires, RPS.

THEORY:

Frequency modulation is a process of changing the frequency of a carrier wave in accordance with the slowly varying base band signal. The main advantage of this modulation is that it can provide better discrimination against noise.

Frequency Modulation using IC 566: A VCO is a circuit that provides an oscillating signal whose frequency can be adjusted over a

control by Dc voltage. VCO can generate both square and triangular wave signal whose frequency is set by an external capacitor and resistor and then varied by an applied DC voltage. IC 566 contains a current source to charge and discharge an external capacitor C1 at a rate set by an external resistor. R1 and a modulating DC output voltage. The Schmitt trigger circuit present in the IC is used to switch the current source between charge and discharge capacitor and triangular voltage developed across the capacitor and the square wave from the Schmitt trigger are provide as the output of the buffer amplifier. The R2 and R3 combination is a voltage divider, the voltage VC must be in the range 3/4 VCC < VC < VCC. The modulating voltage must be less than 3/4VCC the frequency fc can be calculated using the formula fo = 2 (VCC-Vc) R1 C1 VCC. For a fixed value of VC and a constant C1 the frequency can be varied at 10:1 similarly for a constant R1 C1 product value the frequency modulation can be done at 10:1 ratio.

Jerusalem College of Engineering Page 14

Page 15: Esd Manual

Electronics System Design Lab VII Sem ECE

CIRCUIT DIAGRAM

pin diagram of NE566

Jerusalem College of Engineering Page 15

Page 16: Esd Manual

Electronics System Design Lab VII Sem ECE

GRAPH:

PROCEDURE:

1. The circuit connection is made as shown in the circuit diagram.2. The modulating signal FM is given from a FG (1KHZ)3. For various values of modulating voltage Vm the values of Fmax and Fmin are noted.4. The values of the modulation index are calculated.

RESULT:

Thus the FM circuit using IC566 was performed and the modulation index was found.

VIVA VOCE:

1. What will be the changes in the wave under FM when the amplitude or frequency of the modulating signal is increased ?

2. The FM station have less noise while receiving the signal. Justify your answer.3. What happens when a stronger signal and a weaker signal both overlap at the same frequency in FM?4. Name two applications of two way mobile radio?

Jerusalem College of Engineering Page 16

Page 17: Esd Manual

Electronics System Design Lab VII Sem ECE

5. Which mathematical expression is used to decide the side band amplitudes in a FM signal?

5. DESIGN OF FSK MODULATOR USING XR 2206

AIM:

To design a FSK Modulator using XR 2206.

COMPONENTS REQUIRED:

IC XR 2206, Resistors, Capacitors.

THEORY:

In digital data communication, binary code is transmitted by shifting the carrier frequency between two preset frequencies. This type of the transmission is called Frequency Shift Keying. The standard digital data input frequency is 150Hz.

Modem takes the digital electrical pulses from the terminal and converts it into the analog signal that can be transmitted. The FSK technique is employed for the modulation of digital Signal.

CIRCUIT DIAGRAM:

Jerusalem College of Engineering Page 17

Page 18: Esd Manual

Electronics System Design Lab VII Sem ECE

GRAPH:

PROCEDURE:

1. Connections are given as per the circuit diagram.2. Give the message signal.3. Check the output and verify.4. Switch off the input to find the carrier frequency.5. Plot the graph for input and output.

RESULT:

Thus a FSK was implemented using XR2206 and verified the results.

VIVA QUESTIONS:

1. What is FSK?2. How is timer used to modulate the signal?3. Give the pin details of XR2206.4. List the applications of FSK.5. Explain the working of the circuit

Jerusalem College of Engineering Page 18

Page 19: Esd Manual

Electronics System Design Lab VII Sem ECE

6. PCB LAYOUT DESIGN USING CAD

AIM:

To draw the schematic of simple electronic circuit and design a PCB layout using CAD

SOFTWARE REQUIRED:

OrCad

THEORY:

The Computer Aided analysis is essential and can provide information about the circuit

performances. It permits.

Evaluation of effects of variation in elements such as resistors, transistors etc.

The assessment of performance improvements or degradations.

Evaluation of the effects of noise and signal distortion without the need of

expensive measuring instruments.

Sensitivity analysis to determine the permissible bounds due to the tolerances on

each and every element value or parameter of active elements.

Evaluation of the effects of non-linear elements of the circuit performance.

Optimization of the design of electronic circuits in terms of circuit parameters.

CIRCUIT DIAGRAM:

Cascode Amplifier:

Jerusalem College of Engineering Page 19

Page 20: Esd Manual

Electronics System Design Lab VII Sem ECE

B C 1 0 7 A

5 u1 2

5 1 k

2

1

0

5 u

1 2

0

2 5 k

2

1

V 3

F R E Q = 1 k

V A M P L = 2 0 0 m

V O F F = 0

Q 2

B C 1 0 7 A

1 0 u

1 2

0

3 . 3 k

2

1

9 0 k

2

1

. 7 k

2

1

1 4 k

2

1

9 V d c

0

2 0 u1

2

OUTPUT LAYERS:

Global Layer

Top Layer

Jerusalem College of Engineering Page 20

Page 21: Esd Manual

Electronics System Design Lab VII Sem ECE

Bottom Layer

PROCEDURE:

1. Draw the circuit diagram using Pspice and get the simulated output.

2. Create .mnl file

Select the required file

Go to tools and select create netlist

Click Layout from the dialog box appearing and give OK.

Note the path in which the .mnl file is created.

3. To create PCB Design

Open OrCad Layout Plus

Make the data of OrCad Layout Plus to default

Take the .mnl file and save it.

Select the obstacle from tools and select all the components.

Auto Place Board

Auto Auto route Board

View the Global Layer.

View the individual layers by selecting tools, layer. Give backspace and select

the layers.

RESULT:

Jerusalem College of Engineering Page 21

Page 22: Esd Manual

Electronics System Design Lab VII Sem ECE

Thus a schematic of cascode amplifier circuit was designed and a PCB layout using CAD was obtained

VIVA QUESTIONS:

1. What is netlist?2. Define placement and routing.3. What is Layout? What is global layer4. What are the advantages of PCB?5. Explain the procedure to bring out the Layout of any electronic circuit using CAD

7. PSUEDO-RANDOM SEQUENCE GENERATOR

AIM:

To stimulate and implement a PRBS Generator.

SOFTWARES REQUIRED:

PC with Xilinx ISE Software 9.1i

PROGRAM:

Simulation

module prbs(rand,clk,reset);

input clk,reset;

output rand;

wire rand;

reg [3:0]temp;

always @ (posedge reset)

begin

temp<=4’hf;

Jerusalem College of Engineering Page 22

Page 23: Esd Manual

Electronics System Design Lab VII Sem ECE

end

always @ (posedge clk)

begin

if(~reset)

begin

temp<={temp[0]^temp[1],temp[3],temp[2],temp[1]};

end

end

assign rand =temp[0];

endmodule

Test Bench

module prbstest();

reg clk,reset;

wire rand;

prbs p1(rand,clk,reset);

initial

begin

forever begin

clk<=0;

#5 clk<=1;

#5 clk<=0;

end

end

initial

begin

reset=1;

#12 reset=0;

#90 reset=1;

#12 reset=0;

end

Jerusalem College of Engineering Page 23

Page 24: Esd Manual

Electronics System Design Lab VII Sem ECE

endmodule

PROCEDURE:

1. Write the coding.2. Use Xilinx ISE simulator and run.3. Note the output and verify.

RESULT:

Thus a PRBS Generator is simulated in Verilog and implemented using Spartan3 FPGA

kit.

VIVA QUESTIONS:

1. What is PRBS Generator?2. Explain the program.3. What is HDL and give its types?4. What is shift register?5. Give the specifications of Spartan3

Jerusalem College of Engineering Page 24

Page 25: Esd Manual

Electronics System Design Lab VII Sem ECE

8. SIMULATION OF ALU USING XILINX

AIM:

To stimulate and implement an ALU using Xilinx.

SOFTWARES REQUIRED:

PC with Xilinx ISE Software 9.1i ,

PROGRAM:

module ALU(out,flag,sel,clear,a,b);

output reg [3:0]out,flag;

input [3:0]a,b,sel;

input clear;

reg [4:0]t;

reg c,s,p,z;

always @ (a or b or sel or clear)

begin

if(~clear)

begin

t=0;

Jerusalem College of Engineering Page 25

Page 26: Esd Manual

Electronics System Design Lab VII Sem ECE

c=0;

s=0;

p=0;

z=0;

flag=0;

end

else

begin

if(sel[3]==1'b0)

begin

case(sel[2:0])

3'b000: begin t=a+b; if(t[4]==1) c=1; else c=0; end

3'b001: begin t=a-b; if(t[4]==1) c=1; else c=0; end

3'b010: t=a[1:0]*b[1:0];

default t=9'b0;

endcase

if(a[3]^b[3]) s=1; else s=0; end

else

begin case(sel[2:0])

3'b000:t=a|b;

3'b001:t=a&b;

3'b010:t=a^b;

3'b011:t=(~a)|(~b);

3'b100:t=(~a)&(~b);

3'b101:t=a~^b;

3'b110:t=~a;

3'b111:t=~b;

endcase

end

end

end

Jerusalem College of Engineering Page 26

Page 27: Esd Manual

Electronics System Design Lab VII Sem ECE

always @ (a or b or sel or clear)

begin

out=t[3:0];

p=out[0]+out[1]+out[2]+out[3];

if (t==0) z=1; else z=0;

assign flag[0]=p;

assign flag[1]=s;

assign flag[2]=c;

assign flag[3]=z;

end

endmodule

PROCEDURE:

1. Write the coding.2. Use Xilinx ISE simulator and run.3. Note the output and verify.

RESULT:

Thus a ALU is simulated in Verilog and implemented using Spartan3 FPGA kit

VIVA QUESTIONS:

1. Explain the logic of the program.2. What is ALU?3. What is Xilinx ISE Simulator?4. What is the use of a simulator?5. Write a program to implement Y= AB + C.

Jerusalem College of Engineering Page 27