eng2410 digital design lab #6 lab #6 sequential logic design (flip flops)

5
ENG2410 Digital Design LAB #6 Sequential Logic Design (Flip Flops)

Upload: peregrine-walton

Post on 03-Jan-2016

217 views

Category:

Documents


4 download

TRANSCRIPT

Page 1: ENG2410 Digital Design LAB #6 LAB #6 Sequential Logic Design (Flip Flops)

ENG2410 Digital Design

LAB #6 Sequential Logic Design

(Flip Flops)

Page 2: ENG2410 Digital Design LAB #6 LAB #6 Sequential Logic Design (Flip Flops)

ENG241/Lab #6 2

Lab Objectives

Understand the concept of sequential circuit.

Understand sequential circuit design flow. Design a simple D Flip Flop using VHDL.

Page 3: ENG2410 Digital Design LAB #6 LAB #6 Sequential Logic Design (Flip Flops)

ENG241/Lab #6 3

Part 1D Flip Flop

Design D-FF with asynchronous reset logic using VHDL.

Use an LED to display the output of the FF.

Page 4: ENG2410 Digital Design LAB #6 LAB #6 Sequential Logic Design (Flip Flops)

ENG241/Lab #6 4

Sample Sequential CircuitPositive Edge-Triggered D Flip-Flop

Note that this FF does not have a “reset” input

library IEEE;use IEEE.STD_LOGIC_1164.ALL;

entity dff isport ( d , clk : in std_logic;

q , qbar : out std_logic);

end dff;

architecture behaviour of dff isbeginUp : process (clk,d)begin

if clk’even and clk = ‘1’ thenq <= d;qbar <= not d;

end if;end process;end behaviour;

Flip Flop

Clock

D Q

Q

Page 5: ENG2410 Digital Design LAB #6 LAB #6 Sequential Logic Design (Flip Flops)

Academic Misconduct

Reports and demos are submitted as a group, but it is a SINGLE group effort

You may talk with other groups but sharing codes or reports is NOT ALLOWED

Copying reports from previous years is also NOT ALLOWED

If we find copying we are REQUIRED to report it