eletrônica digital - :: instituto...

155
130 ELETRÔNICA DIGITAL 4E Eletrônica Digital Cópia não autorizada. Reservados todos os direitos autorais. Cópia não autorizada. Reservados todos os direitos autorais. Cópia não autorizada. Reservados todos os direitos autorais.

Upload: trandieu

Post on 07-Jun-2018

281 views

Category:

Documents


5 download

TRANSCRIPT

Page 1: Eletrônica Digital - :: Instituto Monitormonitoronline.com.br/arquivosbd/...130_eletronica_digital.pdf · 130 ELETRÔNICA DIGITAL 4E Eletrônica Digital Cópia não autorizada. vados

130ELETRÔNICA DIGITAL

4E

Eletrônica Digital

Cópia

não a

uto

riza

da. Rese

rvados

todos

os

direitos

auto

rais.

Cópia não autorizada. Reservados todos os direitos autorais.

Cópia não autorizada. Reservados todos os direitos autorais.

Page 2: Eletrônica Digital - :: Instituto Monitormonitoronline.com.br/arquivosbd/...130_eletronica_digital.pdf · 130 ELETRÔNICA DIGITAL 4E Eletrônica Digital Cópia não autorizada. vados

EditoraAline Palhares

Mediação pedagógicaEquipe Técnico Pedagógicado Instituto Monitor

Design gráficoEquipe Técnico Pedagógicado Instituto Monitor

Monitor Editorial Ltda.Rua dos Timbiras, 257/263 – São Paulo – SP – 01208-010Tel.: (11) 33-35-1000 / Fax: (11) 33-35-1020atendimento@institutomonitor.com.brwww.institutomonitor.com.br

Impresso no Parque Gráfico do Instituto MonitorRua Rio Bonito, 1746 – São Paulo – SP – 03023-000Tel./Fax: (11) [email protected]

Em caso de dúvidas referentes ao conteúdo, consulte oe-mail: [email protected]

Todos os direitos reservadosLei nº 9.610 de 19/02/98Proibida a reprodução total ou parcial, por qualquer meio,principalmente por sistemas gráficos, reprográficos,fotográficos, etc., bem como a memorização e/ourecuperação total ou parcial, ou inclusão deste trabalhoem qualquer sistema ou arquivo de processamento dedados, sem prévia autorização escrita da editora. Osinfratores estão sujeitos às penalidades da lei,respondendo solidariamente as empresas responsáveispela produção de cópias.4ª Edição - Março/2006

Cópia

não a

uto

riza

da. Rese

rvados

todos

os

direitos

auto

rais.

Cópia não autorizada. Reservados todos os direitos autorais.

Cópia não autorizada. Reservados todos os direitos autorais.

Page 3: Eletrônica Digital - :: Instituto Monitormonitoronline.com.br/arquivosbd/...130_eletronica_digital.pdf · 130 ELETRÔNICA DIGITAL 4E Eletrônica Digital Cópia não autorizada. vados

Índice

130/

Apresentação............................................................................................................. 9

Lição.1.–.Entendendo.o.Mundo.DigitalIntrodução............................................................................................................... 11

1..Digital.×.Analógico........................................................................................ 111.1.Eletrônica.Analógica............................................................................... 121.2.Eletrônica.Digital.................................................................................... 13

Exercícios.Propostos............................................................................................... 14

Lição.2.–.Bases.NuméricasIntrodução............................................................................................................... 15

1..Bases.Numéricas.(2.e.10)............................................................................... 152..Conversão.entre.Binário.e.Decimal............................................................. 17

2.1.De.Decimal.para.Binário........................................................................ 172.2.De.Binário.para.Decimal........................................................................ 19

3..Bases.Numéricas.Binário,.Decimal.e.Hexadecimal.................................... 214..Conversão.entre.Binário,.Decimal.e.Hexadecimal..................................... 22

4.1.De.Binário.para.Hexadecimal................................................................ 224.2.De.Hexadecimal.para.Binário............................................................... .244.3.De.Decimal.para.Hexadecimal.e.Vice-versa........................................ .25

5..Do.Mundo.Analógico.para.o.Mundo.Digital.(Binário)............................... 25Exercícios.Propostos............................................................................................... 29

Lição.3.–.Portas.LógicasIntrodução............................................................................................................... 35

1..O.que.é.uma.Porta.Lógica?........................................................................... 352..Funções.Lógicas.e.Portas.Lógicas................................................................ 353..Variáveis......................................................................................................... 364..Tabela.da.Verdade......................................................................................... 375..Expressão.Booleana...................................................................................... 38

5.1.Função.Inversora.e.Porta.Inversora....................................................... 385.2.Função.E.e.Porta.E.(AND)...................................................................... 385.3.Função.OU.e.Porta.OU.(OR)................................................................... 395.4.Função.NOU.e.Porta.NOU.(NOR).......................................................... 39

Cópia

não a

uto

riza

da. Rese

rvados

todos

os

direitos

auto

rais.

Cópia não autorizada. Reservados todos os direitos autorais.

Cópia não autorizada. Reservados todos os direitos autorais.

Page 4: Eletrônica Digital - :: Instituto Monitormonitoronline.com.br/arquivosbd/...130_eletronica_digital.pdf · 130 ELETRÔNICA DIGITAL 4E Eletrônica Digital Cópia não autorizada. vados

130/6

5.5 Função NE e Porta NE (NAND) ............................................................. 395.6 Função EXOU e Porta EXOU (EXOR) .................................................. 405.7 Função EXE e Porta EXE (EXAND) ..................................................... 40

Exercícios Propostos ............................................................................................. 41

Lição 4 – Circuitos CombinacionaisIntrodução .............................................................................................................. 43

1. Funcionamento ............................................................................................. 43Exercícios Propostos ............................................................................................. 48

Lição 5 – Localização das Portas LógicasIntrodução .............................................................................................................. 53

1. Circuitos Integrados (CIs) com Portas Lógicas .......................................... 531.1 Limitações das Portas Lógicas ............................................................... 57

2. Famílias de Circuitos Integrados Lógicos................................................... 602.1 Família TTL (Transistor Transistor Lógica) ......................................... 602.2 Família CMOS ......................................................................................... 61

Exercícios Propostos ............................................................................................. 63

Lição 6 – Álgebra de Boole e Minimização deCircuitos Lógicos Combinacionais

Introdução .............................................................................................................. 651. Circuito Minimizado ..................................................................................... 652. Postulados da Álgebra de Boole .................................................................. 65

2.1 Aplicação Prática .................................................................................... 67Exercícios Propostos ............................................................................................. 69

Lição 7 – Mapas de KarnaughIntrodução .............................................................................................................. 71

1. Conceito ......................................................................................................... 712. Utilizando os Mapas de Karnaugh ............................................................... 73

2.1 Da Tabela da Verdade para os Mapas de Karnaugh ............................. 732.2 Agrupamentos e Considerações ............................................................. 75

Exercícios Propostos ............................................................................................. 78

Lição 8 – Interpretando os Mapas de KarnaughIntrodução .............................................................................................................. 81

1. Cada Agrupamento é uma Parcela da Expressão Booleana ...................... 81Exercícios Propostos ............................................................................................. 85

Lição 9 – Circuitos Multiplexadores e DemultiplexadoresIntrodução .............................................................................................................. 89

1. Circuitos Multiplexadores ........................................................................... 892. Circuitos Demultiplexadores ....................................................................... 89

Exercícios Propostos ............................................................................................. 95

Cópia

não a

uto

riza

da. Rese

rvados

todos

os

direitos

auto

rais.

Cópia não autorizada. Reservados todos os direitos autorais.

Cópia não autorizada. Reservados todos os direitos autorais.

Page 5: Eletrônica Digital - :: Instituto Monitormonitoronline.com.br/arquivosbd/...130_eletronica_digital.pdf · 130 ELETRÔNICA DIGITAL 4E Eletrônica Digital Cópia não autorizada. vados

130/7

Lição 10 – Prática de Circuitos CombinacionaisIntrodução .............................................................................................................. 97

1. Fonte de Alimentação .................................................................................. 972. Aplicando a Eletrônica Digital .................................................................... 98

Exercícios Propostos ............................................................................................101

Lição 11 – Clock e Gerador de ClockIntrodução .............................................................................................................103

1. O que é Clock? ..............................................................................................1032. Gerador de Clock .........................................................................................105

Exercícios Propostos ............................................................................................107

Lição 12 – Flip-FlopIntrodução .............................................................................................................109

1. Flip-Flop RS .................................................................................................1092. Acessórios dos Flip-Flops ...........................................................................111

2.1 Clear........................................................................................................1112.2 Preset ......................................................................................................1112.3 Clock .......................................................................................................111

3. Flip-Flop JK .................................................................................................112Exercícios Propostos ............................................................................................114

Lição 13 – Ligações do Flip-Flop JKIntrodução .............................................................................................................117

1. Flip-Flop Tipo Toogle ..................................................................................1172. Flip-Flop Tipo Data .....................................................................................1173. Contadores Binários ....................................................................................1184. Preset e Clear Automáticos ........................................................................122

4.1 Circuito 1 ................................................................................................1224.2 Circuito 2 ................................................................................................122

5. Contadores Binários Encapsulados ............................................................1236. Contadores Binários Programáveis ............................................................124

6.1 Programando o Término da Contagem ................................................125Exercícios Propostos ............................................................................................128

Lição 14 – Codificadores e DecodificadoresIntrodução .............................................................................................................131

1. Códigos em Sistemas Digitais .....................................................................1311.1 BCD 8421 ................................................................................................1311.2 Código Johnson.......................................................................................1311.3 Código Excesso 3 (XS-3) ........................................................................132

2. Decodificadores ...........................................................................................1322.1 BCD to 7 Segment Decoder (CI 4511) ...................................................1332.2 BCD to Decimal Decoder (CI 4028) ......................................................135

Exercício Proposto ................................................................................................136

Cópia

não a

uto

riza

da. Rese

rvados

todos

os

direitos

auto

rais.

Cópia não autorizada. Reservados todos os direitos autorais.

Cópia não autorizada. Reservados todos os direitos autorais.

Page 6: Eletrônica Digital - :: Instituto Monitormonitoronline.com.br/arquivosbd/...130_eletronica_digital.pdf · 130 ELETRÔNICA DIGITAL 4E Eletrônica Digital Cópia não autorizada. vados

130/8

Lição 15 – Shift-Register ou Registrador de DeslocamentoIntrodução .............................................................................................................137

1. Conceito ........................................................................................................1372. Funcionamento ............................................................................................139

Exercícios Propostos ............................................................................................142

Lição 16 – Operações Aritméticas com BináriosIntrodução .............................................................................................................145

1. Soma de Binários .........................................................................................1452. Subtração de Binários .................................................................................1483. Unidade Lógica e Aritmética (ULA) ..........................................................150

Exercícios Propostos ............................................................................................151

Respostas dos Exercícios Propostos ....................................................................152

Bibliografia ............................................................................................................165

Cópia

não a

uto

riza

da. Rese

rvados

todos

os

direitos

auto

rais.

Cópia não autorizada. Reservados todos os direitos autorais.

Cópia não autorizada. Reservados todos os direitos autorais.

Page 7: Eletrônica Digital - :: Instituto Monitormonitoronline.com.br/arquivosbd/...130_eletronica_digital.pdf · 130 ELETRÔNICA DIGITAL 4E Eletrônica Digital Cópia não autorizada. vados

Apresentação

130/

O mundo contemporâneo já presenciou o desenvolvimento e a aplicação de diferentes tecnologias para a fabricação de aparelhos, instrumentos e equipamentos cada vez mais sofisticados que suprem necessidades em diversas áreas da atividade humana.

Dentre as mais fantásticas tecnologias que integram o mundo em que vivemos está a Eletrônica Digital. Hoje, já não saberíamos mais viver sem o telefone celular, o CD-player, o forno de microondas, o mi-crocomputador... Portanto, é fácil perceber a importância da tecnologia digital na vida prática do Técnico em Eletrônica.

Neste fascículo você dará um importante passo, do mundo analógico ao mundo digital. Aprenderá a diferenciar sinais digitais de analógicos, a elaborar circuitos de contagem e armazenamento de informação, a converter dados e informações para códigos conhecidos, a diferenciar as tecnologias de construção de circuitos integrados.

Vamos entrar neste fascinante mundo digital e entender as revolu-ções do mundo em que vivemos, com suas fantásticas máquinas!

Bons estudos!

Cópia

não a

uto

riza

da. Rese

rvados

todos

os

direitos

auto

rais.

Cópia não autorizada. Reservados todos os direitos autorais.

Cópia não autorizada. Reservados todos os direitos autorais.

Page 8: Eletrônica Digital - :: Instituto Monitormonitoronline.com.br/arquivosbd/...130_eletronica_digital.pdf · 130 ELETRÔNICA DIGITAL 4E Eletrônica Digital Cópia não autorizada. vados

1lição

lição

130/11

Entendendo o Mundo Digital

Introdução

Veremos, nesta lição, algumas noçõesbásicas sobre o mundo digital, que abrirão asportas para começarmos a entender comofunciona um CD, um telefone celular, umaantena parabólica digital e qualquer apare-lho que apresente a palavra digital.

Após o estudo desta lição você saberádefinir analógico e digital.

1. Digital x Analógico

Atualmente ouvimos na televisão, no ci-nema, nas rádios, lemos nos jornais, notamosna mídia em geral comentários sobre a tec-nologia digital.

Em digital tudo é melhor, mais rápido,ocupa um espaço menor, etc.

De onde vem tanta qualidade? Afinal, oque é digital?

Os antigos discos de vinil são chamadosde analógicos e os CDs atuais são digitais.

Os primeiros telefones celulares quechegaram ao Brasil eram analógicos, hoje amaioria é digital.

A antena parabólica comum recebe sinaisanalógicos do satélite; as novas miniparabó-licas recebem sinais digitais.

O som dos cinemas antigamente era ana-lógico, hoje é digital.

Os relógios eram à corda e analógicos;hoje, só encontramos relógios digitais.

Os fornos microondas antigos tinhampainéis analógicos, hoje seus painéis são di-gitais.

Os freios de automóveis eram controla-dos pela força da perna do motorista; hoje osfreios ABS usam a tecnologia digital paracontrolar a frenagem dos veículos.

Microcomputadores são frutos da tecno-logia digital.

Todos estes aparelhos de alta tecnologiasão produto da eletrônica analógica e digital.

Para entendermos o que é eletrônica di-gital, precisamos lembrar o que é eletrôni-ca analógica, que era o método como ascoisas vinham sendo feitas no mundo atéentão.

No começo da eletrônica tudo era analó-gico, portanto não havia a necessidade de usareste termo. Quando surgiu a eletrônica digi-tal, houve uma revolução na prática de con-trole e armazenamento de informações, eestes termos agora andam juntos em nossavida cotidiana.

Pelo dicionário Aurélio, Analógico é: [Dogr. analogikós, pelo lat. analogicu.] Adj. 1.Fundado na analogia. 2. Que tem analogia. 3.Fís. Diz-se de um sistema cuja expressãomatemática da relação existente entre suas

Cópia

não a

uto

riza

da. Rese

rvados

todos

os

direitos

auto

rais.

Cópia não autorizada. Reservados todos os direitos autorais.

Cópia não autorizada. Reservados todos os direitos autorais.

Page 9: Eletrônica Digital - :: Instituto Monitormonitoronline.com.br/arquivosbd/...130_eletronica_digital.pdf · 130 ELETRÔNICA DIGITAL 4E Eletrônica Digital Cópia não autorizada. vados

Instituto Monitor

130/12

grandezas físicas é análoga ou semelhante à mesma expressão deum outro sistema. 4. Fís. Diz-se de uma informação fornecida porum instrumento a um observador, na qual a medida de uma gran-deza física é fornecida explicitamente pela medida de uma segun-da grandeza que tem com a primeira uma relação biunívoca. 5.Inform. Que pode assumir valores contínuos. [Nesta acepção, opõe-se a digital1.]

1.1 Eletrônica Analógica

No caso da Eletrônica Analógica, isto significa que um sinalelétrico pode assumir infinitos valores de tensão para reproduzir,expressar, armazenar um fenômeno físico.

Por exemplo, ao observarmos um sinal elétrico de áudio (músi-ca ou voz) em um osciloscópio, observamos que este sinal elétricopode assumir diferentes valores de tensão no tempo.

É preciso lembrar que nós, seres humanos, somos analógicos.Nossa visão consegue distinguir mais de 16 milhões de tonalidadesde cores diferentes. Nossa audição consegue ouvir sons de dife-rentes freqüências. Nossa pele consegue sentir uma infinidade detemperaturas, pressões, asperezas, etc.

Sinal elétrico referente a uma música, visto em um osciloscópio.

Anotações/dicas

Cópia

não a

uto

riza

da. Rese

rvados

todos

os

direitos

auto

rais.

Cópia não autorizada. Reservados todos os direitos autorais.

Cópia não autorizada. Reservados todos os direitos autorais.

Page 10: Eletrônica Digital - :: Instituto Monitormonitoronline.com.br/arquivosbd/...130_eletronica_digital.pdf · 130 ELETRÔNICA DIGITAL 4E Eletrônica Digital Cópia não autorizada. vados

Instituto Monitor

130/13

1.2 Eletrônica Digital

No que diz respeito à Eletrônica, analógico e digital são opos-tos. Um trecho musical, se transportado para o mundo digital e observado em um osciloscópio, seria:

Note que agora só existem dois níveis de tensão por onde o sinal se alterna.

Assim, no mundo analógico, o sinal elétrico pode variar sua tensão entre infinitos níveis. Já no mundo digital, o sinal elétrico pode variar sua tensão somente entre dois níveis.

Para se gravar uma música em fita cassete, eram necessários metros e metros de fita enrolados em torno de um carretel (grava-ção analógica). Hoje a mesma música pode ser gravada em alguns centímetros de um CD (gravação digital).

Mais recentemente, o padrão MP3 pode gravar a mesma música com menos centímetros no mesmo CD, fazendo caber muito mais músicas no mesmo espaço.

Você perguntaria:

- Como é que se transforma um sinal elétrico analógico em sinal elétrico digital? Como pode um sinal que tem infinitos níveis de tensão ser convertido para apenas dois níveis?

Este sinal com dois níveis de tensão utiliza a teoria matemá-tica do binário (que é o nosso próximo assunto) para converter de analógico para digital.

Os circuitos digitalizadores ou conversores de analógico para digital e de digital para analógico trabalham com binários.

Sinal elétrico de um trecho musical digitalizado.

Cópia

não a

uto

riza

da. Rese

rvados

todos

os

direitos

auto

rais.

Cópia não autorizada. Reservados todos os direitos autorais.

Cópia não autorizada. Reservados todos os direitos autorais.

Page 11: Eletrônica Digital - :: Instituto Monitormonitoronline.com.br/arquivosbd/...130_eletronica_digital.pdf · 130 ELETRÔNICA DIGITAL 4E Eletrônica Digital Cópia não autorizada. vados

Exercícios Propostos

130/14

1 - Assinale a alternativa que apresenta produtos nitidamente digitais.( ) a) Liquidificador, batedeira, aspirador de pó.( ) b) Televisão, rádio, luminária.( ) c) CD, vídeo-game, computador.( ) d) Automóvel, bicicleta, patins.( ) e) Câmeras, aparelho de som, termômetro.

2 - O que caracteriza a eletrônica digital é:( ) a) só ter dois níveis de tensão.( ) b) não passar corrente por ela.( ) c) ser feita com dígitos.( ) d) transistores, resistores e capacitores.( ) e) eletrônica analógica.

3 - O ser humano é considerado analógico porque:( ) a) só pode sentir as coisas em dois níveis.( ) b) pode sentir as coisas com uma infinidade de variações.( ) c) não pode sentir as coisas.( ) d) usa transistores.( ) e) tem diferentes níveis de tensão.

4 - Digitalizar um sinal elétrico significa:( ) a) passar o dedo sobre ele.( ) b) convertê-lo para dois níveis de tensão.( ) c) transformá-lo em analógico.( ) d) filtrar o sinal.( ) e) observá-lo no osciloscópio.

5 - Qual a teoria matemática para converter de analógico para digital?( ) a) monária.( ) b) binária.( ) c) trinária.( ) d) quaternária.( ) e) quinquinária.

Cópia

não a

uto

riza

da. Rese

rvados

todos

os

direitos

auto

rais.

Cópia não autorizada. Reservados todos os direitos autorais.

Cópia não autorizada. Reservados todos os direitos autorais.

Page 12: Eletrônica Digital - :: Instituto Monitormonitoronline.com.br/arquivosbd/...130_eletronica_digital.pdf · 130 ELETRÔNICA DIGITAL 4E Eletrônica Digital Cópia não autorizada. vados

2lição

lição

130/15

Bases NuméricasIntrodução

Nesta lição você irá conhecer a teoriamatemática que inspirou os cientistas a de-senvolverem o mundo digital, e ainda verá deque forma um sinal analógico pode ser con-vertido em um sinal digital e vice-versa.

Conhecendo as formas de conversão, vocêestará entrando neste mundo novo onde tudoo que é analógico se traduz em digital. As-sim, você começará a compreender a diferen-ça entre o funcionamento de um disco de vi-nil e um CD, de um relógio antigo e um reló-gio digital.

Os objetivos desta lição são os de expli-car a conversão da base numérica decimal(analógica) para a binária (digital) e apresen-tar as formas de simplificação dos númerosbinários resultantes desta conversão por meioda base numérica hexadecimal.

1. Bases Numéricas (2 e 10)

Matematicamente falando, decimal estárelacionado à base 10.

Expressamos o resultado de uma conta-gem em decimal como: (100), (101), (102), (103),(104), etc.; ou seja, unidade, dezena, centena,milhar, dezena de milhar, etc., respectiva-mente, sempre a partir da direita para aesquerda.

Recordando: quando trabalhamos com o nú-mero 135, identificamos 1 centena, 3 dezenase 5 unidades e lemos cento (1 centena) e trin-ta (3 dezenas) e cinco (5 unidades).Matemáticamente, o número 135 se apresen-ta assim:

1 × 102 + 3 × 101 + 5 × 100 =1 × 100 + 3 × 10 + 5 × 1 =100 + 30 + 5 = 135

O que nos interessa é como expressar umnúmero decimal, no formato de número bi-nário e vice-versa.

Vamos aplicar o que vimos ao binário,que está matematicamente relacionado àbase 2.

Expressamos o resultado de uma conta-gem em binário como: (20), (21), (22), (23), (24),etc., sempre a partir da esquerda para a di-reita. Cada uma destas partes chama-se bit(dígito binário).

Já falamos em 8 bits, 16 bits, 32 bits; estasexpressões se referem a um conjunto de 8 dí-gitos binários, 16 dígitos binários, 32 dígitosbinários.

Desta forma, o número binário 1011 (4bits), que dizemos: um, zero, um, um, é re-presentado matematicamente por:

1 × 23 + 0 × 22 + 1 × 21 + 1 × 20 =1 × 8 + 0 × 4 + 1 × 2 + 1 × 1 =8 + 0 + 2 + 1 = 11

Cópia

não a

uto

riza

da. Rese

rvados

todos

os

direitos

auto

rais.

Cópia não autorizada. Reservados todos os direitos autorais.

Cópia não autorizada. Reservados todos os direitos autorais.

Page 13: Eletrônica Digital - :: Instituto Monitormonitoronline.com.br/arquivosbd/...130_eletronica_digital.pdf · 130 ELETRÔNICA DIGITAL 4E Eletrônica Digital Cópia não autorizada. vados

Instituto Monitor

130/16

Chegamos a uma incoerência: como 1011pode ser igual a 11? São números de basesnuméricas diferentes!

Para organizar esta situação, coloca-seum índice ao lado do número que correspon-de à sua base. Teremos então:

10112 = número binário de base 2

1110 = número decimal de base 10

Agora sim, tudo fica mais claro: 1011 nabase 2 é igual a 11 na base 10. Ou 1011 embinário é igual a 11 em decimal.

Obs.: é normal você encontrar em livros onúmero expresso em decimal sem o índi-ce 10, pois é a forma do nosso cotidiano.Mas nunca podemos deixar de colocar oíndice quando nos referirmos a númerosde outras bases.

Nosso sistema de numeração é o decimal,fomos treinados a pensar em decimal, talvezporque tenhamos 10 dedos nas mãos. Conta-mos as coisas de 0 a 9 e dividimos esta conta-gem em unidades, dezenas, centenas, milha-res, etc.

Cada uma destas divisões varia de zero anove. Assim, contamos:

0 unidades (nada)1 unidade2 unidades3 unidades4 unidades5 unidades6 unidades7 unidades8 unidades9 unidades

10 = 1 dezena e 0 unidades

Perceba que a unidade voltou a zero (0)quando completamos uma dezena. E assimacontece com as dezenas quando completamosuma centena. E assim acontece com as cente-nas quando completamos um milhar, etc.

Vamos trazer isto para a base binária.Contamos as coisas de 0 a 1 e dividimos estacontagem em bits, da mesma forma como emdecimal. Assim, contamos:

0110111001011101111000

Perceba as semelhanças. Em decimal onúmero cresce para a esquerda, em bináriotambém. Em decimal, quando chegamos ao úl-timo algarismo, voltamos ao primeiro, ou seja,de 9 voltamos a 0. Em binário o mesmo ocorre:de 1 voltamos a 0 (1 é o último algarismo deuma base que só tem dois algarismos).

A base binária combina perfeitamentecom os transistores, que funcionam como cha-ves. Transistor conduzindo é igual a chave fe-chada e corresponde ao binário 1 ou bit = 1.Transistor cortado é igual a chave aberta ecorresponde ao binário 0 ou bit = 0.

Como sabemos, os transistores desenvol-vidos pelos Laboratórios da Bell Telefônica(USA), apresentavam uma característica decontrole de corrente elétrica. Portanto, tran-sistores podem funcionar também como cha-ve eletrônica aberta ou fechada. Aberta, a cor-rente elétrica não passa; fechada, a correnteelétrica passa.

Isto nos lembra dois estados: aberto oufechado. Dois em latim é bi, um sistema denumeração binário, ou seja, composto somen-te de dois dígitos.

Pelo dicionário Aurélio dígito é: [Do lat.digitu, ‘dedo’.] S. m. 1. Poét. Dedo (1). 2. Arit.

Cópia

não a

uto

riza

da. Rese

rvados

todos

os

direitos

auto

rais.

Cópia não autorizada. Reservados todos os direitos autorais.

Cópia não autorizada. Reservados todos os direitos autorais.

Page 14: Eletrônica Digital - :: Instituto Monitormonitoronline.com.br/arquivosbd/...130_eletronica_digital.pdf · 130 ELETRÔNICA DIGITAL 4E Eletrônica Digital Cópia não autorizada. vados

Instituto Monitor

130/17

Qualquer dos algarismos arábicos de 0 a 9. 3. Astron. Cada uma das12 partes iguais em que se dividem os diâmetros do Sol e da Lua,para o cálculo dos eclipses. 4. Inform. Elemento de um conjunto decaracteres numéricos ou daqueles que representam valores nu-méricos.

Tudo muito bom, mas ainda somos humanos e pensamos emdecimal.

Partimos agora para a conversão de bases decimal para biná-rio e de binário para decimal.

2. Conversão entre Binário e Decimal

Vejamos como expressar um número decimal em formato bi-nário. Imaginemos uma tabela simples:

A partir da direita temos a base 2 elevada ao expoente 0 e,acima, o correspondente valor decimal. Vamos caminhando para aesquerda, incrementando o expoente da base 2 (20, 21, 22...).

Notamos que estamos ao mesmo tempo multiplicando por 2 ovalor decimal anterior (1, 2, 4, 8, 16...). Esta tabela pode ser tãocomprida quanto necessário, e a forma de construí-la é extrema-mente simples.

Vamos simplificá-la ainda mais até termos apenas o que real-mente interessa: binário e decimal.

2.1 De Decimal para Binário

De acordo com a tabela, só existe uma soma possível entre osvalores decimais que resultem no número decimal que você desejaconverter para binário. Para cada valor decimal que você utilizarna soma, coloque o número 1 sob ele (bit = 1). Para cada valor deci-mal não utilizado coloque o número 0 sob ele (bit = 0). Pronto!

... 512 256 128 64 32 16 8 4 2 1 Valor decimal

... 29 28 27 26 25 24 23 22 21 20 Base 2

... Binário (bits)

... 512 256 128 64 32 16 8 4 2 1 Valor decimal

... Binário (bits)

Cópia

não a

uto

riza

da. Rese

rvados

todos

os

direitos

auto

rais.

Cópia não autorizada. Reservados todos os direitos autorais.

Cópia não autorizada. Reservados todos os direitos autorais.

Page 15: Eletrônica Digital - :: Instituto Monitormonitoronline.com.br/arquivosbd/...130_eletronica_digital.pdf · 130 ELETRÔNICA DIGITAL 4E Eletrônica Digital Cópia não autorizada. vados

Instituto Monitor

130/18

Exemplos:

1 - Como fica o número 12 expresso em binário?

• 512 é muito, não entra na soma, senão estoura! Portanto, 0 sob ele.

• 256 é muito, não entra, portanto, 0 sob ele.

• 128 é muito, não entra, portanto, 0 sob ele.

• 64 é muito, não entra, portanto, 0 sob ele.

• 32 é muito, não entra, portanto, 0 sob ele.

• 16 é muito, não entra, portanto, 0 sob ele.

• 8 entra na soma, portanto, 1 sob ele.

• 4 entra na soma, portanto, 1 sob ele. Até agora temos: 8 + 4 = 12.

• Pronto! Não precisamos de mais nada, então, 0 sob os restantes.

Assim: 11002 = 12. Não representamos os 0 à esquerda.

2 - Como fica o número 233 expresso em binário?

• 512 é muito, não entra na soma, senão estoura! Portanto, 0 sob ele.

• 256 é muito, não entra, portanto, 0 sob ele.

• 128 entra na soma, portanto, 1 sob ele.

• 64 entra na soma, portanto, 1 sob ele.Até agora temos: 128 + 64 = 192, para 233 faltam 41.

• 32 entra na soma, portanto, 1 sob ele.Até agora temos 192 + 32 = 224, para 233 faltam 9.

• 16 é muito, não entra, portanto, 0 sob ele. Continuamos com 224.

• 8 entra na soma, portanto, 1 sob ele. Até agora temos 232, para233 falta 1.

• 4 estoura, portanto, 0 sob ele.Continuamos com 232.

• 2 estoura, portanto, 0 sob ele.Continuamos com 232.

... 512 256 128 64 32 16 8 4 2 1 Valor decimal ... 0 0 0 0 0 0 1 1 0 0 Binário (bits)

... 512 256 128 64 32 16 8 4 2 1 Valor decimal ... 0 0 1 1 1 0 1 0 0 1 Binário (bits)

Cópia

não a

uto

riza

da. Rese

rvados

todos

os

direitos

auto

rais.

Cópia não autorizada. Reservados todos os direitos autorais.

Cópia não autorizada. Reservados todos os direitos autorais.

Page 16: Eletrônica Digital - :: Instituto Monitormonitoronline.com.br/arquivosbd/...130_eletronica_digital.pdf · 130 ELETRÔNICA DIGITAL 4E Eletrônica Digital Cópia não autorizada. vados

Instituto Monitor

130/19

• 1 entra na soma, portanto, 1 sob ele.Pronto: 232 + 1 = 233.

Assim: 111010012 = 233

3 - Como fica o número 170 expresso em binário?

• 512 é muito, não entra na soma, senão estoura! Portanto, 0 sobele.

• 256 é muito, não entra, portanto, 0 sob ele.

• 128 entra na soma, portanto, 1 sob ele.Já temos 128.

• 64 estoura, portanto, 0 sob ele.Continuamos com 128.

• 32 entra na soma, portanto, 1 sob ele.Até agora temos 128 + 32 = 160, para 170 faltam 10.

• 16 estoura e não entra, portanto, 0 sob ele.Continuamos com 160.

• 8 entra na soma, portanto, 1 sob ele.Até agora temos 160 + 8 = 168, para 170 faltam 2.

• 4 estoura, portanto, 0 sob ele.Continuamos com 168.

• 2 entra na soma, portanto, 1 sob ele.Pronto: 168 + 2 = 170.

• 1 estoura, portanto, 0 sob ele.Continuamos com 170.

Assim: 101010102 = 170

2.2 De Binário para Decimal

Utilizamos a mesma tabela e encaixamos o binário a partir dadireita. Basta somar os valores decimais sobre cada binário iguala 1. Pronto!

... 512 256 128 64 32 16 8 4 2 1 Valor decimal ... 0 0 1 0 1 0 1 0 1 0 Binário (bits)

Cópia

não a

uto

riza

da. Rese

rvados

todos

os

direitos

auto

rais.

Cópia não autorizada. Reservados todos os direitos autorais.

Cópia não autorizada. Reservados todos os direitos autorais.

Page 17: Eletrônica Digital - :: Instituto Monitormonitoronline.com.br/arquivosbd/...130_eletronica_digital.pdf · 130 ELETRÔNICA DIGITAL 4E Eletrônica Digital Cópia não autorizada. vados

Instituto Monitor

130/20

Exemplos:

1 - Como fica o número 11100012 expresso em decimal?

• Tem 1 sob o 64, portanto, entra na soma.Até agora temos 64.

• Tem 1 sob o 32, portanto, entra na soma.Até agora temos 64 + 32 = 96.

• Tem 1 sob o 16, portanto, entra na soma.Até agora temos 96 + 16 = 112.

• Tem 0 sob o 8, portanto, não entra na soma.Continuamos com 112.

• Tem 0 sob o 4, portanto, não entra na soma.Continuamos com 112.

• Tem 0 sob o 2, portanto, não entra na soma.Continuamos com 112.

• Tem 1 sob o 1, portanto, entra na soma.Terminamos com 112 + 1 = 113. Pronto!

Assim: 11100012= 113

2 - Como fica o número 1001112 expresso em decimal?

• Tem 1 sob o 32, portanto, entra na soma.Até agora temos 32.

• Tem 0 sob o 16, portanto, não entra na soma.Continuamos com 32.

• Tem 0 sob o 8, portanto, não entra na soma.Continuamos com 32.

• Tem 1 sob o 4, portanto, entra na soma.Ficamos com 32 + 4 = 36.

• Tem 1 sob o 2, portanto, entra na soma.Ficamos com 36 + 2 = 38.

• Tem 1 sob o 1, portanto, entra na soma.Terminamos com 38 + 1 = 39. Pronto!

Assim: 1001112 = 39

... 512 256 128 64 32 16 8 4 2 1 Valor decimal ... 0 0 0 1 1 1 0 0 0 1 Binário (bits)

... 512 256 128 64 32 16 8 4 2 1 Valor decimal ... 0 1 0 0 1 1 1 Binário (bits)

Cópia

não a

uto

riza

da. Rese

rvados

todos

os

direitos

auto

rais.

Cópia não autorizada. Reservados todos os direitos autorais.

Cópia não autorizada. Reservados todos os direitos autorais.

Page 18: Eletrônica Digital - :: Instituto Monitormonitoronline.com.br/arquivosbd/...130_eletronica_digital.pdf · 130 ELETRÔNICA DIGITAL 4E Eletrônica Digital Cópia não autorizada. vados

Instituto Monitor

130/21

Para finalizar: o dígito binário (bit) mais à direita do número échamado de dígito binário menos significativo, ou LSb, do InglêsLower Significant bit. Note a letra b minúscula indicando bit. Ve-remos mais tarde que B maiúsculo significará byte.

O dígito binário (bit) mais à esquerda do número é chamado dedígito binário mais significativo, ou MSb, do inglês Most Significant bit.

Seja bem-vindo ao mundo digital, onde tudo que é analógico éexpresso em binário. A prática de conversão leva à rapidez, e, sevocê tiver um microcomputador com o sistema operacional Windowsinstalado, a calculadora que vem com este software pode funcio-nar como uma ferramenta de conversão e agilizar ainda mais o pro-cesso. Para isso, proceda da seguinte maneira:

Clique em iniciar programas acessórios calculadora exibir científica

3. Bases Numéricas Binário, Decimal e Hexadecimal

Ficou claro então que nós pensamos em decimal, e as calcula-doras e computadores utilizam o binário. A conversão entre estasbases é o meio óbvio de comunicação entre homem e máquina. Noentanto, os números em binário muito longos tornaram-se difíceispara nós. Então, surgiu a idéia de converter cada 4 bits em um nú-mero de outra base.

Com 4 bits conseguimos os decimais de 0 a 15, totalizando 16combinações. Está foi a base escolhida e utilizada até hoje quandose fala em sistemas digitais.

Cópia

não a

uto

riza

da. Rese

rvados

todos

os

direitos

auto

rais.

Cópia não autorizada. Reservados todos os direitos autorais.

Cópia não autorizada. Reservados todos os direitos autorais.

Page 19: Eletrônica Digital - :: Instituto Monitormonitoronline.com.br/arquivosbd/...130_eletronica_digital.pdf · 130 ELETRÔNICA DIGITAL 4E Eletrônica Digital Cópia não autorizada. vados

Instituto Monitor

130/22

A vantagem de utilizar esta base é a de poder escrever um nú-mero binário longo (composto de vários bits) com poucos caracte-res e ainda assim ficar fácil a visualização do binário original.

A base 16, ou hexadecimal, foi implantada aos sistemas digi-tais. Hexa, do Grego, significa seis. Hexadecimal significa seis alémdo decimal.

O Sistema de Numeração Decimal é composto de 10 símbolos:0, 1, 2, 3, 4, 5, 6, 7, 8 e 9. O Sistema de Numeração Hexadecimal écomposto de 16 símbolos: 0, 1, 2, 3, 4, 5, 6, 7, 8, 9, A, B, C, D, E e F.Foram colocadas as seis primeiras letras do alfabeto para comple-tar os símbolos do Hexadecimal e, em Decimal: A = 10, B = 11,C = 12, D = 13, E = 14 e F = 15.

4. Conversão entre Binário, Decimal e Hexadecimal

Vamos fazer uma pequena adaptação à nossa já estudada tabe-la. Separamos os bits de 4 em 4. É assim que surge a base hexade-cimal. Chamaremos cada um desses blocos de 4 bits de númerohexadecimal.

O modo mais fácil de se converter um número para qualqueroutra das duas bases é a partir do binário.

4.1 De Binário para Hexadecimal

Para converter de binário para hexadecimal, olhamos apenasas linhas binário e hexadecimal.

Encaixamos o número binário na tabela a partir da direita e acada bloco de 4 bits teremos um número em hexadecimal.

Em cada bloco, o bit mais à direita (LSb) vale 1; o próximo à es-querda vale 2; o próximo, 4 e o último mais à esquerda (MSb) vale 8.

Somam-se os valores cujos bits sejam 1, sem esquecer que:

• Não existe 10 em hexadecimal, mas sim A.

• Não existe 11 em hexadecimal, mas sim B.

... 512 256 128 64 32 16 8 4 2 1 Valor decimal ... Binário (bits)

Hexadecimal

Cópia

não a

uto

riza

da. Rese

rvados

todos

os

direitos

auto

rais.

Cópia não autorizada. Reservados todos os direitos autorais.

Cópia não autorizada. Reservados todos os direitos autorais.

Page 20: Eletrônica Digital - :: Instituto Monitormonitoronline.com.br/arquivosbd/...130_eletronica_digital.pdf · 130 ELETRÔNICA DIGITAL 4E Eletrônica Digital Cópia não autorizada. vados

Instituto Monitor

130/23

• Não existe 12 em hexadecimal, mas sim C.

• Não existe 13 em hexadecimal, mas sim D.

• Não existe 14 em hexadecimal, mas sim E.

• Não existe 15 em hexadecimal, mas sim F.

Exemplos:

1 - Qual o equivalente em hexadecimal para o binário 110112?

Iniciando pelo bloco mais à direita:

• 1011 corresponde a 8 + 0 + 2 + 1 = 11. Mas em hexadecimal 11 nãoexiste, o que existe é B.

Para o bloco próximo da esquerda:

• 0001 corresponde a 0 + 0 + 0 + 1 = 1.

Portanto: 110112 é equivalente a 1B16

Note que aparece o 16 como índice para indicar que se tratade um número da base hexadecimal. Muitos livros técnicos, porquestões de impressão, preferem colocar a letra h (minúsculo) aolado do número em vez do 16, para indicar número hexadecimal:1B16 = 1Bh.

2 - Qual o equivalente em hexadecimal para o binário 111110012?

Começando pelo bloco da direita:

1001 = 8 + 0 + 0 + 1 = 9

Passando para o bloco ao lado:

1111 = 8 + 4 + 2 + 1 = 15, mas 15 não existe em hexadecimal, o queexiste é F.

Portanto: 111110012 = F9h

... 1 1 0 1 1 Binário

... 1 B Hexadecimal

... 1 1 1 1 1 0 0 1 Binário

... F 9 Hexadecimal

Cópia

não a

uto

riza

da. Rese

rvados

todos

os

direitos

auto

rais.

Cópia não autorizada. Reservados todos os direitos autorais.

Cópia não autorizada. Reservados todos os direitos autorais.

Page 21: Eletrônica Digital - :: Instituto Monitormonitoronline.com.br/arquivosbd/...130_eletronica_digital.pdf · 130 ELETRÔNICA DIGITAL 4E Eletrônica Digital Cópia não autorizada. vados

Instituto Monitor

130/24

3 - Qual o equivalente em hexadecimal para o binário 11011011002?

Começando pelo bloco da direita:1100 = 8 + 4 + 0 + 0 = 12, que em hexadecimal se escreve C.

Para o bloco ao lado:

0110 = 0 + 4 + 2 + 0 = 6

Para o próximo bloco:

0011 = 0 + 0 + 2 + 1 = 3

Portanto: 11011011002 = 36Ch

4.2 De Hexadecimal para Binário

Convertendo de hexadecimal para binário, encaixa-se o nú-mero hexadecimal na tabela a partir da direita. Coloca-se o cor-respondente binário sobre ele. Pronto!

Exemplos:

1 - Converter A5h em binário:

Começando a partir da direita:

5 = 0 + 4 + 0 + 1, ou seja, 0101.

Para o número à esquerda:

A = 8 + 0 + 2 + 0, ou seja, 1010

Portanto: A5h = 101001012

... 512 256 128 64 32 16 8 4 2 1 Valor decimal ... Binário ... A 5 Hexadecimal

... 1 1 0 1 1 0 1 1 0 0 Binário

... 3 6 C Hexadecimal

Cópia

não a

uto

riza

da. Rese

rvados

todos

os

direitos

auto

rais.

Cópia não autorizada. Reservados todos os direitos autorais.

Cópia não autorizada. Reservados todos os direitos autorais.

Page 22: Eletrônica Digital - :: Instituto Monitormonitoronline.com.br/arquivosbd/...130_eletronica_digital.pdf · 130 ELETRÔNICA DIGITAL 4E Eletrônica Digital Cópia não autorizada. vados

Instituto Monitor

130/25

2 - Converter 10h em binário:

Começando a partir da direita:

0 = 0 + 0 + 0 + 0, ou seja, 0000.

Para o número à esquerda:

1 = 0 + 0 + 0 + 1, ou seja, 0001.

Portanto: 10h = 000100002

4.3 De Decimal para Hexadecimal e Vice-versa

Para converter de decimal para hexadecimal, passe primeiropara binário e depois para hexadecimal.

Para converter de hexadecimal para decimal, passe primeiropara binário e depois para decimal. Desta forma é muito mais fácil.

A base octal tem sido pouco utilizada, porém basta fazer outrapequena adaptação em nossa tabela. Assim como você separou de4 em 4 bits para formar um hexadecimal, separe de 3 em 3 bits, sigao mesmo procedimento e você terá a conversão para octal. Nuncase esqueça do índice 8 ao pé do número para que não seja confun-dido com o decimal.

5. Do Mundo Analógico para o Mundo Digital (Binário)

Lembrando a lição 1, um sinal analógico tem infinitos níveis detensão. Digitalizar um sinal elétrico analógico é recortá-lo em pe-quenos pedaços e expressar o valor do nível de tensão de cada pe-daço pelo equivalente número em binário.

... 512 256 128 64 32 16 8 4 2 1 Valor decimal ... 0 0 0 1 0 0 0 0 Binário ... 1 0 Hexadecimal

Cópia

não a

uto

riza

da. Rese

rvados

todos

os

direitos

auto

rais.

Cópia não autorizada. Reservados todos os direitos autorais.

Cópia não autorizada. Reservados todos os direitos autorais.

Page 23: Eletrônica Digital - :: Instituto Monitormonitoronline.com.br/arquivosbd/...130_eletronica_digital.pdf · 130 ELETRÔNICA DIGITAL 4E Eletrônica Digital Cópia não autorizada. vados

Instituto Monitor

130/26

Para trabalhar com os sinais digitais, precisamos de circuitoseletrônicos chamados de portas lógicas. Estas portas lógicas for-marão os chamados circuitos lógicos digitais ou, simplesmente, cir-cuitos lógicos. Cada porta é formada por um circuito eletrônicoque tem como base transistores funcionando como chaves contro-ladas. Assim:

• Transistores formam portas lógicas.

• Portas lógicas formam circuitos lógicos.

• Circuitos lógicos formam sistemas digitais.

Sistemas digitais podem ser:

• Microcomputadores

• CD-players

• Videogames

• Telefones celulares

• DVDs

• Relógios digitais

• Etc.

Para sabermos como funciona um relógio digital ou outro sis-tema digital qualquer, precisamos conhecer as técnicas digitais,que nos mostram como ligar portas lógicas de modo a obtermos osresultados desejados, dependendo de cada aplicação. Veremos issono próximo capítulo.

Sinal senoidal recortado, e cada nível representado por um númerobinário equivalente ao número do nível de tensão naquele ponto.

VALOR BINÁRIO CORRESPONDENTE A CADA NÍVEL

ANALÓGICO

DIGITALIZADO

1010

1001

1000

0111

0110

0101

0100

0011

0010

0001

0000

Cópia

não a

uto

riza

da. Rese

rvados

todos

os

direitos

auto

rais.

Cópia não autorizada. Reservados todos os direitos autorais.

Cópia não autorizada. Reservados todos os direitos autorais.

Page 24: Eletrônica Digital - :: Instituto Monitormonitoronline.com.br/arquivosbd/...130_eletronica_digital.pdf · 130 ELETRÔNICA DIGITAL 4E Eletrônica Digital Cópia não autorizada. vados

Exercícios Propostos

130/27

1 - Converta os seguintes números decimais em binário e hexadecimal.

a) 43

b) 111

... 512 256 128 64 32 16 8 4 2 1 Valor DECIMAL

... BINÁRIO

... HEXADECIMAL

... 512 256 128 64 32 16 8 4 2 1 Valor DECIMAL

... BINÁRIO

... HEXADECIMAL

Cópia

não a

uto

riza

da. Rese

rvados

todos

os

direitos

auto

rais.

Cópia não autorizada. Reservados todos os direitos autorais.

Cópia não autorizada. Reservados todos os direitos autorais.

Page 25: Eletrônica Digital - :: Instituto Monitormonitoronline.com.br/arquivosbd/...130_eletronica_digital.pdf · 130 ELETRÔNICA DIGITAL 4E Eletrônica Digital Cópia não autorizada. vados

Instituto Monitor

130/28

c) 200

d) 5

e) 127

... 512 256 128 64 32 16 8 4 2 1 Valor DECIMAL

... BINÁRIO

... HEXADECIMAL

... 512 256 128 64 32 16 8 4 2 1 Valor DECIMAL

... BINÁRIO

... HEXADECIMAL

... 512 256 128 64 32 16 8 4 2 1 Valor DECIMAL

... BINÁRIO

... HEXADECIMAL

Cópia

não a

uto

riza

da. Rese

rvados

todos

os

direitos

auto

rais.

Cópia não autorizada. Reservados todos os direitos autorais.

Cópia não autorizada. Reservados todos os direitos autorais.

Page 26: Eletrônica Digital - :: Instituto Monitormonitoronline.com.br/arquivosbd/...130_eletronica_digital.pdf · 130 ELETRÔNICA DIGITAL 4E Eletrônica Digital Cópia não autorizada. vados

Instituto Monitor

130/29

f) 63

g) 31

h) 15

... 512 256 128 64 32 16 8 4 2 1 Valor DECIMAL

... BINÁRIO

... HEXADECIMAL

... 512 256 128 64 32 16 8 4 2 1 Valor DECIMAL

... BINÁRIO

... HEXADECIMAL

... 512 256 128 64 32 16 8 4 2 1 Valor DECIMAL

... BINÁRIO

... HEXADECIMAL

Cópia

não a

uto

riza

da. Rese

rvados

todos

os

direitos

auto

rais.

Cópia não autorizada. Reservados todos os direitos autorais.

Cópia não autorizada. Reservados todos os direitos autorais.

Page 27: Eletrônica Digital - :: Instituto Monitormonitoronline.com.br/arquivosbd/...130_eletronica_digital.pdf · 130 ELETRÔNICA DIGITAL 4E Eletrônica Digital Cópia não autorizada. vados

Instituto Monitor

130/30

i) 7

2 - Converta os seguintes números binários em decimal e hexadecimal:

a) 1000002

b) 1002

... 512 256 128 64 32 16 8 4 2 1 Valor DECIMAL

... BINÁRIO

... HEXADECIMAL

... 512 256 128 64 32 16 8 4 2 1 Valor DECIMAL

... BINÁRIO

... HEXADECIMAL

... 512 256 128 64 32 16 8 4 2 1 Valor DECIMAL

... BINÁRIO

... HEXADECIMAL

Cópia

não a

uto

riza

da. Rese

rvados

todos

os

direitos

auto

rais.

Cópia não autorizada. Reservados todos os direitos autorais.

Cópia não autorizada. Reservados todos os direitos autorais.

Page 28: Eletrônica Digital - :: Instituto Monitormonitoronline.com.br/arquivosbd/...130_eletronica_digital.pdf · 130 ELETRÔNICA DIGITAL 4E Eletrônica Digital Cópia não autorizada. vados

Instituto Monitor

130/31

c) 101002

d) 111112

e) 11112

... 512 256 128 64 32 16 8 4 2 1 Valor DECIMAL

... BINÁRIO

... HEXADECIMAL

... 512 256 128 64 32 16 8 4 2 1 Valor DECIMAL

... BINÁRIO

... HEXADECIMAL

... 512 256 128 64 32 16 8 4 2 1 Valor DECIMAL

... BINÁRIO

... HEXADECIMAL

Cópia

não a

uto

riza

da. Rese

rvados

todos

os

direitos

auto

rais.

Cópia não autorizada. Reservados todos os direitos autorais.

Cópia não autorizada. Reservados todos os direitos autorais.

Page 29: Eletrônica Digital - :: Instituto Monitormonitoronline.com.br/arquivosbd/...130_eletronica_digital.pdf · 130 ELETRÔNICA DIGITAL 4E Eletrônica Digital Cópia não autorizada. vados

Instituto Monitor

130/32

f) 1112

g) 1010102

... 512 256 128 64 32 16 8 4 2 1 Valor DECIMAL

... BINÁRIO

... HEXADECIMAL

... 512 256 128 64 32 16 8 4 2 1 Valor DECIMAL

... BINÁRIO

... HEXADECIMAL

Cópia

não a

uto

riza

da. Rese

rvados

todos

os

direitos

auto

rais.

Cópia não autorizada. Reservados todos os direitos autorais.

Cópia não autorizada. Reservados todos os direitos autorais.

Page 30: Eletrônica Digital - :: Instituto Monitormonitoronline.com.br/arquivosbd/...130_eletronica_digital.pdf · 130 ELETRÔNICA DIGITAL 4E Eletrônica Digital Cópia não autorizada. vados

Instituto Monitor

130/33

3 - Por que precisamos de circuitos que convertam decimal para binário?_______________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________

4 - Por que precisamos de circuitos que convertam binário para decimal?_______________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________

5 - O que significa Mundo Digital?_______________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________

Anotações/dicas

Cópia

não a

uto

riza

da. Rese

rvados

todos

os

direitos

auto

rais.

Cópia não autorizada. Reservados todos os direitos autorais.

Cópia não autorizada. Reservados todos os direitos autorais.

Page 31: Eletrônica Digital - :: Instituto Monitormonitoronline.com.br/arquivosbd/...130_eletronica_digital.pdf · 130 ELETRÔNICA DIGITAL 4E Eletrônica Digital Cópia não autorizada. vados

3lição

lição

130/35

Portas Lógicas

Introdução

Agora que você já conhece a lógica biná-ria do mundo digital, já aprendeu a conver-ter números decimais em binários, podemospassar para o estudo das Portas Lógicas, ouseja, dos circuitos integrados de transistores,resistores e capacitores, tecnologia que per-mitiu o desenvolvimento dos microcomputa-dores.

1. O que é uma Porta Lógica?

A lógica combinacional era muito utili-zada na automatização de máquinas elétri-cas industriais, onde relés abriam e fechavam,numa seqüência lógica e ordenada, contro-lando os motores e acionadores.

Com o advento dos semicondutores e osurgimento dos transistores funcionandocomo chaves, a idéia era substituir os circui-tos lógicos baseados em relés por circuitosbaseados em transistores. Ocupariam um es-paço menor, consumiriam menos energia, se-riam mais baratos, teriam vida útil maior quea dos relés, etc.

O passo seguinte na evolução era fabri-car um circuito completo miniaturizado. Jáque eram feitos de transistores, por que nãocolocar os circuitos lógicos todos juntos e in-tegrá-los?

Surgiram os primeiros circuitos integra-dos (CIs), desenvolvidos para as calculado-ras que, mais tarde, se tornariam os micro-computadores de hoje.

Uma porta lógica nada mais é que um cir-cuito integrado de transistores, resistores ecapacitores encapsulados num único invólu-cro que cumpre uma função lógica específi-ca. Como o espaço ocupado por uma portalógica após a integração é muito pequeno, écomum encontrarmos várias dessas portasnum mesmo CI.

2. Funções Lógicas e Portas Lógicas

As principais funções lógicas são:

• INVERSORA (INVERTER)

• E (AND)

• OU (OR)

ELEVAÇÃO

PLANTA

16

Vistas de um Circuito Integrado

1 2 3 4 5 6 7 8

15 14 13 12 11 10 9

Cópia

não a

uto

riza

da. Rese

rvados

todos

os

direitos

auto

rais.

Cópia não autorizada. Reservados todos os direitos autorais.

Cópia não autorizada. Reservados todos os direitos autorais.

Page 32: Eletrônica Digital - :: Instituto Monitormonitoronline.com.br/arquivosbd/...130_eletronica_digital.pdf · 130 ELETRÔNICA DIGITAL 4E Eletrônica Digital Cópia não autorizada. vados

Instituto Monitor

130/36

Combinando as funções lógicas princi-pais, temos:

• NE (NAND)

• NOU (NOR)

• EXE (EXCLUSIVE AND – EXAND)

• EXOU (EXCLUSIVE OR – EXOR)

Cada função lógica tem uma caracterís-tica e pode ser expressa por meio da Álgebrade Boole e sua expressão booleana.

Cada função lógica tem uma porta lógicaassociada a ela, que tem um símbolo gráficoque a representa em esquemas de sistemasdigitais.

Cada porta lógica tem uma Tabela daVerdade que mostra como ela funciona, re-lacionando as combinações entre as entra-das.

Cada porta lógica tem um circuito inte-grado, onde nós a encontramos para formarcircuitos lógicos digitais.

Vejamos tudo isso então:

E

OU

NE

NOU

EXE

EXOU

INVERSORA

FUNÇÃO LÓGICA SÍMBOLO

Com exceção do inversor, que só temuma entrada, cada porta é composta de nomínimo duas entradas e uma única saída.Cada entrada de uma porta receberá umavariável, que pode assumir valor 0 ou 1 (bi-nário).

Chamaremos isso de nível lógico ou esta-do lógico. Assim, quando a variável for 0, di-remos que ela está em “nível lógico zero” ou“estado lógico zero” e, quando for 1, diremosque ela está em “nível lógico um” ou “estadológico um”.

A saída desta porta seguirá o nome da suafunção lógica, resultando em saída igual a 0ou 1. Respectivamente “nível lógico zero” e“nível lógico um”.

Estas entradas de variáveis são represen-tadas através de letras maiúsculas (A, B, C,D, E, F, G, etc.).

3. Variáveis

Variáveis representam qualquer coisaque possa assumir somente duas condiçõesopostas.

Exemplo: uma condição de lâmpada pode seruma variável, representada pela letra D. Asduas condições desta lâmpada podem ser:

- Boa ou Queimada (duas condições opostas).

D = 1 pode significar lâmpada boa e, portan-to, D = 0 significará lâmpada queimada.

- Acesa ou Apagada (duas condições opostas).

D = 0 pode significar lâmpada acesa; por con-seqüência, D = 1 significará lâmpada apagada.

Não importa a ordem 0 ou 1, mas sim queuma condição seja contrária à outra.

Cópia

não a

uto

riza

da. Rese

rvados

todos

os

direitos

auto

rais.

Cópia não autorizada. Reservados todos os direitos autorais.

Cópia não autorizada. Reservados todos os direitos autorais.

Page 33: Eletrônica Digital - :: Instituto Monitormonitoronline.com.br/arquivosbd/...130_eletronica_digital.pdf · 130 ELETRÔNICA DIGITAL 4E Eletrônica Digital Cópia não autorizada. vados

Instituto Monitor

130/37

Não existe meio termo em digitais: ou éum, ou é zero.

Não importa quem seja considerado um,seu oposto será o zero, e você já estará apli-cando a lógica.

Se podemos ter somente duas condições(binário), é lógico que se uma condição é uma outra será zero.

Ficou claro, não?!

4. Tabela da Verdade

A Tabela da Verdade relaciona as dife-rentes combinações entre as variáveis, mos-trando como fica a saída para cada uma destascombinações.

A Tabela é composta de uma coluna paracada variável e uma coluna para a saída. Aquantidade de linhas desta Tabela dependedo número de variáveis que você tem.

Podemos calcular o número de linhas deuma tabela da verdade da seguinte forma:

Número de linhas = 2 número de variáveis

Este cálculo é o mesmo que se aplicaquando desejamos saber o número de combi-nações possíveis entre um determinado nú-mero de variáveis que só podem assumir doisvalores. Assim:

• Para uma variável: 21 = 2 linhas ou 2 combi-nações possíveis.

• Para duas variáveis: 22 = 4 linhas ou 4 com-binações possíveis.

• Para três variáveis: 23 = 8 linhas ou 8 com-binações possíveis.

• Para quatro variáveis: 24 = 16 linhas ou 16combinações possíveis.

E assim por diante.

a) Tabela da Verdade para 1 variável:

b) Tabela da Verdade para 2 variáveis:

c) Tabela da Verdade para 3 variáveis:

Construir uma Tabela da Verdade é sim-ples. Já sabendo do número de variáveis e cal-culando o número de linhas, comece pela va-riável mais à direita na tabela. Começandopelo 0, vá alternando com o 1 de linha paralinha. Passe para a próxima coluna à esquer-da. Começando pelo 0, alterne com o 1 a cadaduas linhas. Repita o procedimento para apróxima coluna, alternando de quatro emquatro linhas. Repita para a próxima, alter-nando de oito em oito linhas.

A alternância de 0 para 1 de coluna paracoluna segue a ordem: 1, 2, 4, 8, 16, 32, 64...

A01

S

A0011

B0101

S

A00001111

B00110011

C01010101

S

Cópia

não a

uto

riza

da. Rese

rvados

todos

os

direitos

auto

rais.

Cópia não autorizada. Reservados todos os direitos autorais.

Cópia não autorizada. Reservados todos os direitos autorais.

Page 34: Eletrônica Digital - :: Instituto Monitormonitoronline.com.br/arquivosbd/...130_eletronica_digital.pdf · 130 ELETRÔNICA DIGITAL 4E Eletrônica Digital Cópia não autorizada. vados

Instituto Monitor

130/38

5. Expressão Booleana

George Simon Boole (1815-1864), matemático inglês, desen-volveu estudos matemáticos sobre a lógica, a partir de Aristóteles.

A Álgebra de Boole relaciona símbolos e estabelece as funçõeslógicas como expressões matemáticas.

Aplicando este estudo aos sistemas digitais, temos:

5.1 Função Inversora e Porta Inversora

A função inversora, como o nome diz, inverte o estado lógicoda entrada.

Representamos uma variável invertida colocando sobre ela umabarra. Dessa forma:

Se: A = 1, então S = 0

Quando a variável aparecer com valor 0, chamamos de barradoe quando a variável aparecer com valor 1 chamamos de variávelsem barra.

5.2 Função E e Porta E (AND)

A função E, como o nome diz, ativa a saída somente quandouma entrada e a outra estiverem ativas. Representamos a função Eatravés de um ponto (.).

Dessa forma: se A = 1 e B = 1, então S = 1, qualquer outra com-binação resulta em S = 0.

Função Porta Lógica Expressão Tabela da Verdade

Inversora S = AA01

S10

A0011

B0101

S0001

Função Porta Lógica Expressão Tabela da Verdade

E S = A . B

Cópia

não a

uto

riza

da. Rese

rvados

todos

os

direitos

auto

rais.

Cópia não autorizada. Reservados todos os direitos autorais.

Cópia não autorizada. Reservados todos os direitos autorais.

Page 35: Eletrônica Digital - :: Instituto Monitormonitoronline.com.br/arquivosbd/...130_eletronica_digital.pdf · 130 ELETRÔNICA DIGITAL 4E Eletrônica Digital Cópia não autorizada. vados

Instituto Monitor

130/39

5.3 Função OU e Porta OU (OR)

A função OU, como o nome diz, ativa a saída quando uma en-trada ou a outra estiverem ativas. Representamos a função OUatravés do sinal mais (+).

5.4 Função NOU e Porta NOU (NOR)

A função NOU combina a função INVERSORA com a funçãoOU. É o mesmo que colocar um inversor na saída da porta OU. Re-presentamos a função NOU através de uma barra sobre toda afunção OU.

5.5 Função NE e Porta NE (NAND)

A função NE combina a função INVERSORA com a função E.É o mesmo que colocar um inversor na saída da porta E. Represen-tamos a função NE através de uma barra sobre toda a função E.

A0011

B0101

S0111

Função Porta Lógica Expressão Tabela da Verdade

OU S = A + B

A0011

B0101

S1000

Função Porta Lógica Expressão Tabela da Verdade

NOU S = A + B

A0011

B0101

S1110

Função Porta Lógica Expressão Tabela da Verdade

NE S = A . B

Cópia

não a

uto

riza

da. Rese

rvados

todos

os

direitos

auto

rais.

Cópia não autorizada. Reservados todos os direitos autorais.

Cópia não autorizada. Reservados todos os direitos autorais.

Page 36: Eletrônica Digital - :: Instituto Monitormonitoronline.com.br/arquivosbd/...130_eletronica_digital.pdf · 130 ELETRÔNICA DIGITAL 4E Eletrônica Digital Cópia não autorizada. vados

Instituto Monitor

130/40

5.6 Função EXOU e Porta EXOU (EXOR)

A função EXOU (exclusivamente OU) só ativa a saída quandouma entrada estiver ativa ou a outra estiver ativa, excluindo osoutros casos. Representamos a função EXOU através de um círcu-lo sobre o sinal de mais ( + ).

5.7 Função EXE e Porta EXE (EXAND)

A função EXE (exclusivamente E) só ativa a saída quando asentradas estiverem na mesma situação, excluindo os outros ca-sos. Representamos a função EXE através de um círculo sobre oponto ( . ).

Vale lembrar que, como o inversor na saída inverte a tabela daverdade, a função NEXOU é a função EXE.

Já conhecemos cada uma das portas lógicas, suas funções, sa-bemos como representá-las através da Álgebra de Boole e comofazer a Tabela da Verdade para cada uma delas.

Agora é que vem o interessante: vamos aprender a ligar essasportas umas nas outras, de modo a formar um circuito lógico.

Isto recebe o nome de Circuito Lógico Combinacional.

Na prática, estas portas vêm encapsuladas em componenteseletrônicos chamados CIs, Circuitos Integrados, e trabalham comníveis de tensão representando níveis lógicos.

Nosso próximo assunto será como identificar estes CIs, comoligá-los e quais suas características e limitações para formar umcircuito combinacional.

A0011

B0101

S0110

Função Porta Lógica Expressão Tabela da Verdade

EXOU S = A + B

A0011

B0101

S1001

Função Porta Lógica Expressão Tabela da Verdade

EXE S = A . B

(coincidência)

não coincidência= Exclusiva

Cópia

não a

uto

riza

da. Rese

rvados

todos

os

direitos

auto

rais.

Cópia não autorizada. Reservados todos os direitos autorais.

Cópia não autorizada. Reservados todos os direitos autorais.

Page 37: Eletrônica Digital - :: Instituto Monitormonitoronline.com.br/arquivosbd/...130_eletronica_digital.pdf · 130 ELETRÔNICA DIGITAL 4E Eletrônica Digital Cópia não autorizada. vados

Exercícios Propostos

130/41

1 - Porta Lógica é:( ) a) um circuito integrado de transistores, resistores e capacitores, encapsulados

num único invólucro que cumpre uma função lógica específica.( ) b)um circuito lógico de transistores, resistores e capacitores, encapsulados num

único invólucro que cumpre uma função integrada específica.( ) c) um circuito integrado de transistores, resistores e capacitores, encapsulados

num circuito único invólucro que cumpre especificamente uma função lógica.( ) d)um circuito de transistores, de resistores e capacitores integrados, encapsula-

dos num único invólucro de circuito que cumpre uma função lógica específica.( ) e) um circuito integrado de transistores, resistores e capacitores, num único invó-

lucro que não cumpre uma função lógica específica.

2 - Desenhe o símbolo e nomeie cada Porta Lógica principal:

Cópia

não a

uto

riza

da. Rese

rvados

todos

os

direitos

auto

rais.

Cópia não autorizada. Reservados todos os direitos autorais.

Cópia não autorizada. Reservados todos os direitos autorais.

Page 38: Eletrônica Digital - :: Instituto Monitormonitoronline.com.br/arquivosbd/...130_eletronica_digital.pdf · 130 ELETRÔNICA DIGITAL 4E Eletrônica Digital Cópia não autorizada. vados

Instituto Monitor

130/42

3 - Como conseguimos formar as Portas Lógicas NE e NOU?________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________

4 - Circuitos combinacionais são:( ) a) formados por Kombis feitas no Brasil.( ) b)ligações entre portas lógicas.( ) c) circuitos que combinam analógico e digital.( ) d)cada uma das portas lógicas.( ) e) combinações de transistores lógicos.

5 - Para que serve uma Tabela da Verdade?( ) a) Para mostrar como fica a saída da porta de acordo com as entradas da porta.( ) b)Para combinar Portas Lógicas.( ) c) Para converter de analógico para digital.( ) d)Para determinar a entrada do circuito.( ) e) Nenhuma das alternativas anteriores.

Cópia

não a

uto

riza

da. Rese

rvados

todos

os

direitos

auto

rais.

Cópia não autorizada. Reservados todos os direitos autorais.

Cópia não autorizada. Reservados todos os direitos autorais.

Page 39: Eletrônica Digital - :: Instituto Monitormonitoronline.com.br/arquivosbd/...130_eletronica_digital.pdf · 130 ELETRÔNICA DIGITAL 4E Eletrônica Digital Cópia não autorizada. vados

4lição

lição

130/43

Circuitos Combinacionais

Introdução

Pegue suas variáveis e conecte-as às en-tradas de suas portas. Ligue as saídas destasportas às entradas de outras portas. Reúnaas saídas destas portas às entradas de umaúltima porta. Teremos uma única saída queestá relacionada logicamente com as entra-das. Pronto! Você já tem um circuito quecombina portas lógicas, e a saída seguirá alógica destas portas.

Agora, como fazer com que a saída secomporte de acordo com a nossa vonta-de? Esta é a importância de desenvolvercircuitos lógicos. Para auxiliar nesta ta-refa teremos o apoio da Álgebra de Boo-le, dos Diagramas de Veitch-Karnaugh edos Circuitos Multiplexadores.

Nesta lição explicaremos o funcionamen-to dos circuitos combinacionais e os circui-tos lógicos a partir da Álgebra de Boole.

1. Funcionamento

Para entender o funcionamento dos cir-cuitos combinacionais, vamos partir dosexemplos mais simples de uso de portas lógi-cas até os mais complexos:

Exemplo 1

Desejamos que uma lâmpada se acenda ànoite e fique apagada durante o dia.

Variável: Ambiente externo

Saída: Lâmpada

A variável “Ambiente” pode assumir doisvalores: dia ou noite.

A saída lâmpada pode assumir dois valo-res: acesa ou apagada.

Vamos chamar:

O ambiente de variável A

A lâmpada de saída S

A lógica é a seguinte: se for durante o dia,a lâmpada deve ficar apagada; se for durantea noite, a lâmpada deve ficar acesa.

Vamos definir:

A = 0 é noite

A = 1 é dia

S = 0 é lâmpada apagada

S = 1 é lâmpada acesa

A expressão booleana é:

S = A

Ou seja, uma simples porta inversora re-solve a situação.

Cópia

não a

uto

riza

da. Rese

rvados

todos

os

direitos

auto

rais.

Cópia não autorizada. Reservados todos os direitos autorais.

Cópia não autorizada. Reservados todos os direitos autorais.

Page 40: Eletrônica Digital - :: Instituto Monitormonitoronline.com.br/arquivosbd/...130_eletronica_digital.pdf · 130 ELETRÔNICA DIGITAL 4E Eletrônica Digital Cópia não autorizada. vados

Instituto Monitor

130/44

A Tabela da Verdade é:

Exemplo 2

Desejamos que o teto solar de nossa garagem fique aberto a maior parte do dia. Mas pode ser que chova! Então, se chover, queremos que o teto solar se feche automa-ticamente. Não é só isso. Desejamos que ele se feche também quando a noite chegar.

Variáveis: chuva e noite

Saída: teto solar

A variável chuva: pode ser 0 se estiver chovendo e 1 se não estiver chovendo.

A variável noite: pode ser 0 se for noite e 1 se for dia.

A saída teto solar: pode ser 1 se estiver aberto e 0 se estiver fechado.

Esta distribuição de 1 ou 0 para qual caso é você quem estipula. Uma vez determinado quem é o que, não se muda mais.

A lógica é a seguinte: se for dia e não chove, então a saída teto solar deve estar aberto. Qualquer outra combinação entre as variáveis resultará em teto solar fechado.

Chamemos as variáveis: chuva de A; noite de B e o teto solar de S (saída).

A expressão Booleana é:

S = A . B

O circuito lógico seria uma simples porta E.

Interpretando: a saída só vai a nível lógico 1 quando a variável A e a variável B estiverem em nível lógico 1 ao mesmo tempo.

Como tínhamos decidido anteriormente, para:

S = 1 é teto solar aberto

A = 1 é não chove

B = 1 é dia

A Tabela da Verdade ficaria:

AS Interpretação0 1 Énoite,portanto,lâmpadaacesa.

1 0 Édia,portanto,lâmpadaapagada.

ABS Interpretação0 00Tetosolarfechado,poischoveeénoite.

0 10Tetosolarfechado,poischoveeédia.

1 00Tetosolarfechado,poisnãochoveeénoite.

1 11Tetosolaraberto,poisédiaenãochove.

Cópia

não a

uto

riza

da. Rese

rvados

todos

os

direitos

auto

rais.

Cópia não autorizada. Reservados todos os direitos autorais.

Cópia não autorizada. Reservados todos os direitos autorais.

Page 41: Eletrônica Digital - :: Instituto Monitormonitoronline.com.br/arquivosbd/...130_eletronica_digital.pdf · 130 ELETRÔNICA DIGITAL 4E Eletrônica Digital Cópia não autorizada. vados

Instituto Monitor

130/45

Exemplo 3

Sejam três variáveis quaisquer que pos-sam assumir somente duas condições, 1 ou 0.Seja uma saída que dependa da combinaçãoentre essas variáveis e que também possaassumir somente duas condições, 1 ou 0.

O comportamento da saída em relação acada uma das combinações entre as variáveisé representado pela Tabela da Verdade.

Dizemos que a saída está ativa quandoestá em nível lógico 1 e dizemos que está de-sativada ou desabilitada quando está em ní-vel lógico 0.

Neste exemplo, vamos supor que as va-riáveis A, B e C representam qualquer coisaque possa assumir somente duas condições.A Tabela da Verdade nos foi passada por umcliente. Ele deseja que implementemos umcircuito lógico combinacional que cumpra aTabela da Verdade. Note que não nos inte-ressa saber o que são as variáveis A, B e C,somente a Tabela da Verdade.

Da Tabela escrevemos a expressão boole-ana para a saída considerando apenas as situa-ções em que a saída está ativa (nível lógico 1):

S = A . B . C + A . B . C + A . B . C + A . B . C

Interpretando: a saída S estará ativa quando:

• a variável A for zero, a variável B for zero ea variável C for um. Isto corresponde à pri-meira parcela; OU

• a variável A for um, a variável B for zero ea variável C for zero. Isto corresponde àsegunda parcela; OU

• a variável A for um, a variável B for zero ea variável C for um. Isto corresponde à ter-ceira parcela; OU

• a variável A for um, a variável B for um e avariável C for um. Isto corresponde à quartaparcela.

A partir da expressão booleana o circui-to desejado pelo cliente fica facilmente visí-vel. Trata-se de uma porta OU (OR) comquatro entradas, mais quatro portas E (AND)de três entradas cada uma, mais cinco portasINVERSORAS (INVERTER), uma para cadavariável barrada na parcela.

De posse destas portas lógicas, basta ligá-las conforme manda a expressão:

Pronto! Este é o circuito combinacionaldas variáveis de entrada A, B e C que con-trolam a saída S, seguindo a Tabela da Ver-dade dada.

A00001111

B00110011

C01010101

S01001101

A B C

S

Cópia

não a

uto

riza

da. Rese

rvados

todos

os

direitos

auto

rais.

Cópia não autorizada. Reservados todos os direitos autorais.

Cópia não autorizada. Reservados todos os direitos autorais.

Page 42: Eletrônica Digital - :: Instituto Monitormonitoronline.com.br/arquivosbd/...130_eletronica_digital.pdf · 130 ELETRÔNICA DIGITAL 4E Eletrônica Digital Cópia não autorizada. vados

Instituto Monitor

130/46

Exemplo 4

Sejam quatro variáveis quaisquer que possam assumir somen-te duas condições, 1 ou 0. Seja uma saída S que também possa as-sumir somente duas condições, 1 ou 0.

Um cliente propõe a seguinte Tabela da Verdade:

A expressão booleana para a saída será:

S = A.B.C.D + A.B.C.D + A.B.C.D +A.B.C.D + A.B.C.D + A.B.C.D + A.B.C.D

Interpretando: a saída S estará ativa quando:

• a variável A for zero, a variável B for um, a variável C for zero ea variável D for zero; OU

• a variável A for zero, a variável B for um, a variável C for zero ea variável D for um; OU

• a variável A for zero, a variável B for um, a variável C for um e avariável D for um; OU

• a variável A for um, a variável B for zero, a variável C for zero ea variável D for um; OU

• a variável A for um, a variável B for zero, a variável C for um e avariável D for zero; OU

• a variável A for um, a variável B for zero, a variável C for um e avariável D for um; OU

A0000000011111111

B0000111100001111

C0011001100110011

D0101010101010101

S0000110101110001

Cópia

não a

uto

riza

da. Rese

rvados

todos

os

direitos

auto

rais.

Cópia não autorizada. Reservados todos os direitos autorais.

Cópia não autorizada. Reservados todos os direitos autorais.

Page 43: Eletrônica Digital - :: Instituto Monitormonitoronline.com.br/arquivosbd/...130_eletronica_digital.pdf · 130 ELETRÔNICA DIGITAL 4E Eletrônica Digital Cópia não autorizada. vados

Instituto Monitor

130/47

• a variável A for um, a variável B for um,a variável C for um e avariável D for um.

A partir da expressão, fica fácil visualizar o circuito lógico.Ele é composto de:

• uma porta OU (OR) de 7 entradas (uma para cada parcela daexpressão booleana);

• 7 portas E (AND) de 4 entradas cada uma (uma para cada variá-vel);

• 11 portas INVERSORAS (INVERTER) (uma para cada variávelbarrada da parcela).

De posse destas portas lógicas, basta ligá-las conforme diz aexpressão:

Poderíamos seguir dando exemplos para 5 variáveis, 6 variá-veis, 7 variáveis, etc.

O procedimento é sempre o mesmo: analisa-se a situação a sercontrolada; anotam-se quantas variáveis estão envolvidas; monta-se uma Tabela da Verdade; escreve-se a expressão booleana e mon-ta-se o circuito lógico.

S

A B C D

Cópia

não a

uto

riza

da. Rese

rvados

todos

os

direitos

auto

rais.

Cópia não autorizada. Reservados todos os direitos autorais.

Cópia não autorizada. Reservados todos os direitos autorais.

Page 44: Eletrônica Digital - :: Instituto Monitormonitoronline.com.br/arquivosbd/...130_eletronica_digital.pdf · 130 ELETRÔNICA DIGITAL 4E Eletrônica Digital Cópia não autorizada. vados

Exercícios Propostos

130/48

1 - Num sistema com 5 variáveis, qual a quantidade de linhas da Tabela da Verdade?( ) a) 5( ) b)10( ) c) 16( ) d)32( ) e) 64

2 - Uma variável em lógica digital é definida como um evento que pode assumir:( ) a) somente dois estados, um oposto ao outro.( ) b) somente três estados, um oposto ao outro e outro igual.( ) c) somente um estado.( ) d) diversos estados, um oposto ao outro.( ) e) somente quatro estados.

3 - Monte a expressão booleana para o seguinte caso:

Chamaremos de A a variável umidade.

A = 0 é seco

A = 1 é molhado

Chamaremos de B a variável tempo.

B = 0 é dia

B = 1 é noite

Chamaremos de S a saída secador.

S = 0 é secador desligado

S = 1 é secador ligado

Cópia

não a

uto

riza

da. Rese

rvados

todos

os

direitos

auto

rais.

Cópia não autorizada. Reservados todos os direitos autorais.

Cópia não autorizada. Reservados todos os direitos autorais.

Page 45: Eletrônica Digital - :: Instituto Monitormonitoronline.com.br/arquivosbd/...130_eletronica_digital.pdf · 130 ELETRÔNICA DIGITAL 4E Eletrônica Digital Cópia não autorizada. vados

Instituto Monitor

130/49

A situação é a seguinte:Ligar o secador somente se for noite e estiver molhado.

4 - Monte a expressão booleana a partir da Tabela Verdade passada pelo cliente.

A0000000011111111

B0000111100001111

C0011001100110011

D0101010101010101

S0000110101110001

Cópia

não a

uto

riza

da. Rese

rvados

todos

os

direitos

auto

rais.

Cópia não autorizada. Reservados todos os direitos autorais.

Cópia não autorizada. Reservados todos os direitos autorais.

Page 46: Eletrônica Digital - :: Instituto Monitormonitoronline.com.br/arquivosbd/...130_eletronica_digital.pdf · 130 ELETRÔNICA DIGITAL 4E Eletrônica Digital Cópia não autorizada. vados

Instituto Monitor

130/50

5 - Para a expressão booleana S = A . B . C + A . B . C + A . B . C + A . B . C + A . B . C+ A . B . C:

( ) a) 1 Porta OU (OR) de 18 entradas, 12 Portas E (AND) de 3 entradase 8 INVERSORES (INVERTER).

( ) b)2 Portas OU (OR) de 5 entradas, 5 Portas E (AND) de 3 entradase 7 INVERSORES (INVERTER).

( ) c) 1 Porta OU (OR) de 5 entradas, 6 Portas E (AND) de 3 entradase 8 INVERSORES (INVERTER).

( ) d)1 Porta OU (OR) de 6 entradas, 6 Portas E (AND) de 3 entradase 8 INVERSORES (INVERTER).

( ) e) 6 Portas OU (OR) de 3 entradas, 1 Porta E (AND) de 6 entradase 8 INVERSORES (INVERTER).

Cópia

não a

uto

riza

da. Rese

rvados

todos

os

direitos

auto

rais.

Cópia não autorizada. Reservados todos os direitos autorais.

Cópia não autorizada. Reservados todos os direitos autorais.

Page 47: Eletrônica Digital - :: Instituto Monitormonitoronline.com.br/arquivosbd/...130_eletronica_digital.pdf · 130 ELETRÔNICA DIGITAL 4E Eletrônica Digital Cópia não autorizada. vados

Instituto Monitor

130/51

6 - Desenhe o circuito lógico para a seguinte expressão booleana:

S = A . B . C + A . B . C + A . B . C + A . B . C + A . B . C + A . B . C

Cópia

não a

uto

riza

da. Rese

rvados

todos

os

direitos

auto

rais.

Cópia não autorizada. Reservados todos os direitos autorais.

Cópia não autorizada. Reservados todos os direitos autorais.

Page 48: Eletrônica Digital - :: Instituto Monitormonitoronline.com.br/arquivosbd/...130_eletronica_digital.pdf · 130 ELETRÔNICA DIGITAL 4E Eletrônica Digital Cópia não autorizada. vados

5lição

lição

130/53

Localizaçãodas Portas Lógicas

Introdução

Nesta lição você irá conhecer algumasportas lógicas e seus circuitos integrados, suascaracterísticas e condições de operação. Co-nhecerá, ainda, as diversas famílias de portaslógicas, suas funções e limitações práticas.

1. Circuitos Integrados (CIs)com Portas Lógicas

Para formar circuitos combinacionaisprecisamos de portas lógicas. Mas onde es-tão estas portas lógicas? Em circuitos inte-grados. Vejamos algumas delas:

Família de Portas Lógicas TTL

Quad Two-Input NAND Gate

Hex Inverter

Quad Two-Input NOR Gate

Quad Two-Input AND Gate

Triple Three-Input NAND Gate

Dual Four-Input NAND Schmitt Trigger

Triple Three-Input AND Gate

Hex Inverter Schmitt Trigger

Dual Four-Input NAND Gate

14 13 12 11 10 9 8

1 2 3 4 5 6 7

Vcc

Gnd

7400

14 13 12 11 10 9 8

1 2 3 4 5 6 7

Vcc

Gnd

7408

14 13 12 11 10 9 8

1 2 3 4 5 6 7

Vcc

Gnd

7413

14 13 12 11 10 9 8

1 2 3 4 5 6 7

Vcc

Gnd

7414

14 13 12 11 10 9 8

1 2 3 4 5 6 7

Vcc

Gnd

7410

14 13 12 11 10 9 8

1 2 3 4 5 6 7

Vcc

Gnd

7402

14 13 12 11 10 9 8

1 2 3 4 5 6 7

Vcc

Gnd

7404

14 13 12 11 10 9 8

1 2 3 4 5 6 7

Vcc

Gnd

7411

14 13 12 11 10 9 8

1 2 3 4 5 6 7

Vcc

Gnd

7420

NC

NC

NC

NC

Cópia

não a

uto

riza

da. Rese

rvados

todos

os

direitos

auto

rais.

Cópia não autorizada. Reservados todos os direitos autorais.

Cópia não autorizada. Reservados todos os direitos autorais.

Page 49: Eletrônica Digital - :: Instituto Monitormonitoronline.com.br/arquivosbd/...130_eletronica_digital.pdf · 130 ELETRÔNICA DIGITAL 4E Eletrônica Digital Cópia não autorizada. vados

Instituto Monitor

130/54

Dual Four-Input AND Gate

Triple Three-Input NOR Gate

Dual Four-Input NOR Gate With Strobe

14 13 12 11 10 9 8

1 2 3 4 5 6 7

Vcc

Gnd

7421

14 13 12 11 10 9 8

1 2 3 4 5 6 7

Vcc

Gnd

7425

14 13 12 11 10 9 8

1 2 3 4 5 6 7

Vcc

Gnd

7427

NC

NC

Eight-Input NAND Gate

Quad Two-Input NAND Buffer

Quad Two-Input OR Gate

14 13 12 11 10 9 8

1 2 3 4 5 6 7

Vcc

Gnd

7430

14 13 12 11 10 9 8

1 2 3 4 5 6 7

Vcc

Gnd

7432

14 13 12 11 10 9 8

1 2 3 4 5 6 7

Vcc

Gnd

7437

NC NC NC

BCD to-7-Segment Decoder

1

2

3

4

5

6

7

8

16

15

14

13

12

11

10

9

B

C

LT

BI/RBO

RBI

D

A

Gnd

Vcc

f

g

a

b

c

d

e

7448

1

2

3

4

5

6

7

14

13

12

11

10

9

8

CLR1

D1

CK1

PRE1

Q1

Q1

Gnd

Vcc

CLR2

D2

CK2

7474

PRE2

Q2

Q2

Dual D-Type PositiveEdge-Triggered Flip-Flop

Quad 2-Input Exclusive OR Gate

14 13 12 11 10 9 8

1 2 3 4 5 6 7

Vcc

Gnd

7486

Dual JK Flip-Flop

1

2

3

4

5

6

7

8

16

15

14

13

12

11

10

9

CK1

PRE1

CLR1

J1

Vcc

CK2

PRE2

CLR2

K1

Q1

Q1

Gnd

K2

Q2

Q2

J2

7476

1

2

3

4

5

6

7

14

13

12

11

10

9

8

CP1

MR1

MR2

NC

Vcc

NC

Q0

Q3

7490

Gnd

Q1

Q2

Decade Counter

MR1

MR2

CP2

Família de Portas Lógicas TTL

Cópia

não a

uto

riza

da. Rese

rvados

todos

os

direitos

auto

rais.

Cópia não autorizada. Reservados todos os direitos autorais.

Cópia não autorizada. Reservados todos os direitos autorais.

Page 50: Eletrônica Digital - :: Instituto Monitormonitoronline.com.br/arquivosbd/...130_eletronica_digital.pdf · 130 ELETRÔNICA DIGITAL 4E Eletrônica Digital Cópia não autorizada. vados

Instituto Monitor

130/55

Quad Exclusive OR/NOR Gate

15 14 13 12 11 10 9

1 2 3 4 5 6 7

Vcc

Gnd

74135

Quad 3-State Buffers

14 13 12 11 10 9 8

1 2 3 4 5 6 7

Vcc

Gnd

74125

Família de Portas Lógicas TTL

1

2

3

4

5

6

7

14

13

12

11

10

9

8

CP1

NC

NC

NC

Vcc

NC

Q0

Q3

7492

Gnd

Q1

Q2

Decade Counter

MR1

MR2

CP0 1

2

3

4

5

6

7

14

13

12

11

10

9

8

DS

P0

P1

P2

P3

O0

O1

O2

7495

O3

CK1

CK2

4-Bit Shift-Register

S

Gnd

Vcc

Quad 3-State Buffers

14 13 12 11 10 9 8

1 2 3 4 5 6 7

Vcc

Gnd

74126

14 13 12 11 10 9 8

1 2 3 4 5 6 7

Vcc

Gnd

74132

QUAD 2-Input Schmitt Trigger NAND Gate

8

16

1 of 8 Decoder/Demultiplexer

1

2

3

4

5

6

7

8

16

15

14

13

12

11

10

9

A0

A1

A2

E1

Gnd

Vcc

O0

O1

O2

O3

O4

O5

O6

74138

E2

E3

O7

10-line-to-4-line and 8 line-3-linePriority Encoder

15 14 13 12 11 10 9

1 2 3 4 5 6 7

Vcc

Gnd

8

16

NC

74147D 3 2 1 9

4

B

A

C8765

Cópia

não a

uto

riza

da. Rese

rvados

todos

os

direitos

auto

rais.

Cópia não autorizada. Reservados todos os direitos autorais.

Cópia não autorizada. Reservados todos os direitos autorais.

Page 51: Eletrônica Digital - :: Instituto Monitormonitoronline.com.br/arquivosbd/...130_eletronica_digital.pdf · 130 ELETRÔNICA DIGITAL 4E Eletrônica Digital Cópia não autorizada. vados

Instituto Monitor

130/56

Família de Portas Lógicas TTL

8-Input Multiplexer

1

2

3

4

5

6

7

8

16

15

14

13

12

11

10

9

I3

I2

I1

I0

Gnd

Vcc

I4

I5

I6

I7

S0

S1

S2

74151

Z

Z

E

Quad D Flip-Flop

1

2

3

4

5

6

7

8

16

15

14

13

12

11

10

9

MR

O0

O0

D0

Gnd

Vcc

O3

O3

D3

D2

O2

O2

CK

74175

D1

O1

O1

Família de Portas Lógicas CMOS

Quad Two-Input NOR Gate

VDD

4001

VSS

Quad Two-Input NAND Gate

VDD

4011

VSS

Hex Inverter

VDD

4049

VSS

Quad Two-Input Exclusiv OR Gate

VDD

4070

VSS

Quad Two-Input OR Gate

VDD

4071

VSS

Quad Two-Input AND Gate

VDD

4081

VSS

Cópia

não a

uto

riza

da. Rese

rvados

todos

os

direitos

auto

rais.

Cópia não autorizada. Reservados todos os direitos autorais.

Cópia não autorizada. Reservados todos os direitos autorais.

Page 52: Eletrônica Digital - :: Instituto Monitormonitoronline.com.br/arquivosbd/...130_eletronica_digital.pdf · 130 ELETRÔNICA DIGITAL 4E Eletrônica Digital Cópia não autorizada. vados

Instituto Monitor

130/57

1.1 Limitações das Portas Lógicas

1ª) Na prática, em Digitais, não podemos deixar entradas em vazio.Isto significa que sempre devemos garantir um nível lógico àentrada de uma porta, seja um ou zero.

2ª) Teoricamente, cada saída de porta lógica pode se ligar a infini-tas entradas de outras portas lógicas. Na prática o que ocorre éque os componentes internos (transistores) não suportam for-necer tanta corrente elétrica, ficando sua conexão limitada aapenas 3 ou 4 outras entradas. Esta característica chama-se fan-out que é a capacidade de uma saída de porta se ligar a outrasentradas de portas. Por exemplo: uma saída de porta com fan-out = 3 pode ser conectada a, no máximo, 3 entradas de outrasportas lógicas.

3ª) Conforme a família de circuitos integrados lógicos com que setrabalha, os valores de tensão são bem específicos. A figura aseguir mostra as características de operação de uma porta lógicapertencente à família TTL. É importante observar a faixa não per-mitida e de indeterminação para as tensões que representam osníveis lógicos de entrada e saída; operando dentro destas faixas,não há garantia de operação segura.

NÍVEL LÓGICO

1

Faixa deindeterminação

Faixa deindeterminação

VOH(min)

VNH

VNL

VIH(min)

TENSÃO

Faixas de tensãona saída

Níveis de tensão naentrada

VOL(máx)

VIL(máx)

NÍVEL LÓGICO

0

NÍVEL LÓGICO

1

NÍVEL LÓGICO

0

Cópia

não a

uto

riza

da. Rese

rvados

todos

os

direitos

auto

rais.

Cópia não autorizada. Reservados todos os direitos autorais.

Cópia não autorizada. Reservados todos os direitos autorais.

Page 53: Eletrônica Digital - :: Instituto Monitormonitoronline.com.br/arquivosbd/...130_eletronica_digital.pdf · 130 ELETRÔNICA DIGITAL 4E Eletrônica Digital Cópia não autorizada. vados

Instituto Monitor

130/58

VCC : tensão de alimentação.VIH : tensão mínima que garante nível 1 na entrada.VIL : tensão máxima que garante nível 0 na entrada.VIND : Nível de tensão indeterminado (valor não permitido).VOH : tensão mínima que garante nível 1 na saída.VOL : tensão máxima que garante nível 0 na saída.IIH : corrente de entrada correspondente ao nível alto.IIL : corrente de entrada correspondente ao nível baixo.IOH : corrente de saída correspondente ao nível alto.IOL : corrente de saída correspondente ao nível baixo

Família TTL ACCondições de Operação Recomendada

5V

4,44

3,5

2,5

1,5

0,5

0

VCC

VOH

5V CMOSRail-to-Rail 5VHC, AHC, AC

VIH

VIND

VIL

VOL

GND

5V

2,4

1,4

0,4

0

VCC

VOH

VOL

GND

1,51,6

VIND

VIL

VIH

1,5

5V

2,4

0,4

0

VCC

VOH

VOL

GND

2,0

VIND

VIL

VIH

0,8

1,5

2,4

0,4

0

VOH

VOL

GND

2,0

VIND

VIL

VIH

0,8

3,3V VCC

1,2

0,20 GND

1,7

VOH

0,7

2,5VVCC

2,3

ETLLarger Noise

Margins ABTE

5VTTLStandard TLLABT, AHCT,

HCT

LVTTLLVT, LVC,

ALVC, LV, ALVT

2,5V CMOSALVC, ALVT

Comparando os Níveis de Tensão de Chaveamento

SN54ACCT00 SN74ACT00

MÍN MÁX MÍN MÁXUNIT

VCC Supply voltage 4,5 5,5 4,5 5,5 V

VIH High-level input voltage 2 2 V

VIL Low-level input 0,8 0,8 V

VI Input voltage 0 VCC 0 VCC V

VO Output voltage 0 VCC 0 VCC V

IOH High-level output current -24 -24 mA

IOL Low-level or current 24 24 mA

∆t/∆v Input transition rise our fall rate 0 8 0 8 ns/V

TA Operating free-air temperature -55 125 -40 85 oC

VIH

VIND

VIL

VOL

Cópia

não a

uto

riza

da. Rese

rvados

todos

os

direitos

auto

rais.

Cópia não autorizada. Reservados todos os direitos autorais.

Cópia não autorizada. Reservados todos os direitos autorais.

Page 54: Eletrônica Digital - :: Instituto Monitormonitoronline.com.br/arquivosbd/...130_eletronica_digital.pdf · 130 ELETRÔNICA DIGITAL 4E Eletrônica Digital Cópia não autorizada. vados

Instituto Monitor

130/59

Observe nota do fabricante: pinos de entrada não utilizados de-vem ser colocados em nível alto (high) ou baixo (low) para preveni-los de flutuação (isto é, incerteza entre nível lógico um ou zero).

As especificações do quadro referem-se às características comCIs operando em coletor fechado (toten-pole). A família TTL pos-sui blocos lógicos com construção em coletor aberto (open collec-tor), que são semelhantes aos blocos que operam em coletor fechado(totem-pole), com a única diferença de não terem o resistor de co-letor quando ligados ao +VCC (resistor de pull-up).

Observe também que nos CIs da família CMOS, VDD equivaleao Vcc do TTL e VSS equivale ao GND.

Família CMOS

Símbolo Parâmetro Condições-55oC +125oC+25oC

UnitsMín Máx Mín MáxTyp Mín Máx

Quiescent Device

Current

Low Level

Output Voltage

High Level

Output Voltage

Low Level

Input Voltage

High Level

Input Voltage

Low Level Output

Current

(Note 3)

High Level Output

Current

(Note 3)

Input Current

IDD

VOL

VOH

VIL

VIH

IOL

IOH

IIN

VDD = 5V, VIN = VDD or VSS

VDD = 10V, VIN = VDD or VSS

VDD = 15V, VIN = VDD or VSS

VDD

= 5V

VDD

= 10V O < 1 µA

VDD

= 15V

VDD

= 5V

VDD

= 10V O < 1 µA

VDD

= 15V

VDD = 5V, VO = 4.5V

VDD = 10V, VO = 9.0V

VDD = 15V, VO = 13.5V

VDD

= 5V, VO = 0.5V

VDD

= 10V, VO = 1.0V

VDD

= 15V, VO = 1.5V

VDD = 5V, VO = 0.4V

VDD = 10V, VO = 0.5V

VDD = 15V, VO = 1.5V

VDD

= 5V, VO = 4.6V

VDD

= 10V, VO = 9.5V

VDD

= 15V, VO = 13.5V

VDD

= 5V, VIN

= 0V

VDD

= 10V, VIN

= 15V

0.25

0.50

1.0

0.05

0.05

0.05

1.5

3.0

4.0

4.95

9.95

14.95

4.95

9.95

14.95

3.5

7.0

11.0

0.64

1.6

4.2

- 0.64

- 1.6

- 4.2

- 0.10

0.10

0.25

0.50

1.0

0.05

0.05

0.05

4.95

9.95

14.95

3.5

7.0

11.0

3.5

7.0

11.0

7.5

15

30

0.05

0.05

0.05

0.004

0.005

0.005

0

0

0

5

10

15

2

4

6

3

6

9

0.88

2.25

8.8

- 0.88

- 2.25

- 8.8

- 0.51

- 1.3

- 3.4

- 10 -5

10 -5

- 0.10

0.10

- 1.0

1.0

1.5

3.0

4.0

1.5

3.0

4.0

0.36

0.9

2.4

- 0.36

- 0.9

- 2.4

0.51

1.3

3.4

µA

µA

µA

V

V

V

V

V

V

V

V

V

V

V

V

m A

m A

m A

m A

m A

m A

µA

µA

Características em Corrente Contínua (CD4001BM, CD4011BM)

Cópia

não a

uto

riza

da. Rese

rvados

todos

os

direitos

auto

rais.

Cópia não autorizada. Reservados todos os direitos autorais.

Cópia não autorizada. Reservados todos os direitos autorais.

Page 55: Eletrônica Digital - :: Instituto Monitormonitoronline.com.br/arquivosbd/...130_eletronica_digital.pdf · 130 ELETRÔNICA DIGITAL 4E Eletrônica Digital Cópia não autorizada. vados

Instituto Monitor

130/60

O fan-out é também denominado fator decarga, sendo definido como o número máxi-mo de entradas de circuitos lógicos que umasaída pode alimentar de maneira confiável.Por exemplo, uma porta lógica com fan-outde 10, pode alimentar até 10 entradas lógicaspadrão. Fan-out: 10 (típico).

2. Famílias de CircuitosIntegrados Lógicos

2.1 Família TTL (Transistor Transistor Logic)

No princípio, o Transistor Bipolar de Jun-ção (TBJ) era a única opção para fabricar cir-cuitos integrados lógicos. Surgiu então a Fa-mília TTL (Transistor Transistor Logic).Estes Circuitos Integrados foram padroniza-dos para trabalhar com tensão de alimenta-ção de +5 volts e interpretar que nível lógicozero é 0 volt (ou GND) e que nível lógico um é+5 volts. A tolerância destes componentes erade +/- 10%. Estes CIs consomem muita ener-gia, esquentam muito, são sensíveis à altera-ção da tensão de alimentação. Outra carac-terística é a configuração das saídas no quediz respeito à parte eletrônica.

2.1.1 Toten-Pole

A figura a seguir mostra como é a saídade uma porta em toten-pole (coletor fechado).

Sempre um dos transistores estará saturado.Isto faz com que a saída apresente tensão de+5 volts, sendo reconhecida a saída com nívellógico um e capaz de fornecer corrente desaída da ordem de alguns miliampères (mA).Quando a situação se inverte, teremos umtransistor saturado com o emissor aterrado,capaz de receber corrente externa da ordemde alguns miliampères.

Totem-Pole

Este é o tipo de saída mais comumenteencontrado, porém também contamos com assaídas em open-collect

Versão Família TTLIdentificação

da série

Tempo de atrasode propagaçãopor porta (ns)

ObservaçõesConsumo de

potência por porta(mW)

Standard 54 / 74 10 10 comum

Low power 54L / 74L 33 1 baixíssimoconsumo

High speed 54H / 74H 6 22 alta velocidade

Schottky 54S / 74S 3 20 altíssimavelocidade

Low Power Schottky 54LS / 74LS 10 2 baixíssimoconsumo

Vcc+5V

saída

gnd

Obs.: a linha 54XX é de uso militar, com limites de tensão, corrente e temperatura mais rígidos.A linha 74XX é de uso geral civil.

Cópia

não a

uto

riza

da. Rese

rvados

todos

os

direitos

auto

rais.

Cópia não autorizada. Reservados todos os direitos autorais.

Cópia não autorizada. Reservados todos os direitos autorais.

Page 56: Eletrônica Digital - :: Instituto Monitormonitoronline.com.br/arquivosbd/...130_eletronica_digital.pdf · 130 ELETRÔNICA DIGITAL 4E Eletrônica Digital Cópia não autorizada. vados

Instituto Monitor

130/61

2.1.2 Open-Collector

A figura a seguir mostra a saída com umcoletor de transistor sem conexão alguma,chamado coletor aberto (open-collector).Este tipo de saída pede que coloquemos ex-ternamente ao CI um resistor de pull-up.

O resistor de pull-up é colocado entre o +5V e a saída da porta. Sua função é fornecer umcaminho para a corrente elétrica. Quando otransistor está cortado, não flui corrente en-tre coletor e emissor. Assim, no pino de saída,teremos a tensão de +5 V, que é interpretadacomo nível lógico 1. Quando o transistor estásaturado, a corrente flui entre coletor e emis-sor limitada pelo resistor de pull-up, e a ten-são medida na saída é aproximadamente 0,3volts, que corresponde a um VCE saturado e éinterpretada como nível lógico 0.

No mercado é conhecida como a Família74XX. Esta família evoluiu e agregou melho-ramentos, surgindo as sub-famílias 74LXX,74LSXX, 74HXX e 74SXX.

2.2 Família CMOS

Os avanços dos semicondutores desenca-dearam uma nova técnica na fabricação detransistores, os Transistores de Efeito deCampo (FET- Field Effect Transistor). Os CIsde portas lógicas fabricados com estes tran-sistores permitem uma gama de tensões dealimentação, indo de +3 V até +15 V. Seu con-sumo de energia é extremamente reduzido,aquecem pouco ou nada e são baratos.

Antigamente, eram sensíveis a qualquerdescarga elétrica e queimavam ao simples to-que das mãos. Atualmente já vêm com prote-ção de diodos contra descargas elétricas etornaram-se confiáveis. Constituem a basedos modernos microprocessadores Intel, Mo-torola, Zilog, National, etc.

No mercado a família destes CIs é conhe-cida como 40XX.

As informações sobre estes CIs são forne-cidas pelos seus fabricantes através dos databooks, que são livros de dados ou livros de in-formações. Neles encontramos todos os deta-lhes elétricos, informações sobre como ligá-los,quais os limites de tensão, corrente e tempe-ratura. Qual o invólucro, pinagem, etc.

Com a Internet você acessa facilmenteestas informações através dos sites dos fa-bricantes: http://www.ti.com (Texas Instru-ments) e http://www.national.com (NationalInstruments Semiconductors).

Por fim, vale lembrar que a pinagem deum CI qualquer segue um padrão:

• Marca de referência apontada para a es-querda.

• O pino inferior esquerdo é o pino de número 1.

Vcc+5V

Resistor dePull-up

Open-Collector

gnd

Saída

Cópia

não a

uto

riza

da. Rese

rvados

todos

os

direitos

auto

rais.

Cópia não autorizada. Reservados todos os direitos autorais.

Cópia não autorizada. Reservados todos os direitos autorais.

Page 57: Eletrônica Digital - :: Instituto Monitormonitoronline.com.br/arquivosbd/...130_eletronica_digital.pdf · 130 ELETRÔNICA DIGITAL 4E Eletrônica Digital Cópia não autorizada. vados

Instituto Monitor

130/62

16 15 14 13 12 11 10 9

1 2 3 4 5 6 7 8

14 13 12 11 10 9 8

1 2 3 4 5 6 7

8 7 6 5

1 2 3 4

• Seguindo em sentido anti-horário, temos a ordem crescente danumeração dos pinos.

Veja a figura:

Cópia

não a

uto

riza

da. Rese

rvados

todos

os

direitos

auto

rais.

Cópia não autorizada. Reservados todos os direitos autorais.

Cópia não autorizada. Reservados todos os direitos autorais.

Page 58: Eletrônica Digital - :: Instituto Monitormonitoronline.com.br/arquivosbd/...130_eletronica_digital.pdf · 130 ELETRÔNICA DIGITAL 4E Eletrônica Digital Cópia não autorizada. vados

Exercícios Propostos

130/63

1 - Onde encontramos as portas lógicas?( ) a) Em lojas de material para construção.( ) b)Nos espaços lógicos.( ) c) Em circuitos integrados.( ) d)Em transistores.( ) e) Nenhuma das alternativas anteriores.

2 - Os tipos de tecnologia empregados na fabricação de portas lógicas são:( ) a) TTL (Transistor Transistor Logic) e CMAIS.( ) b)TTL (Transistor Transistor Logic) e CMENOS.( ) c) TTL (Transistor Transistor Logic) e CMOS.( ) d)TTL (Transistor Transistor Logic) e TTL (Transistor Transistor Logic).( ) e) TTL (Transistor Transistor Logic) e SEMOS.

3 - Por que não podemos deixar entradas de portas lógicas digitais sem conexão?( ) a) Para não nivelar a entrada com a saída.( ) b)Para garantir um indeterminado nível lógico para elas.( ) c) Para que não haja uma interpretação errada do nível lógico de entrada.( ) d)Para que não haja uma interpretação correta do nível lógico de saída.( ) e) Nenhuma das alternativas anteriores.

4 - Numere os pinos dos CIs dados:

Cópia

não a

uto

riza

da. Rese

rvados

todos

os

direitos

auto

rais.

Cópia não autorizada. Reservados todos os direitos autorais.

Cópia não autorizada. Reservados todos os direitos autorais.

Page 59: Eletrônica Digital - :: Instituto Monitormonitoronline.com.br/arquivosbd/...130_eletronica_digital.pdf · 130 ELETRÔNICA DIGITAL 4E Eletrônica Digital Cópia não autorizada. vados

Instituto Monitor

130/64

5 - Qual é a diferença de tensão de alimentação para os CIs TTL e CMOS?______________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________

6 - O que é um data book?______________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________

7 - Qual é a diferença entre os CIs da Família 54XX e 74XX?______________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________

Cópia

não a

uto

riza

da. Rese

rvados

todos

os

direitos

auto

rais.

Cópia não autorizada. Reservados todos os direitos autorais.

Cópia não autorizada. Reservados todos os direitos autorais.

Page 60: Eletrônica Digital - :: Instituto Monitormonitoronline.com.br/arquivosbd/...130_eletronica_digital.pdf · 130 ELETRÔNICA DIGITAL 4E Eletrônica Digital Cópia não autorizada. vados

6lição

lição

130/65

Álgebra de Boole eMinimização de CircuitosLógicos Combinacionais

Introdução

Já sabemos expressar uma situação lógi-ca entre variáveis para uma única saída, atra-vés da Tabela da Verdade.

Já sabemos desenhar o circuito combi-nacional entre portas lógicas através das ex-pressões booleanas que vieram das Tabelasda Verdade.

Já sabemos onde estão estas portas lógi-cas para que possamos montar um circuitológico eletrônico digital.

Progredindo, a pergunta é: será possívelfazer um circuito lógico que utilize menosportas lógicas para a mesma Tabela da Ver-dade? A resposta é: algumas vezes sim! Istose chama minimizar (reduzir) o circuito lógi-co. O resultado desse procedimento chama-se Circuito Equivalente Minimizado.

Nesta lição vamos apresentar as técnicaspara minimizar os circuitos lógicos a fim detorná-los mais simples e operacionais.

1. Circuito Minimizado

Chamamos de Circuito Minimizado aque-le circuito que cumpre a mesma Tabela daVerdade que outro, de forma que não sejapossível reduzi-lo ainda mais.

Para descobrir se um circuito pode serminimizado, utilizaremos algumas técnicas:

1ª)Álgebra de Boole: trabalhando matemati-camente com as expressões booleanas,tentaremos reduzi-las e, portanto, redu-zir o circuito lógico final.

2ª)Diagramas de Veitch e Mapas de Karnau-gh: quando trabalhar com equações mate-máticas torna-se difícil, uma solução podeser utilizar mapas e tabelas na minimiza-ção da expressão booleana e, portanto, docircuito lógico final.

3ª)Circuitos Multiplexadores: quando umasolução simples e econômica é necessá-ria, dispensamos a expressão booleana etrabalhamos apenas com a Tabela da Ver-dade.

Cada uma destas técnicas têm sua apli-cação na Eletrônica Digital, mas nenhuma de-las é definitiva a ponto de dispensar as outras.Comecemos, nesta lição, com a Álgebra deBoole.

2. Postulados da Álgebra de Boole

Boole, matemático inglês, escreveu 10postulados (proposições) que, se aplicadosàs expressões booleanas, podem reduzi-las.

Cópia

não a

uto

riza

da. Rese

rvados

todos

os

direitos

auto

rais.

Cópia não autorizada. Reservados todos os direitos autorais.

Cópia não autorizada. Reservados todos os direitos autorais.

Page 61: Eletrônica Digital - :: Instituto Monitormonitoronline.com.br/arquivosbd/...130_eletronica_digital.pdf · 130 ELETRÔNICA DIGITAL 4E Eletrônica Digital Cópia não autorizada. vados

Instituto Monitor

130/66

LEI EXPRESSÃO DEMONSTRAÇÃO

Associativa

Comutativa

Identidade

Idempotente

Complementação

S = A . B . C

S = A + B + C

S = A . B

S = A + B

A = B = C

A = A . A . A . A

A = A + A + A

S = A . A = 0

S = A + A = 1

S = A . 1 = A

S = A . 0 = 0

S = A + 1 = 1

Constante

S = A + 0 = A

S = A . (B . C) = (A . B) . C = B .(A . C)

S = A + (B + C) = (A + B) + C = B + (A + C)

S = B . A

S = B + A

Se A = B e B = C, então A = C

A = A

A = A

Supondo A = 1, então: S = 1 . 0 = 0

Supondo A = 0, então: S = 0 . 1 = 0

Supondo A = 1, então: S = 1 + 0 = 1

Supondo A = 0, então: S = 0 + 1 = 1

Supondo A = 1, então: S = 1 . 1 = 1 = A

Supondo A = 0, então: S = 0 . 1 = 0 = A

Supondo A = 1, então: S = 1 . 0 = 0

Supondo A = 0, então: S = 0 . 0 = 0

Supondo A = 1, então: S = 1 + 1 = 1

Supondo A = 0, então: S = 0 + 1 = 1

Supondo A = 1, então: S = 1 + 0 = 1 = A

Supondo A = 0, então: S = 0 + 0 = 0 = A

Dupla Negação

Distributiva

Absorção

Dualidade(Teorema de De Morgan)

Supondo A = 1, então: S = 1Supondo A = 0, então: S = 0

S = A

semelhante à matemáticaS = A . (A + B) = (A . A) + (A . B)

S = A . (A + B) = (A . A) + (A . B),

portanto: S = A + (A . B) = ASupondo A = 1, então: S = 1 = ASupondo A = 0, então: S = 0 = Aindependentemente do valor de B

S = A . B = A + B

S = A . (A + B) = A

S = A + B = A . B

S = A . B = A . B = A + B

S = A + B = A + B = A . B

POSTULADO

10º

Cópia

não a

uto

riza

da. Rese

rvados

todos

os

direitos

auto

rais.

Cópia não autorizada. Reservados todos os direitos autorais.

Cópia não autorizada. Reservados todos os direitos autorais.

Page 62: Eletrônica Digital - :: Instituto Monitormonitoronline.com.br/arquivosbd/...130_eletronica_digital.pdf · 130 ELETRÔNICA DIGITAL 4E Eletrônica Digital Cópia não autorizada. vados

Instituto Monitor

130/67

2.1 Aplicação Prática

Aplicando a Álgebra de Boole na minimização de circuitos ló-gicos temos:

Dada a Tabela da Verdade

A expressão booleana será:

S = A . B . C + A . B . C + A . B . C + A . B . C + A . B . C

Aplicando a Lei da Distribuição, isolamos a variável C (colo-carmos em evidência):

S = A . B . C + C . ( A . B + A . B + A . B + A . B )

Podemos escrever então que:

S = A . B . C + C . [ A (B + B) + A (B + B)]S = A . B . C + C . [ A + A]

Aplicando a Lei da Complementação dentro dos parênteses, oresultado será 1 para qualquer valor de A e B.

S = A . B . C + C

Esta é a expressão booleana minimizada. Ela responde à mesmaTabela da Verdade e, assim, a um circuito lógico minimizado com umnúmero menor de portas lógicas. Comparando os resultados, verificamosa validade da Álgebra de Boole na minimização de circuitos lógicos.

A00001111

B00110011

C01010101

S01110101

S

A B C

CIRCUITO MINIMIZADO

S = A . B . C + C

A B C

S

CIRCUITO NORMAL

S = A . B . C + A . B . C + A . B . C + A . B . C + A . B . C

Cópia

não a

uto

riza

da. Rese

rvados

todos

os

direitos

auto

rais.

Cópia não autorizada. Reservados todos os direitos autorais.

Cópia não autorizada. Reservados todos os direitos autorais.

Page 63: Eletrônica Digital - :: Instituto Monitormonitoronline.com.br/arquivosbd/...130_eletronica_digital.pdf · 130 ELETRÔNICA DIGITAL 4E Eletrônica Digital Cópia não autorizada. vados

Instituto Monitor

130/68

Por este exemplo você talvez tenha sentido uma certa dificul-dade em visualizar as aplicações das Leis de Boole, mas garanti-mos que com o tempo e sucessivos exercícios você adquirirá umdomínio e facilidade de minimização de expressões booleanas. Tudona vida é assim! Quanto mais você repete, mais se exercita em algo,mais rápido e melhor consegue fazer o que pretende.

Porém, para um mundo globalizado, onde a rapidez é indis-pensável, a utilização de outros meios e técnicas para atingir omesmo objetivo é mais do que válida.

Aprenderemos como minimizar circuitos lógicos aplicando osMapas de Karnaugh, na próxima lição.

Cópia

não a

uto

riza

da. Rese

rvados

todos

os

direitos

auto

rais.

Cópia não autorizada. Reservados todos os direitos autorais.

Cópia não autorizada. Reservados todos os direitos autorais.

Page 64: Eletrônica Digital - :: Instituto Monitormonitoronline.com.br/arquivosbd/...130_eletronica_digital.pdf · 130 ELETRÔNICA DIGITAL 4E Eletrônica Digital Cópia não autorizada. vados

Exercícios Propostos

130/69

1 - Minimize as expressões booleanas abaixo:

a) S = A + A

b) S = A . B + A . B

c) S = A . B . C + A . B . C

d) S = A . B . C . D + A . C . D . B + A . B . C . D

e) S = A . (A + B) + A . (A + B)Cópia

não a

uto

riza

da. Rese

rvados

todos

os

direitos

auto

rais.

Cópia não autorizada. Reservados todos os direitos autorais.

Cópia não autorizada. Reservados todos os direitos autorais.

Page 65: Eletrônica Digital - :: Instituto Monitormonitoronline.com.br/arquivosbd/...130_eletronica_digital.pdf · 130 ELETRÔNICA DIGITAL 4E Eletrônica Digital Cópia não autorizada. vados

7lição

lição

130/71

Mapas de Karnaugh

Introdução

Os mapas de Karnaugh são “tabelas” com-postas de linhas e colunas. A união de uma li-nha com uma coluna forma uma célula, e cadacélula representa uma das combinações entreas variáveis. Assim, o Mapa de Karnaugh serátão grande quanto for o número de combina-ções possíveis entre as variáveis.

1. Conceito

Os Mapas de Karnaugh foram idealiza-dos a partir das Lei da Absorção e Comple-mento de Boole. Portanto, ainda estamosutilizando os postulados de Boole, só que deforma gráfica.

Exemplo

O cálculo das combinações possíveis entrevariáveis é:

2variáveis = combinações possíveis

• Quando temos uma variável só (A): 21 = 2teremos duas células no mapa. Uma repre-sentará a variável em nível lógico zero e aoutra a variável em nível lógico um.

• Quando tivermos 2 variáveis (A e B):

22 = 4 células.

• Quando tivermos 3 variáveis (A, B e C):

23 = 8 células.

A A

A A

B

A

B

B

Diagrama de1 variável

Diagrama de2 variáveis

Diagrama de3 variáveis

A A

A . B A . B

A . B

A . B . C A . B . C A . B . C A . B . C

A . B . C A . B . C A . B . C A . B . C

C C C

A

B

A . B

Assim:

Cópia

não a

uto

riza

da. Rese

rvados

todos

os

direitos

auto

rais.

Cópia não autorizada. Reservados todos os direitos autorais.

Cópia não autorizada. Reservados todos os direitos autorais.

Page 66: Eletrônica Digital - :: Instituto Monitormonitoronline.com.br/arquivosbd/...130_eletronica_digital.pdf · 130 ELETRÔNICA DIGITAL 4E Eletrônica Digital Cópia não autorizada. vados

Instituto Monitor

130/72

Note que o diagrama é dividido em partes iguais para cada va-riável e para cada condição de variável. Em cada célula você en-contra a combinação entre as variáveis. Até quatro variáveis (A, B,C e D), os mapas de Karnaugh são bem utilizados.

Acima de quatro variáveis os mapas de Karnaugh se tornamtão incômodos quanto as expressões booleanas, sendo pouco utili-zados.

A A

B

B

C C C

D

D

D

A . B . C . D A . B . C . D A . B . C . D A . B . C . D

A . B . C . D A . B . C . D A . B . C . D A . B . C . D

A . B . C . D A . B . C . D A . B . C . D A . B . C . D

A . B . C . D A . B . C . D A . B . C . D A . B . C . D

A . B . C . D A . B . C . D A . B . C . D A . B . C . D

A . B . C . D A . B . C . D A . B . C . D A . B . C . D

A . B . C . D A . B . C . D A . B . C . D A . B . C . D

A . B . C . D A . B . C . D A . B . C . D A . B . C . D

A . B . C . D A . B . C . D A . B . C . D A . B . C . D

A . B . C . D A . B . C . D A . B . C . D A . B . C . D

A . B . C . D A . B . C . D A . B . C . D A . B . C . D

A . B . C . D A . B . C . D A . B . C . D A . B . C . D

A A

C C C

B

B

D

D

D

E E A A

C C C

B

B

D

D

D

Diagrama para 5 variáveis (A, B, C, D e E)

Cópia

não a

uto

riza

da. Rese

rvados

todos

os

direitos

auto

rais.

Cópia não autorizada. Reservados todos os direitos autorais.

Cópia não autorizada. Reservados todos os direitos autorais.

Page 67: Eletrônica Digital - :: Instituto Monitormonitoronline.com.br/arquivosbd/...130_eletronica_digital.pdf · 130 ELETRÔNICA DIGITAL 4E Eletrônica Digital Cópia não autorizada. vados

Instituto Monitor

130/73

A . B . C . D A . B . C . D A . B . C . D A . B . C . D

A . B . C . D A . B . C . D A . B . C . D A . B . C . D

A . B . C . D A . B . C . D A . B . C . D A . B . C . D

A . B . C . D A . B . C . D A . B . C . D A . B . C . D

A . B . C . D A . B . C . D A . B . C . D A . B . C . D

A . B . C . D A . B . C . D A . B . C . D A . B . C . D

A . B . C . D A . B . C . D A . B . C . D A . B . C . D

A . B . C . D A . B . C . D A . B . C . D A . B . C . D

A . B . C . D A . B . C . D A . B . C . D A . B . C . D

A . B . C . D A . B . C . D A . B . C . D A . B . C . D

A . B . C . D A . B . C . D A . B . C . D A . B . C . D

A . B . C . D A . B . C . D A . B . C . D A . B . C . D

A . B . C . D A . B . C . D A . B . C . D A . B . C . D

A . B . C . D A . B . C . D A . B . C . D A . B . C . D

A . B . C . D A . B . C . D A . B . C . D A . B . C . D

A . B . C . D A . B . C . D A . B . C . D A . B . C . D

F

F

A A

B

B

C C C

D

D

D

A A

B

B

C C C

D

D

D

A A

B

B

C C C

D

D

D

A A

B

B

C C C

D

D

D

E E

E E

2. Utilizando os Mapas de Karnaugh

Cada célula representa uma combinação possí-vel entre variáveis, bastando ver a intersecção entreas variáveis. Assim, colocamos um número 1 na célu-la correspondente à parcela da expressão booleanaou à Tabela da Verdade cuja saída seja 1.

Lembramos que só nos interessa quando a saídaestá ativa, portanto saída em nível lógico 1.

2.1 Da Tabela da Verdadepara os Mapas de Karnaugh

Peguemos o exemplo dado anteriormente, com aTabela da Verdade a seguir:

Diagrama para 6 variáveis (A, B, C, D, E e F)

A00001111

B00110011

C01010101

S01110101

Tabela da verdade

Cópia

não a

uto

riza

da. Rese

rvados

todos

os

direitos

auto

rais.

Cópia não autorizada. Reservados todos os direitos autorais.

Cópia não autorizada. Reservados todos os direitos autorais.

Page 68: Eletrônica Digital - :: Instituto Monitormonitoronline.com.br/arquivosbd/...130_eletronica_digital.pdf · 130 ELETRÔNICA DIGITAL 4E Eletrônica Digital Cópia não autorizada. vados

Instituto Monitor

130/74

O Mapa de Karnaugh é para 3 variáveis (A, B e C).

Teremos que colocar cada saída em nível lógico 1 no mapa deKarnaugh, basta encontrar a célula correspondente, lembrando quequando a variável aparecer com valor 0, chamamos de barrado, equando a variável aparecer com valor 1, chamamos de variável sembarra. Assim:

A saída está ativa quando:

• A for barrado, B for barrado e C for sem barra; OU

• A for barrado, B for sem barra e C for barrado; OU

• A for barrado, B for sem barra e C for sem barra; OU

• A for sem barra, B for barrado e C for sem barra; OU

• A for sem barra, B for sem barra e C for sem barra.

Note cinco saídas iguais a nível lógico 1 na tabela e cinco nú-meros 1 no Mapa de Karnaugh:

Cada número 1 no mapa ou na tabela significa que a saídaestá ativa e podemos observar a situação de cada variável paraesta saída.

A . B . C A . B . C A . B . C A . B . C

A . B . C A . B . C A . B . C A . B . C

A A

B

B

C C C

A . B . C A . B . C A . B . C A . B . C

A . B . C A . B . C A . B . C A . B . C

A A

B

B

C C C

1 1

11 1Cópia

não a

uto

riza

da. Rese

rvados

todos

os

direitos

auto

rais.

Cópia não autorizada. Reservados todos os direitos autorais.

Cópia não autorizada. Reservados todos os direitos autorais.

Page 69: Eletrônica Digital - :: Instituto Monitormonitoronline.com.br/arquivosbd/...130_eletronica_digital.pdf · 130 ELETRÔNICA DIGITAL 4E Eletrônica Digital Cópia não autorizada. vados

Instituto Monitor

130/75

AA AA AA

AA AA AA AA AA

AA AA

B

B

B

B

B

B

B

B

B

B

B

B

B

B

B

B

B

B

B

B

1 1

1 1

1 1

1 1 1

1

1

1

111

1

1

1

1 11

1

1

1

Agrupamentos Internos

Agrupamentos ao redor

2.2 Agrupamentos e Considerações

Nos Mapas de Karnaugh, tentaremos agrupar os números 1 emlaços internos ou ao redor.

Um laço deve envolver os números 1 em linha, coluna ou blocofechado. Só são permitidos agrupamentos de 1, 2, 4, 8, 16, 32, 64,etc.

Sempre tentaremos o maior agrupamento primeiro, pois issorenderá uma maior minimização. Assim, se tivermos 4 variáveis,tentaremos agrupar 16.

Se não for possível, tentaremos 8, que é o próximo maior nú-mero na seqüência.

Se não for possível, tentaremos 4, que é o próximo maior nú-mero na seqüência.

Se não for possível, tentaremos 2, que é o próximo maior nú-mero na seqüência.

Se não for possível, então será considerado isolado, entrandonas considerações.

Exemplos de agrupamentos equivalentes internos e ao redorpara Mapas de Karnaugh de 2 variáveis.

Laços

Cópia

não a

uto

riza

da. Rese

rvados

todos

os

direitos

auto

rais.

Cópia não autorizada. Reservados todos os direitos autorais.

Cópia não autorizada. Reservados todos os direitos autorais.

Page 70: Eletrônica Digital - :: Instituto Monitormonitoronline.com.br/arquivosbd/...130_eletronica_digital.pdf · 130 ELETRÔNICA DIGITAL 4E Eletrônica Digital Cópia não autorizada. vados

Instituto Monitor

130/76

1

1

1

1

1 1

1 11

A A

B

B

C C C

A A

B

B

C C C

Agrupamento de 4,só possível AO REDOR.

Esta distribuição de 1 pelo Mapa de Karnaugh não permiteagrupamento de 8, nem agrupamento de 4. Três agrupamentosde 2 e um isolado é a única opção.

1 1 1 1

1 1 1 1

1 1 1 1

1 1 1 1

1 1 1 1

1 1 1 1

1 1

1 1

1 1

1 1

1 1

1 1

1 1

1 1

A A

B

B

C C C

A A

B

B

C C C

A AB

B

C C C

A A

B

B

C C C

A A

B

B

C C C

A AB

B

C C C

A A

B

B

C C C

Agrupamento de 8 INTERNO e seus equivalentes AO REDOR

Agrupamento de 4 INTERNO e seus equivalentes AO REDOR

Exemplos de agrupamentos equivalentes internos e ao redor paramapas de Karnaugh de 3 variáveis.

Cópia

não a

uto

riza

da. Rese

rvados

todos

os

direitos

auto

rais.

Cópia não autorizada. Reservados todos os direitos autorais.

Cópia não autorizada. Reservados todos os direitos autorais.

Page 71: Eletrônica Digital - :: Instituto Monitormonitoronline.com.br/arquivosbd/...130_eletronica_digital.pdf · 130 ELETRÔNICA DIGITAL 4E Eletrônica Digital Cópia não autorizada. vados

Instituto Monitor

130/77

Exemplos de agrupamentos equivalentes internos e ao redor paramapas de Karnaugh de 4 variáveis.

Resumindo: os agrupamentos devem ser de células vizinhas ou ao redor,formando blocos de 16, 8, 4, 2, ou isolado. Sempre tentamos agrupar o máxi-mo possível dentro destas regras e exemplos vistos.

1 1 1 1

1 1 1 1

1 1 1 11 1 1 1

1 11 1

1 11 1

1 11 1

1 11 1

1 11 1

A

B

B

C C C

D

D

D

AA

B

B

C C C

D

D

D

AA

B

B

C C C

D

D

D

AA

B

B

C C C

D

D

D

A

2 agrupamentos de 82 agrupamentos de 4 Agrupamento de

8 AO REDOR

Agrupamento de

8 INTERNO

1 1

1 1

1 1

1 1

1 1

1

1

1 1 1 11 1

1 1 1A

B

B

C C C

D

D

D

A A

B

B

C C C

D

D

D

A A

B

B

C C C

D

D

D

A A

B

B

C C C

D

D

D

A

Agrupamento de

4 AO REDOR

Agrupamento de 4,

só permitido se os

4 cantos forem 1

1 agrupamento de 4 e

2 agrupamentos de 2

3 agrupamentos de 4

1 1 1 1

1 1 1 1

1 1 1 11 1 1 1

1 1

1 1

1 11 1

1 1

1 1

1 11 1

1

1

11

1

1

11

A A

B

B

C C C

D

D

D

A A

B

B

C C

D

D

D

A

B

B

C C C

D

D

D

A A

B

B

C C C

D

D

D

A

Agrupamento de 16 2 agrupamentos de 4 Agrupamento de 8 Agrupamento de

8 AO REDOR

C

Cópia

não a

uto

riza

da. Rese

rvados

todos

os

direitos

auto

rais.

Cópia não autorizada. Reservados todos os direitos autorais.

Cópia não autorizada. Reservados todos os direitos autorais.

Page 72: Eletrônica Digital - :: Instituto Monitormonitoronline.com.br/arquivosbd/...130_eletronica_digital.pdf · 130 ELETRÔNICA DIGITAL 4E Eletrônica Digital Cópia não autorizada. vados

Exercícios Propostos

130/78

1 - Faça os agrupamentos corretos para cada Mapa de Karnaugh dados:

1 1

1 1

1 1

1

1 1

1 1

1 1

1 1

1 1 1 1

1 1

1 1

1 1

1 1 1

1 1

1

1

1

1

1

1

1

1

1

1

1 1

1

1

1

1

1 1

1 1 1

1 1

1 1

1 1

1

1

1

1

1

1

1

1

1

1

1

1

1

1

1

A A

C C C

B

BD

D

D

A A

C C C

B

BD

D

D

A A

B

B

A A

C C C

B

BD

D

D

A A

C C C

B

BD

D

D

A A

C C C

B

BD

D

DC C C

A A

B

B

C C C

A A

B

B

B

BA A

A A

C C C

B

BD

D

D

A A

C C C

B

BD

D

D

A A

C C C

B

BD

D

D

a) b) c) d)

e) f) g) h)

i) j) k) l)

Cópia

não a

uto

riza

da. Rese

rvados

todos

os

direitos

auto

rais.

Cópia não autorizada. Reservados todos os direitos autorais.

Cópia não autorizada. Reservados todos os direitos autorais.

Page 73: Eletrônica Digital - :: Instituto Monitormonitoronline.com.br/arquivosbd/...130_eletronica_digital.pdf · 130 ELETRÔNICA DIGITAL 4E Eletrônica Digital Cópia não autorizada. vados

Instituto Monitor

130/79

2 - A partir da Tabela da Verdade dada, monte o Mapa de Karnaugh.

A . B . C . D A . B . C . D A . B . C . D A . B . C . D

A . B . C . D A . B . C . D A . B . C . D A . B . C . D

A . B . C . D A . B . C . D A . B . C . D A . B . C . D

A . B . C . D A . B . C . D A . B . C . D A . B . C . D

A A

B

B

C C C

D

D

D

A0000000011111111

B0000111100001111

C0011001100110011

D0101010101010101

S0111010101110101

Cópia

não a

uto

riza

da. Rese

rvados

todos

os

direitos

auto

rais.

Cópia não autorizada. Reservados todos os direitos autorais.

Cópia não autorizada. Reservados todos os direitos autorais.

Page 74: Eletrônica Digital - :: Instituto Monitormonitoronline.com.br/arquivosbd/...130_eletronica_digital.pdf · 130 ELETRÔNICA DIGITAL 4E Eletrônica Digital Cópia não autorizada. vados

8lição

lição

130/81

Interpretando osMapas de Karnaugh

Introdução

Nesta lição você irá aprender a interpre-tar corretamente os Mapas de Karnaugh, aescrever a expressão booleana minimizada eo correspondente circuito minimizado.

1. Cada Agrupamento é uma Parcelada Expressão Booleana

Após o agrupamento correto no Mapa deKarnaugh, cada agrupamento é uma parcelada expressão booleana minimizada. Assim, sevocê conseguiu três agrupamentos, a expres-são booleana terá três parcelas apenas.

Exemplo 1:

Neste mapa foram feitos apenas doisagrupamentos, portanto a expressão boolea-na minimizada terá apenas duas parcelascontra dez parcelas da expressão booleanaoriginal.

Você deve analisar o agrupamento comosendo a saída ativa e observar as variáveis

envolvidas, eliminando aquelas que não afe-tam a saída com sua condição.

Tomando por base o agrupamento de 8 dafigura dada, notamos que:

• A saída está ativa quando a variável B é 1,ou quando ela é 0, portanto B não afeta asaída neste agrupamento.

• A saída está ativa quando a variável C é 1,ou quando ela é 0, portanto C não afeta asaída neste agrupamento.

• A saída está ativa quando a variável D é 1,ou quando ela é 0, portanto D não afeta asaída neste agrupamento.

• A saída está ativa (= 1) quando a variável Aé 0 (barrada), e quando a variável é 1, a saí-da está desativada (= 0).

Conclusão: para este agrupamento a parcelaé A. Tomando agora o agrupamento de 4 dafigura teremos:

• A saída está ativa quando a variável A é 1ou quando ela é 0, portanto A não afeta asaída neste agrupamento.

• A saída está ativa quando a variável C é 1ou quando ela é 0, portanto C não afeta asaída neste agrupamento.

• A saída está ativa quando a variável B ébarrada e a variável D não é barrada.

Conclusão: para este agrupamento a parcelaé B . D.

AA

B

B

D

D

D

C C C

1 1

1 1

1 1

1 1

1 1

Cópia

não a

uto

riza

da. Rese

rvados

todos

os

direitos

auto

rais.

Cópia não autorizada. Reservados todos os direitos autorais.

Cópia não autorizada. Reservados todos os direitos autorais.

Page 75: Eletrônica Digital - :: Instituto Monitormonitoronline.com.br/arquivosbd/...130_eletronica_digital.pdf · 130 ELETRÔNICA DIGITAL 4E Eletrônica Digital Cópia não autorizada. vados

Instituto Monitor

130/82

Assim, a expressão booleana minimizada é:

S = A + B . D

O circuito minimizado será:

Vale dizer que este circuito responde à mesma Tabela da Ver-dade que gerou o Mapa de Karnaugh acima.

Exemplo 2

Seja a Tabela da Verdade:

A expressão booleana será:

S = A . B . C + A . B . C + A . B . C + A . B . C + A . B . C

Minimizando pela Álgebra de Boole, resulta:

A B C D

S

A00001111

B00110011

C01010101

S01110101

S

CIRCUITO NORMAL

A B C

S = A . B . C + A . B . C + A . B . C + A . B . C + A . B . C

A B C

CIRCUITO MINIMIZADO

S

S = A . B . C + C

Cópia

não a

uto

riza

da. Rese

rvados

todos

os

direitos

auto

rais.

Cópia não autorizada. Reservados todos os direitos autorais.

Cópia não autorizada. Reservados todos os direitos autorais.

Page 76: Eletrônica Digital - :: Instituto Monitormonitoronline.com.br/arquivosbd/...130_eletronica_digital.pdf · 130 ELETRÔNICA DIGITAL 4E Eletrônica Digital Cópia não autorizada. vados

Instituto Monitor

130/83

Gerando o seguinte Mapa de Karnaugh:

Tomando por base o agrupamento de 4 da figura acima, nota-mos que:

• A saída está ativa quando a variável B é 1 ou quando ela é 0,portanto B não afeta a saída neste agrupamento.

• A saída está ativa quando a variável A é 1 ou quando ela é 0,portanto A não afeta a saída neste agrupamento.

• A saída está ativa (= 1) quando a variável C é 1 (não barrada).

Conclusão: para este agrupamento a parcela é C.

Tomando por base o agrupamento de 2 da figura, notamos que:

• A saída está ativa quando a variável C é 1 ou quando ela é 0,portanto C não afeta a saída neste agrupamento.

• A saída está ativa (= 1) quando a variável A é 1 (barrada) e avariável B é 0 (não barrada).

Conclusão: para este agrupamento a parcela é A . B. Portanto, aexpressão minimizada será:

S = A . B + C

A A

B

B

A . B . C A . B . C A . B . C A . B . C

A . B . C A . B . C A . B . C A . B . C

1 1

1 1

Este agrupamento resultaa parcela A . B

Este agrupamento resultaa parcela C

1

C C C

Cópia

não a

uto

riza

da. Rese

rvados

todos

os

direitos

auto

rais.

Cópia não autorizada. Reservados todos os direitos autorais.

Cópia não autorizada. Reservados todos os direitos autorais.

Page 77: Eletrônica Digital - :: Instituto Monitormonitoronline.com.br/arquivosbd/...130_eletronica_digital.pdf · 130 ELETRÔNICA DIGITAL 4E Eletrônica Digital Cópia não autorizada. vados

Instituto Monitor

130/84

O circuito minimizado ficará:

Ambas as respostas estão corretas considerando que houve re-dução no uso de portas lógicas para uma mesma Tabela da Verda-de. Porém, através dos Mapas de Karnaugh, ficou mais fácilvisualizar a redução pelo número de agrupamentos.

Pronto! Agora basta aplicar as leis e regras vistas para mini-mizar circuitos lógicos.

S

A B C

Cópia

não a

uto

riza

da. Rese

rvados

todos

os

direitos

auto

rais.

Cópia não autorizada. Reservados todos os direitos autorais.

Cópia não autorizada. Reservados todos os direitos autorais.

Page 78: Eletrônica Digital - :: Instituto Monitormonitoronline.com.br/arquivosbd/...130_eletronica_digital.pdf · 130 ELETRÔNICA DIGITAL 4E Eletrônica Digital Cópia não autorizada. vados

Exercícios Propostos

130/85

1 - Escreva a expressão booleana minimizada para cada Mapa de Karnaugh dado:

1 1

1 1

1 1

1

A A

B

B

C C C

D

D

D

4 agrupamentos de 2Este exemplo aceita outro tipo de arranjo, mas no final serão

sempre 4 agrupamentos de 2.

a)

1 1 1 1

1 1

1 1

1 1

A A

B

B

C C C

D

D

D

1 agrupamento de 8 e 1 agrupamento de 4

b)

A A

1 agrupamento de 2

c)

B

B

1 1

d)

1 1

1 1

1 1

A A

B

B

C C C

D

D

D

2 agrupamentos de 4Cópia

não a

uto

riza

da. Rese

rvados

todos

os

direitos

auto

rais.

Cópia não autorizada. Reservados todos os direitos autorais.

Cópia não autorizada. Reservados todos os direitos autorais.

Page 79: Eletrônica Digital - :: Instituto Monitormonitoronline.com.br/arquivosbd/...130_eletronica_digital.pdf · 130 ELETRÔNICA DIGITAL 4E Eletrônica Digital Cópia não autorizada. vados

Instituto Monitor

130/86

1 agrupamento de 4 e 1 agrupamento de 2

e) f) A A

B

B

C C CD

D

D

Não é possível agrupar. São 4 isolados.

g) h)

1 1

1 1

1

C C C

B

B

A A

2 agrupamentos de 2

1

1 1

1

C C C

B

B

A A A A

B

B

C C C

D

D

D

Não é possível agrupar. São 4 isolados.

1

1

1

1

1

1

1 1

Cópia

não a

uto

riza

da. Rese

rvados

todos

os

direitos

auto

rais.

Cópia não autorizada. Reservados todos os direitos autorais.

Cópia não autorizada. Reservados todos os direitos autorais.

Page 80: Eletrônica Digital - :: Instituto Monitormonitoronline.com.br/arquivosbd/...130_eletronica_digital.pdf · 130 ELETRÔNICA DIGITAL 4E Eletrônica Digital Cópia não autorizada. vados

Instituto Monitor

130/87

i) j)

k)

2 agrupamentos de 2

Não é possível agrupar. São 8 isolados.

4 agrupamentos de 8

1 1

1

A AB

B

A A

B

B

C C C

D

D

D

1

1

1

1

1 1

1 1

1

1 1

A A

B

B

C C C

D

D

D1

1

1

1

11

11

11

11

Cópia

não a

uto

riza

da. Rese

rvados

todos

os

direitos

auto

rais.

Cópia não autorizada. Reservados todos os direitos autorais.

Cópia não autorizada. Reservados todos os direitos autorais.

Page 81: Eletrônica Digital - :: Instituto Monitormonitoronline.com.br/arquivosbd/...130_eletronica_digital.pdf · 130 ELETRÔNICA DIGITAL 4E Eletrônica Digital Cópia não autorizada. vados

9lição

lição

130/89

Circuitos Multiplexadorese Demultiplexadores

Introdução

Continuando os trabalhos de minimiza-ção de circuitos lógicos, nesta lição você iráconhecer os circuitos multiplexadores e de-multiplexadores, que são bastante utilizadosem Eletrônica Digital, microprocessadores emicrocomputadores.

1. Circuitos Multiplexadores

São combinações de portas lógicas encap-suladas num único conjunto de várias entra-das e uma única saída.

Um circuito multiplexador se assemelhaa uma chave elétrica de onda, ou seja, temum pólo e várias posições. Tem várias entra-das, mas uma única saída.

2. Circuitos Demultiplexadores

São combinações de portas lógicas encap-suladas num único conjunto de várias saídase uma única entrada.

O controle de qual saída será conectadaà entrada é feito por linhas de seleção.

Um circuito demultiplexador se asseme-lha à mesma chave elétrica de onda, sendo opólo agora a entrada.

A partir daqui, alguns circuitos lógicosvirão encapsulados num bloco fechado, e oque nos importa é saber como utilizá-los, enão como formá-los.

Tudo em “Digitais” é numerado a partirdo zero. Portanto, as entradas de um circuitoMUX (forma reduzida de multiplexador) co-meçam pelo número zero.

Temos MUX com 2 entradas, 4 entradas,8 entradas, 16 entradas, e assim por diante.

Como tudo que o MUX faz o DEMUX (for-ma reduzida de demultiplexador) desfaz, eteremos DEMUX com 2 saídas, 4 saídas, 8 sa-ídas, 16 saídas, e assim por diante.

Num multiplexador as variáveis A, B, C,etc., controlam qual entrada do MUX seráconectada à saída.

ENTRADA

MULTIPLEXADOR

SAÍDAENTRADAS

pólo

Chave Elétrica de Onda 1 X 5

DEMULTIPLEXADOR

SAÍDAS

pólo

12

34

5

12

3

45

Cópia

não a

uto

riza

da. Rese

rvados

todos

os

direitos

auto

rais.

Cópia não autorizada. Reservados todos os direitos autorais.

Cópia não autorizada. Reservados todos os direitos autorais.

Page 82: Eletrônica Digital - :: Instituto Monitormonitoronline.com.br/arquivosbd/...130_eletronica_digital.pdf · 130 ELETRÔNICA DIGITAL 4E Eletrônica Digital Cópia não autorizada. vados

Instituto Monitor

130/90

O bloco MUX funciona como ilustramos a seguir:

A

MUX de 4 canais

Cada entrada é conectada à saída, desde que nas linhas de se-leção (A, B, C, etc.) tenhamos o correspondente número bináriodesta entrada.

O bit menos significativo vale um e está sempre mais à direita.Logo, teremos, para cada MUX, o número de entradas igual ao nú-mero de combinações possíveis entre as variáveis (A, B, C, etc.) daslinhas de seleção. Veja a seguir a Tabela da Verdade para cadaMUX da figura dada:

B

I0

A B C

A B C D

A0

0

1

1

B0

1

0

1

SI0

I1

I2

I3

Tabela da Verdade para MUXde 4 canais (2 linhas de seleção A e B)

I1

I2MUX

I3

O

I0

I1

I2

I3

I4

I5

I6

I7

MUX

O

I0

I1

I2

I3

I4

I5

I6

I7

I8

I9

I10

I11

I12

I13

I14

I15

MUX

O

MUX de 8 canais

MUX de 16 canais

I = Input = Entrada

O = Output = Saída

Cópia

não a

uto

riza

da. Rese

rvados

todos

os

direitos

auto

rais.

Cópia não autorizada. Reservados todos os direitos autorais.

Cópia não autorizada. Reservados todos os direitos autorais.

Page 83: Eletrônica Digital - :: Instituto Monitormonitoronline.com.br/arquivosbd/...130_eletronica_digital.pdf · 130 ELETRÔNICA DIGITAL 4E Eletrônica Digital Cópia não autorizada. vados

Instituto Monitor

130/91

A0

0

0

0

0

0

0

0

1

1

1

1

1

1

1

1

B0

0

0

0

1

1

1

1

0

0

0

0

1

1

1

1

C0

0

1

1

0

0

1

1

0

0

1

1

0

0

1

1

D0

1

0

1

0

1

0

1

0

1

0

1

0

1

0

1

SI0

I1

I2

I3

I4

I5

I6

I7

I8

I9

I10

I11

I12

I13

I14

I15

Tabela da Verdade para MUXde 16 canais (4 linhas de seleção A, B, C e D)

Tabela da Verdade para MUXde 8 canais (3 linhas de seleção A, B e C)

Para os DEMUX, teremos o inverso entre entrada e saída, mas aslinhas de controle continuam as mesmas. Veja os exemplos a seguir:

A

DEMUX de 4 canais

B

0

1

2

3 DEMUX

0

1

2

3

4

5

6

7

0

1

3

4

5

6

7

8

9

10

1112

13

14

15

A B C

A B C D

I = Input = Entrada

O = Output = Saída

DEMUX

DEMUX de 8 canais

DEMUX2

DEMUX de 16 canais

I

I

I

A0

0

0

0

1

1

1

1

B0

0

1

1

0

0

1

1

C0

1

0

1

0

1

0

1

SI0

I1

I2

I3

I4

I5

I6

I7

Cópia

não a

uto

riza

da. Rese

rvados

todos

os

direitos

auto

rais.

Cópia não autorizada. Reservados todos os direitos autorais.

Cópia não autorizada. Reservados todos os direitos autorais.

Page 84: Eletrônica Digital - :: Instituto Monitormonitoronline.com.br/arquivosbd/...130_eletronica_digital.pdf · 130 ELETRÔNICA DIGITAL 4E Eletrônica Digital Cópia não autorizada. vados

Instituto Monitor

130/92

Para cada um deles teremos as seguintes Tabelas da Verdade:

Tabela da Verdade para DEMUX de 4 canais (2 linhas de seleção A e B)

A0011

B0101

O0I

O1

I

O2

I

O3

I

Tabela da Verdade para DEMUX de8 canais (3 linhas de seleção A, B e C)

B00110011

C01010101

O0I

O1

I

O2

I

O3

I

O4

I

O5

I

O6

I

O7

I

A00001111

Tabela da Verdade para DEMUX de 16 canais (4 linhas de seleção A, B, C e D)

C0011001100110011

D0101010101010101

O0I

O1

I

O2

I

O3

I

O4

I

O5

I

O6

I

O7

I

O8

I

O9

I

O10

I

O11

I

O12

I

O13

I

O14

I

O15

I

B0000111100001111

A0000000011111111

Cópia

não a

uto

riza

da. Rese

rvados

todos

os

direitos

auto

rais.

Cópia não autorizada. Reservados todos os direitos autorais.

Cópia não autorizada. Reservados todos os direitos autorais.

Page 85: Eletrônica Digital - :: Instituto Monitormonitoronline.com.br/arquivosbd/...130_eletronica_digital.pdf · 130 ELETRÔNICA DIGITAL 4E Eletrônica Digital Cópia não autorizada. vados

Instituto Monitor

130/93

Na minimização de circuitos lógicos combinacionais, o MUXé a solução mais econômica, mais simples e a que ocupa menosespaço.

Para utilizar o MUX na minimização, trabalharemos apenascom a Tabela da Verdade, podendo-se esquecer Mapas de Karnau-gh e Álgebra de Boole. Basta encontrar um MUX com um númerode entradas igual ao número de linhas da Tabela da Verdade a serseguida quando forem feitas as ligações.

Exemplo:

Seja a Tabela da Verdade:

Note que cada saída com nível lógico 1 tem sua corresponden-te entrada ligada ao +5V que corresponde a nível lógico 1. Cadasaída com nível lógico 0 tem sua correspondente entrada ligada aoGND, que corresponde a nível lógico 0.

Comprovando:

• Na primeira linha da Tabela da Verdade, os valores das variáveissão 0002, que corresponde ao decimal zero. Sua entrada corres-pondente no MUX é a entrada zero (I0).

• Pela Tabela, quando A, B e C forem zero, a saída é zero; assim,conectamos a entrada I0 ao GND.

GND

+ 5V

A B C

OMUX

I0I1I2I3I4I5

I6I7

A00001111

B00110011

C01010101

S01110101

+ 5V = Nível Lógico 1GND = Nível lógico 0

Cópia

não a

uto

riza

da. Rese

rvados

todos

os

direitos

auto

rais.

Cópia não autorizada. Reservados todos os direitos autorais.

Cópia não autorizada. Reservados todos os direitos autorais.

Page 86: Eletrônica Digital - :: Instituto Monitormonitoronline.com.br/arquivosbd/...130_eletronica_digital.pdf · 130 ELETRÔNICA DIGITAL 4E Eletrônica Digital Cópia não autorizada. vados

Instituto Monitor

130/94

• Na segunda linha da Tabela, os valores das variáveis são 0012,que corresponde ao decimal um. Sua entrada correspondente noMUX é a entrada um (I1).

• Pela Tabela, quando A e B forem zero e C for um, a saída é um;assim, conectamos a entrada I1 ao +5V.

O procedimento é simples e absolutamente visual. Sem cálcu-los, sem mapas, econômico, ocupa menor espaço, mais barato, etc.

Cópia

não a

uto

riza

da. Rese

rvados

todos

os

direitos

auto

rais.

Cópia não autorizada. Reservados todos os direitos autorais.

Cópia não autorizada. Reservados todos os direitos autorais.

Page 87: Eletrônica Digital - :: Instituto Monitormonitoronline.com.br/arquivosbd/...130_eletronica_digital.pdf · 130 ELETRÔNICA DIGITAL 4E Eletrônica Digital Cópia não autorizada. vados

Exercícios Propostos

130/95

1 - Faça as conexões corretas no MUX para termos um circuito minimizado, de acordocom a Tabela da Verdade abaixo:

a)

+ 5V = Nível Lógico 1GND = Nível lógico 0

GND

+ 5V

MUX

O

A B C D

I0

I1

I2

I3I4I5I6

I7I8

I9I10

I11

I12

I13

I14

I15

A0000000011111111

B0000111100001111

C0011001100110011

D0101010101010101

S1111010010110101

Cópia

não a

uto

riza

da. Rese

rvados

todos

os

direitos

auto

rais.

Cópia não autorizada. Reservados todos os direitos autorais.

Cópia não autorizada. Reservados todos os direitos autorais.

Page 88: Eletrônica Digital - :: Instituto Monitormonitoronline.com.br/arquivosbd/...130_eletronica_digital.pdf · 130 ELETRÔNICA DIGITAL 4E Eletrônica Digital Cópia não autorizada. vados

Instituto Monitor

130/96

b)

2 - Dada a Tabela da Verdade, escolha um MUX adequado e faça as ligações corretas para obter-mos um circuito minimizado.

+ 5V

GND

A B C

A00001111

B00110011

C01010101

S11110101

+ 5V = Nível Lógico 1GND = Nível lógico 0

MUX

O

I0

I1

I2

I3I4I5I6

I7

A0000000011111111

B0000111100001111

C0011001100110011

D0101010101010101

S0111010101110101

Cópia

não a

uto

riza

da. Rese

rvados

todos

os

direitos

auto

rais.

Cópia não autorizada. Reservados todos os direitos autorais.

Cópia não autorizada. Reservados todos os direitos autorais.

Page 89: Eletrônica Digital - :: Instituto Monitormonitoronline.com.br/arquivosbd/...130_eletronica_digital.pdf · 130 ELETRÔNICA DIGITAL 4E Eletrônica Digital Cópia não autorizada. vados

10lição

lição

130/97

Prática deCircuitos Combinacionais

Introdução

Vamos pôr em prática os conhecimentosadquiridos, montando uma fonte de alimen-tação especial para circuitos digitais. Tam-bém faremos experiências com portaslógicas.

Esta lição prática visa a fixação da teoriaaté aqui aprendida. Aliás, toda teoria estu-dada deve levar a um uso prático, finalidadeúltima de nossos estudos.

1. Fonte de Alimentação

A fonte de alimentação para circuitos di-

gitais é de tensão contínua, filtrada e estabi-lizada em 5 volts.

No mercado eletrônico, encontramos fa-cilmente fontes de alimentação para circui-tos eletrônicos como rádios, walkmans, CDplayers, etc., mas nenhuma para circuitos deestudos digitais.

Chamamos de tensão compatível TTL umatensão contínua de +5 volts ± 10%.

O esquema abaixo mostra uma fonte com-posta de um transformador de 6-0-6 x 1A/110-220, diodos retificadores, capacitor ele-trolítico servindo de filtro para ripple e umregulador de tensão 7805.

Transformador6 - 0 - 6 x 1A110/220 Volts

FusívelChave1 x 2

Fusível

100 mA

220

110

0

Diodos1N4007

CapacitorEletrolítico2.200 µF

CapacitorCerâmico

100 nF

GND(0 Volt)

+ 5 VoltsIN OUT7805

Regulador7805

GND+

0

6

6

Esquema Elétrico da Fonte de Alimentação paraCircuitos Digitais compatíveis TTL

50 mA

Cópia

não a

uto

riza

da. Rese

rvados

todos

os

direitos

auto

rais.

Cópia não autorizada. Reservados todos os direitos autorais.

Cópia não autorizada. Reservados todos os direitos autorais.

Page 90: Eletrônica Digital - :: Instituto Monitormonitoronline.com.br/arquivosbd/...130_eletronica_digital.pdf · 130 ELETRÔNICA DIGITAL 4E Eletrônica Digital Cópia não autorizada. vados

Instituto Monitor

130/98

Montada, ficaria assim:

Um esquema mais simples pode ser conseguido com pilhas, conforme mostra a figura.

Para visualizar os resultados utilizare-mos leds (diodos emissores de luz), conforme o esquema a seguir:

aproximadamente4,6 volts

4 pilhas de 1,5 volt = 6 voltsCada diodo de silício

consome 0,7 volts

Placa padrão para CI 20 terminais.

De posse desse pequeno material, já podemos aplicar nossos conhecimentos de Eletrônica Digital em circuitos lógicos.

2. Aplicando a Eletrônica Digital

Experiência 1: A Porta NAND

A partir de um CI com portas NAND po-demos implementar todas as outras portas.

PLACA PADRÃO

20 PINOS

LED

Ânodo

Cátodo

Resistorde 330 Ω

- +

Cópia

não a

uto

riza

da. Rese

rvados

todos

os

direitos

auto

rais.

Cópia não autorizada. Reservados todos os direitos autorais.

Cópia não autorizada. Reservados todos os direitos autorais.

Page 91: Eletrônica Digital - :: Instituto Monitormonitoronline.com.br/arquivosbd/...130_eletronica_digital.pdf · 130 ELETRÔNICA DIGITAL 4E Eletrônica Digital Cópia não autorizada. vados

Instituto Monitor

130/99

AB

AB

A

B

A

B

A S

S

S

S

S

S = A . B

S = A

S = A . B = A . B

S = A . B = A . B = A + B

S = A . B = A + B

Alimente o CI pelos pinos Vcc (VDD) e GND (Vss). Solde os fiosconforme o esquema a seguir. O número do pino do CI aparece aolado de cada terminal.

+Vcc

GND

7400

Ao lado de cada pino temos seu númerocom relação ao Circuito Integrado.

Faça as ligações corretas.

LED aceso é saída em Nível Lógico 1LED apagado é saída em Nível Lógico 0

INV

ER

SO

RA

PO

RTA

E (

AN

D)

PO

RTA

NO

U (

NO

R)

PO

RTA

OU

(O

R)

1 2

3 4

+ 5V

14

A

GND

LED

S

R

1

2

inout

3

7

+ 5V

14

GND

LED

S

R

4

5

in out61

2

3

7

AB

+ 5V

14

+ 5V

14

A

B

A

B

inout

in out

GND GND

7 7LED

S

R

LED

S

R

4

5

910 8

61

2

3

45

910

6

8

1

2

3 13

12

11

Cópia

não a

uto

riza

da. Rese

rvados

todos

os

direitos

auto

rais.

Cópia não autorizada. Reservados todos os direitos autorais.

Cópia não autorizada. Reservados todos os direitos autorais.

Page 92: Eletrônica Digital - :: Instituto Monitormonitoronline.com.br/arquivosbd/...130_eletronica_digital.pdf · 130 ELETRÔNICA DIGITAL 4E Eletrônica Digital Cópia não autorizada. vados

Instituto Monitor

130/100

Cada entrada deverá ser conectada ao + 5 volts quando dese-jarmos nela nível lógico 1, e cada entrada deverá ser conectada aoGND quando desejarmos nela nível lógico 0. Nunca deixe entradassem conexão!

Para cada circuito, faça o ensaio e preencha as Tabelas daVerdade abaixo:

Compare as Tabelas da Verdade com as estudadas anterior-mente. Baseado nestas experiências, você já pode montar qual-quer outra.

A01

S A0011

B0101

S A0011

B0101

S A0011

B0101

S

Cópia

não a

uto

riza

da. Rese

rvados

todos

os

direitos

auto

rais.

Cópia não autorizada. Reservados todos os direitos autorais.

Cópia não autorizada. Reservados todos os direitos autorais.

Page 93: Eletrônica Digital - :: Instituto Monitormonitoronline.com.br/arquivosbd/...130_eletronica_digital.pdf · 130 ELETRÔNICA DIGITAL 4E Eletrônica Digital Cópia não autorizada. vados

Exercícios Propostos

130/101

1 - Numere os pinos abaixo, de acordo com o CI:

7404 7432

Vcc Vcc

GND GND

CIRCUITO EMULA PORTA OU (OR)DE 4 ENTRADAS

CIRCUITO EMULA PORTA OU (OR)DE 5 ENTRADAS

CIRCUITO EMULA PORTA NOU (NOR)DE 4 ENTRADAS

CIRCUITO EMULA PORTA NOU (NOR)DE 5 ENTRADAS

GND GND

GNDGND GND

+ 5V + 5V + 5V

+ 5V+ 5V

ABCD

ABCD

ABCDE

S

S

S

S

ABCDE

Cópia

não a

uto

riza

da. Rese

rvados

todos

os

direitos

auto

rais.

Cópia não autorizada. Reservados todos os direitos autorais.

Cópia não autorizada. Reservados todos os direitos autorais.

Page 94: Eletrônica Digital - :: Instituto Monitormonitoronline.com.br/arquivosbd/...130_eletronica_digital.pdf · 130 ELETRÔNICA DIGITAL 4E Eletrônica Digital Cópia não autorizada. vados

11lição

lição

130/103

Clock eGerador de Clock

Introdução

A parte dinâmica da Eletrônica Digital evoluiu muito no finaldo século passado. Microcomputadores, telefonia fixa, telefoniacelular, CD, DVD, som digital, pedaleiras de guitarra, tecladosmusicais, cartões musicais, injeção eletrônica de automóveis, airbags, freios ABS, automação industrial, fliperamas, videogames,televisão digital, satélite de comunicação, etc.

O ponto de partida para o entendimento e aplicação desta tec-nologia é o clock.

Como você verá a seguir, o clock é um conceito tão básico paraa eletrônica digital que costuma ser considerado o coração do cir-cuito. É indispensável conhecê-lo.

1. O que é Clock?

Clock, traduzido do inglês, significa relógio. No entanto, emtecnologia digital o conceito de relógio é insuficiente.

O Clock funciona como o coração do circuito. Se ele bater maisrápido, as “coisas” ocorrem mais rapidamente; se ele bater maislento, as “coisas” ocorrem mais lentamente.

Eletronicamente falando, clock é um sinal elétrico que variasua tensão no tempo em apenas dois níveis de tensão: 0 volt e +Vcc(entenda +Vcc como a tensão de alimentação necessária. Se forpara a família TTL, é de +5 volts; se for para a CMOS, pode ser de 3a 15 volts). Clock é uma seqüência de pulsos no tempo.C

ópia

não a

uto

riza

da. Rese

rvados

todos

os

direitos

auto

rais.

Cópia não autorizada. Reservados todos os direitos autorais.

Cópia não autorizada. Reservados todos os direitos autorais.

Page 95: Eletrônica Digital - :: Instituto Monitormonitoronline.com.br/arquivosbd/...130_eletronica_digital.pdf · 130 ELETRÔNICA DIGITAL 4E Eletrônica Digital Cópia não autorizada. vados

Instituto Monitor

130/104

IDEAL REAL

Simétrica: tempo em 1 é igual ao tempo em 0 (50%)

A

B D

C A

B D

C

A

B D

C A

B D

C

Assimétrica: tempo em 1 é maior que o tempo em 0 (>50%)

Assimétrica: tempo em 1 é menor que o tempo em 0 (<50%)

B

A

D

C

B D

CA

Borda de subida (transição de 0 para 1)A

B

C

D

Nível lógico 1 Borda de descida (transição de 1 para 0)

Nível lógico 0

IDEAL REAL

IDEAL REAL

A figura mostra como interpretar o sinal elétrico chamado clock.

Cópia

não a

uto

riza

da. Rese

rvados

todos

os

direitos

auto

rais.

Cópia não autorizada. Reservados todos os direitos autorais.

Cópia não autorizada. Reservados todos os direitos autorais.

Page 96: Eletrônica Digital - :: Instituto Monitormonitoronline.com.br/arquivosbd/...130_eletronica_digital.pdf · 130 ELETRÔNICA DIGITAL 4E Eletrônica Digital Cópia não autorizada. vados

Instituto Monitor

130/105

Nos gráficos está convencionado que otempo passa da esquerda para a direita. A fi-gura mostra os gráficos comparativos entre oclock ideal e o clock real.

O clock ideal não apresenta atraso em suasubida, que ocorre imediatamente. Já o clockreal está limitado à velocidade da física doscomponentes reais, que nunca conseguem sedescarregar imediatamente. Sempre haveráum tempo, por menor que seja, para que ocor-ra a descarga elétrica de um componente. Istoforça o sinal elétrico a ter uma aparência tra-pezoidal. Mesmo assim, o tempo de subida oudescida no clock real é da ordem de alguns mi-crossegundos ou nanossegundos, ou seja, émuito rápido.

Um pulso de clock é dividido em quatropartes:

a) Borda de subida – Intervalo de tempo mui-to reduzido que é marcado pela transiçãodo nível lógico 0 para o nível lógico 1.

b)Nível lógico 1 – Tempo em que o sinal elé-trico apresenta +Vcc sem variação no in-tervalo de tempo.

c) Borda de descida – Intervalo de tempomuito reduzido que é marcado pela transi-ção de nível lógico 1 para nível lógico 0.

d)Nível lógico 0 – Tempo em que o sinal elé-trico apresenta 0 volt (GND) sem variaçãono intervalo de tempo.

Podemos ajustar os pulsos de clock comrelação ao seu nível lógico 1 (+3 volts, +5 volts,etc.) e com relação ao intervalo de tempo emque fica em nível lógico 1 ou nível lógico 0.Chamamos isto de duty cycle, que é a por-centagem de tempo em que o sinal fica emnível lógico 1 durante todo o período do pul-so de clock.

Exemplo: um clock de 30% significa que30% do período do pulso de clock está em

nível lógico 1. Obviamente, o restante do tem-po estará em nível lógico 0.

2. Gerador de Clock

Qualquer circuito eletrônico capaz degerar um sinal elétrico no chamado formatoonda quadrada é um gerador de Clock.

Para aplicá-lo na Eletrônica Digital é pre-ciso adequar seus níveis de tensão aos níveisde tensão do circuito digital (Família TTL é+5 volts e 0 volt (GND)).

Vejamos alguns circuitos eletrônicos ge-radores de clock.

GERADOR DECLOCK COM CI 555

SAÍDA

+ 5V

GND

+

555

1KΩ

470KΩ

470µF

1234

8765

1KΩ1KΩ

SAÍDA

SAÍDA

100µF

100µF

GERADOR DE CLOCKCOM PORTAS NAND (CMOS)

+

+

Cópia

não a

uto

riza

da. Rese

rvados

todos

os

direitos

auto

rais.

Cópia não autorizada. Reservados todos os direitos autorais.

Cópia não autorizada. Reservados todos os direitos autorais.

Page 97: Eletrônica Digital - :: Instituto Monitormonitoronline.com.br/arquivosbd/...130_eletronica_digital.pdf · 130 ELETRÔNICA DIGITAL 4E Eletrônica Digital Cópia não autorizada. vados

Instituto Monitor

130/106

São circuitos bem simples. O primeiro tem sua freqüência declock fixada pelos valores dos componentes. Aumente o valor do ca-pacitor e diminuirá a freqüência. Diminua o valor do capacitor eaumentará a freqüência.

O segundo circuito tem sua freqüência de clock ajustada atra-vés do potenciômetro de 470K. Diminua o valor do potenciômetro eatinja freqüências maiores. Aumente o valor do potenciômetro econsiga freqüências bem baixas (1Hz; 0,5Hz; etc.).

Há vários outros tipos de geradores de clock ou de pulsos declock.

Resumindo, o gerador de clock é um circuito eletrônico quegera um sinal elétrico chamado onda quadrada, que varia sua ten-são de saída no tempo em apenas dois níveis. Um ciclo completo ouum pulso completo de clock tem borda de subida, nível lógico 1,borda de descida e nível lógico 0 fechando o pulso ou ciclo.

Podemos alterar a largura destes pulsos variando o seu dutycycle, que é a porcentagem de período total em que a saída fica emnível lógico 1.

Montado com poucos componentes de fácil aquisição, temosum circuito que impulsionará nossos circuitos lógicos digitais di-nâmicos.

Agora você entende aquelas propagandas de microcomputa-dores que falam em Pentium II 500MHz de clock!

Cópia

não a

uto

riza

da. Rese

rvados

todos

os

direitos

auto

rais.

Cópia não autorizada. Reservados todos os direitos autorais.

Cópia não autorizada. Reservados todos os direitos autorais.

Page 98: Eletrônica Digital - :: Instituto Monitormonitoronline.com.br/arquivosbd/...130_eletronica_digital.pdf · 130 ELETRÔNICA DIGITAL 4E Eletrônica Digital Cópia não autorizada. vados

Exercícios Propostos

130/107

1 - Se o período de um pulso de clock é de 100 ms e seu duty cycle é de 75%, quantotempo o pulso fica em nível lógico 1?

( ) a) 75 ms( ) b)25 ms( ) c) 57 ms( ) d)100 ms( ) e) 50 ms

2 - Desenhe um pulso de clock de 50 ms, sabendo que seu duty cycle é de 10%.

Cópia

não a

uto

riza

da. Rese

rvados

todos

os

direitos

auto

rais.

Cópia não autorizada. Reservados todos os direitos autorais.

Cópia não autorizada. Reservados todos os direitos autorais.

Page 99: Eletrônica Digital - :: Instituto Monitormonitoronline.com.br/arquivosbd/...130_eletronica_digital.pdf · 130 ELETRÔNICA DIGITAL 4E Eletrônica Digital Cópia não autorizada. vados

Instituto Monitor

130/108

3 - Um pulso de clock simétrico apresenta:( ) a) Tempo em nível lógico 1 igual ao tempo em nível lógico 0.( ) b)Uma borda de subida e nenhuma borda de descida.( ) c) Dois níveis lógicos 1.( ) d)Dois níveis lógicos 0.( ) e) Tempo da borda de descida igual ao tempo da borda de subida.

4 - A definição de clock é:( ) a) relógio.( ) b)sinal elétrico chamado onda quadrada.( ) c) sinal elétrico chamado onda triangular.( ) d)carrilhão.( ) e) sinal elétrico senoidal.

Cópia

não a

uto

riza

da. Rese

rvados

todos

os

direitos

auto

rais.

Cópia não autorizada. Reservados todos os direitos autorais.

Cópia não autorizada. Reservados todos os direitos autorais.

Page 100: Eletrônica Digital - :: Instituto Monitormonitoronline.com.br/arquivosbd/...130_eletronica_digital.pdf · 130 ELETRÔNICA DIGITAL 4E Eletrônica Digital Cópia não autorizada. vados

12lição

lição

130/109

Flip-Flop

Introdução

Já reparou que os modernos aparelhoseletroeletrônicos têm um botão de liga e des-liga diferente dos convencionais? Não sãomais chaves tipo gangorra, faca ou de pres-são que se retraem e somente voltam ao nor-mal quando pressionadas novamente.

Os botões power são do tipo “contatomomentâneo”: apertou, o contato se fecha;soltou, o contato se abre.

Como o aparelho fica ligado com um sim-ples clicar do botão? Como o aparelho se des-liga ao simples clicar do botão? Chamamoseste efeito de flip-flop.

Você já deve ter percebido que, passo apasso, nos aproximamos das aplicações prá-ticas da teoria que aprendemos. No entanto,o fato de saber que os circuitos estudados sãoencontrados prontos no mercado não deve sermotivo para você descuidar do conhecimen-to teórico sobre o funcionamento desses cir-cuitos. Entre a teoria e a prática, é precisoalcançar um equilíbrio.

1. Flip-Flop RS

O circuito a seguir mostra como cons-truir um flip-flop utilizando portas lógicasNAND.

Trata-se de um flip-flop tipo RS, ou seja,set (liga) e reset (desliga).

S

R

Q

Q

S

R

Q

Q

S

R

Q

Q

FLIP-FLOP RS(RESET/SET)

SET = Ativa a Saída

RESET = Desativa a Saída

Q = Saída

Q = Complemento da Saída (Barrada)

Cópia

não a

uto

riza

da. Rese

rvados

todos

os

direitos

auto

rais.

Cópia não autorizada. Reservados todos os direitos autorais.

Cópia não autorizada. Reservados todos os direitos autorais.

Page 101: Eletrônica Digital - :: Instituto Monitormonitoronline.com.br/arquivosbd/...130_eletronica_digital.pdf · 130 ELETRÔNICA DIGITAL 4E Eletrônica Digital Cópia não autorizada. vados

Instituto Monitor

130/110

Preste atenção agora! Liga a saída colo-cando-a em nível lógico 1 (set). Desliga a saí-da colocando-a em nível lógico 0 (reset).

Os termos não existem na Língua Portu-guesa, mas sim no dialeto dos eletrônicos:setar significa colocar a saída em nível lógi-co 1; resetar significa colocar a saída em ní-vel lógico 0.

Vamos entender que só existe uma saídapara os flip-flops, nomeada de Q. Toda saídade flip-flop tem este nome. Q barrado é o com-plementar da saída.

Chamamos de complementar binário obinário inverso. Assim o complementar de 1é 0 e vice-versa.

Então, memorize: a saída é o pino Q.

Acompanhando o primeiro circuito da fi-gura, colocando nível lógico 1 na entrada sete nível lógico 0 na entrada reset, tornamosclara nossa intenção de ligar a saída, ou seja,colocá-la em nível lógico 1.

Se pensarmos:

• desejo ligar a saída: nível lógico 1 no set enível lógico 0 no reset.

• desejo desligar a saída: nível lógico 0 no sete nível lógico 1 no reset.

Colocando nível lógico 1 no reset, nossaintenção é resetar a saída, ou seja, colocá-laem nível lógico 0.

A Tabela da Verdade para o flip-flop RSé a seguinte:

Esta Tabela da Verdade parece meio es-tranha a princípio, mas trata-se de uma abor-dagem lógica. As considerações iniciais estãonela: zero no set e um no reset, a saída vai anível lógico zero; um no set e zero no reset, asaída vai a nível lógico um.

As novidades são: zero no set e zero noreset, a intenção é clara. Não desejamos ligare nem desligar a saída. Ou seja, desejamos“deixar como está”. Portanto, escrevemosque permanece o estado lógico da saída.

• Se a saída está em zero, permanecerá emzero.

• Se a saída está em um, permanecerá em um.

A última combinação é considerada iló-gica, porque não podemos ligar-desligar algoao mesmo tempo. Não existe algo cheio-va-zio, vivo-morto, apagado-aceso, etc. A isso seaplica o termo ilógico.

Se você montar o circuito a seguir, veráque ele não “queima”, mas os dois leds esta-rão acesos quando set e reset estiverem emnível lógico 1 ao mesmo tempo. Isto é ilógico,pois se Q é a saída e Q barrado é o seu com-plemento, como ambos poderão estar em ní-vel lógico 1?

S0011

R0101

Q

01

Q

10

Permanece

Ilógico

+ 5V

14

+ 5V

14

S

R

1 2 1 3 Q2

3 454 6 Q

R R

LED LED7 7

GND

MONTAGEM de FLIP-FLOP RS comPORTAS NAND e INVERSORES

GND

Cópia

não a

uto

riza

da. Rese

rvados

todos

os

direitos

auto

rais.

Cópia não autorizada. Reservados todos os direitos autorais.

Cópia não autorizada. Reservados todos os direitos autorais.

Page 102: Eletrônica Digital - :: Instituto Monitormonitoronline.com.br/arquivosbd/...130_eletronica_digital.pdf · 130 ELETRÔNICA DIGITAL 4E Eletrônica Digital Cópia não autorizada. vados

Instituto Monitor

130/111

Os fabricantes de CIs consideram vanta-joso encapsular flip-flops já montados, bas-tando a você apenas utilizá-los. A partir deagora, portanto, os flip-flops serão tratadosem nossas lições apenas como “caixinhas”.

2. Acessórios dos Flip-Flops

Podemos considerar os flip-flops RS comomodelos básicos aos quais é possível juntaracessórios. Os acessórios são:

2.1 Clear

É um pino prioritário que deve ser ativadomomentaneamente e depois desativado, paraque o flip-flop possa funcionar normalmente.

Sua função é colocar a saída em nível lógicozero, independentemente das entradas S e R.

Enquanto o clear estiver ativo, as entra-das S e R são ignoradas pela saída, que semantém em nível lógico zero.

2.2 Preset

Também um pino de ordem prioritáriasobre as entradas S e R, o preset deve ser ati-vado momentaneamente e depois desativadopara que o flip-flop funcione normalmente.

Sua função é colocar a saída em nível lógi-co um independentemente das entradas S e R.

Enquanto preset estiver ativo, as entra-das S e R são ignoradas pela saída que semantém em nível lógico um.

2.3 Clock

Pino de entrada, o clock controla a leitu-ra das entradas S e R para alterar a saída.

Como vimos no ensaio com flip-flop feitocom portas NAND, a saída Q e seu comple-mento levam um tempo para estabilizar o re-sultado na saída.

Este tempo chama-se delay time, tradu-zido como atraso de propagação. É o temponecessário para que uma informação na en-trada atinja a saída, alterando-a.

Assim o clock em um flip-flop faz o papelde chaveador. Podemos ter:

• Flip-flop chaveado na borda de subida doclock.

• Flip-flop chaveado na borda de descida doclock.

• Flip-flop chaveado no nível lógico um doclock.

• Flip-flop chaveado no nível lógico zero doclock.

A figura nos mostra alguns exemplos:

Q

Q

S

R

Q

Q

S

R

PRESET

CLEAR

Q

Q

S

R

PRESET

CLEAR

CLOCK

FLIP-FLOP RS e seus ACESSÓRIOS(PRESET, CLEAR e CLOCK)

Flip-flop RScom preset e clear.

Flip-flop RS com preset,clear e clock.

Flip-flop RS simples, sem acessórios.

Cópia

não a

uto

riza

da. Rese

rvados

todos

os

direitos

auto

rais.

Cópia não autorizada. Reservados todos os direitos autorais.

Cópia não autorizada. Reservados todos os direitos autorais.

Page 103: Eletrônica Digital - :: Instituto Monitormonitoronline.com.br/arquivosbd/...130_eletronica_digital.pdf · 130 ELETRÔNICA DIGITAL 4E Eletrônica Digital Cópia não autorizada. vados

Instituto Monitor

130/112

O símbolo de entrada de clock em flip-flops é um triângulo (∆).

3. Flip-Flop JK

No processo de evolução das técnicas di-gitais, pensou-se em transformar a tal com-binação ilógica em algo útil. Foram entãocolocadas mais algumas portas lógicas, deforma a não se ter mais uma combinação iló-gica. Como conseqüência disso, não poderí-amos continuar chamando este novo flip-flopde RS. Assim ele foi batizado com o nomeflip-flop JK.

O J se assemelha ao S e o K se assemelhaao R, mas quando J e K estiverem em nívellógico 1, a saída inverte seu estado atual.

Assim, supondo Q = 1 e seu complementoigual a 0, ao colocarmos J e K em nível lógico1, após o delay time a saída Q passa para 0 eseu complemento para 1. Esta inversão sem-pre ocorrerá e é chamada de toogle ou inver-são.

Q

Q

J

K

Q

Q

J

K

PRESET

CLEAR

Q

Q

J

K

Q

Q

J

K

PRESET

CLEAR

FLIP-FLOP JK e seus ACESSÓRIOS(PRESET, CLEAR e CLOCK)

Na figura observamos a entrada de clockcom e sem uma “bolinha” na frente. Esta “bo-linha” é o símbolo de inversor incorporado.

Neste caso, pode ser aplicado ao clock,preset e clear, indicando que esta entrada éativa em nível lógico zero ou na borda de des-cida do clock.

Note que os fabricantes também acharameconomicamente vantajoso encapsular flip-flops JK totalmente montados em CIs. Paraconhecer as opções oferecidas pelos fabrican-tes, basta consultar um data book.

Vejamos alguns exemplos de Tabela daVerdade para flip-flops JK:

Flip-flop JK Com Preset eClear Borda de Descida

Flip-flop JK SimplesBorda de Descida

Flip-flop JK Com Preset eClear Borda de Subida

Flip-flop JK SimplesBorda de Subida

Flip-flop JK com preset ativo em nível lógico um

Preset11110000

J00110011

K01010101

Q1111

01

Q0000

10

Permanece

Toogle

Cópia

não a

uto

riza

da. Rese

rvados

todos

os

direitos

auto

rais.

Cópia não autorizada. Reservados todos os direitos autorais.

Cópia não autorizada. Reservados todos os direitos autorais.

Page 104: Eletrônica Digital - :: Instituto Monitormonitoronline.com.br/arquivosbd/...130_eletronica_digital.pdf · 130 ELETRÔNICA DIGITAL 4E Eletrônica Digital Cópia não autorizada. vados

Instituto Monitor

130/113

Flip-flop JK com clock chaveado naborda de subida

Clock

J00110011

K01010101

Q

01

Q

10

Permanece

Toogle

Permanece

Permanece

Permanece

Permanece

Flip-flop JK com clear ativo emnível lógico um

Clear11110000

J00110011

K01010101

Q0000

01

Q1111

10

Permanece

Toogle

Obs.: representamos borda de subida através de uma seta paracima e borda de descida com uma seta para baixo.

Cópia

não a

uto

riza

da. Rese

rvados

todos

os

direitos

auto

rais.

Cópia não autorizada. Reservados todos os direitos autorais.

Cópia não autorizada. Reservados todos os direitos autorais.

Page 105: Eletrônica Digital - :: Instituto Monitormonitoronline.com.br/arquivosbd/...130_eletronica_digital.pdf · 130 ELETRÔNICA DIGITAL 4E Eletrônica Digital Cópia não autorizada. vados

Exercícios Propostos

130/114

1 - Analise o funcionamento do circuito abaixo e preencha a Tabela da Verdade.

2 - Qual o significado de setar?( ) a) Colocar a entrada em nível lógico 0.( ) b)Colocar a entrada em nível lógico 1.( ) c) Colocar a saída em nível lógico 0.( ) d)Colocar a saída em nível lógico 1.( ) e) Borda de subida do clock.

3 - Qual o significado de resetar?( ) a) Colocar a entrada em nível lógico 0.( ) b)Colocar a entrada em nível lógico 1.( ) c) Colocar a saída em nível lógico 0.( ) d)Colocar a saída em nível lógico 1.( ) e) Borda de subida do clock.

MONTAGEM de FLIP-FLOP RS comPORTAS NAND e INVERSORES

S0011

R0101

Q Q

+ 5V

14

+ 5V

14

S

R

1 2 1 3 Q2

3 454 6 Q

R R

LED LED7 7

GND GND

Cópia

não a

uto

riza

da. Rese

rvados

todos

os

direitos

auto

rais.

Cópia não autorizada. Reservados todos os direitos autorais.

Cópia não autorizada. Reservados todos os direitos autorais.

Page 106: Eletrônica Digital - :: Instituto Monitormonitoronline.com.br/arquivosbd/...130_eletronica_digital.pdf · 130 ELETRÔNICA DIGITAL 4E Eletrônica Digital Cópia não autorizada. vados

Instituto Monitor

130/115

4 - Preset serve para:( ) a) Colocar a entrada em nível lógico 0.( ) b)Colocar a entrada em nível lógico 1.( ) c) Colocar a saída em nível lógico 0.( ) d)Colocar a saída em nível lógico 1.( ) e) Borda de subida do clock.

5 - Clear serve para:( ) a) Colocar a entrada em nível lógico 0.( ) b)Colocar a entrada em nível lógico 1.( ) c) Colocar a saída em nível lógico 0.( ) d)Colocar a saída em nível lógico 1.( ) e) Borda de subida do clock.

6 - Entrada de clock em um flip-flop serve para:( ) a) Colocar a entrada em nível lógico 0.( ) b)Colocar a entrada em nível lógico 1.( ) c) Ler a entrada S e R somente em uma borda de clock.( ) d)Ler a saída S e R somente em uma borda de clock.( ) e) Borda de subida do clock.

7 - Por que foi criado o flip-flop JK ?____________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________

8 - O que é complemento de um binário ?( ) a) É o número binário inverso do binário em questão.( ) b)É o que falta para 1.( ) c) É o que falta para 0.( ) d)É o que completa o número.( ) e) É o clock.

9 - O que faz a função toogle?( ) a) Nada, quando J e K forem a nível lógico 1.( ) b) Muita coisa, quando J e K forem a nível lógico 1.( ) c) Inverte a saída e seu complemento, quando J e K forem a nível lógico 1.( ) d) Fixa a saída e seu complemento, quando J e K forem a nível lógico 1.( ) e) É ilógica, quando J e K forem a nível lógico 1.Cópia

não a

uto

riza

da. Rese

rvados

todos

os

direitos

auto

rais.

Cópia não autorizada. Reservados todos os direitos autorais.

Cópia não autorizada. Reservados todos os direitos autorais.

Page 107: Eletrônica Digital - :: Instituto Monitormonitoronline.com.br/arquivosbd/...130_eletronica_digital.pdf · 130 ELETRÔNICA DIGITAL 4E Eletrônica Digital Cópia não autorizada. vados

13lição

lição

130/117

Ligações do Flip-Flop JK

Introdução

Os flip-flops JK são a base dos modernoscomputadores, calculadoras, videogames,modems, internet, etc.

Duas ligações dos flip-flops JK são extre-mamente empregadas: ligação tipo Toogle eligação tipo Data.

Com esta lição aprofundaremos nossoconhecimento sobre os flip-flops, iniciado nalição anterior.

1. Flip-Flop Tipo Toogle

Conectando J e K num mesmo terminal,forçamos o flip-flop a trabalhar nas funçõespermanece (J = 0 e K = 0) e toogle (J = 1 e K = 1).

TOOGLE

J

K

FLIP-FLOP JK SIMPLESBORDA DE SUBIDA

LIGAÇÃO TIPO TOOGLE

Q

Q

T Q

Q

FLIP-FLOP TIPO TTIPO TOOGLE

BORDA DE SUBIDA

DATAJ

K

FLIP-FLOP JK SIMPLESBORDA DE SUBIDALIGAÇÃO TIPO DATA

Q

Q

D Q

Q

FLIP-FLOP TIPO D TIPO DATA

BORDA DE SUBIDA

FLIP-FLOP JK SIMPLESBORDA DE DESCIDA

LIGAÇÃO TIPO TOOGLE

TOOGLEJ

K

Q

Q

T Q

Q

FLIP-FLOP TIPO T TIPO TOOGLE

BORDA DE DESCIDA

DATAJ

K

FLIP-FLOP JK SIMPLESBORDA DE DESCIDALIGAÇÃO TIPO DATA

Q

Q

Flip - Flops JK e suas Ligações Tipo T e D

D Q

Q

FLIP-FLOP TIPO DTIPO DATA

BORDA DE DESCIDA

Os fabricantes acharam vantajoso encap-sular flip-flops tipo toogle em CIs.

2. Flip-Flop Tipo Data

Conectando um inversor entre J e K echamando J de entrada de dados ou data, for-çamos o flip-flop a trabalhar nas funções deset e reset da Tabela da Verdade.

Assim, se na entrada data tivermos nívellógico 1, com o pulso de clock esta informaçãoserá enviada à saída. Se na entrada data tiver-mos nível lógico 0, com o pulso de clock estainformação será enviada à saída.Enquanto nãohouver pulso de clock, a saída e seu comple-mento permanecem inalterados.

Cópia

não a

uto

riza

da. Rese

rvados

todos

os

direitos

auto

rais.

Cópia não autorizada. Reservados todos os direitos autorais.

Cópia não autorizada. Reservados todos os direitos autorais.

Page 108: Eletrônica Digital - :: Instituto Monitormonitoronline.com.br/arquivosbd/...130_eletronica_digital.pdf · 130 ELETRÔNICA DIGITAL 4E Eletrônica Digital Cópia não autorizada. vados

Instituto Monitor

130/118

3. Contadores Binários

Interligando os flip-flops tipo toogle, formamos um contadorde pulsos de clock com saída no formato binário.

Veja como se faz:

CLEAR

CONTA / PAUSA

PULSOS DECONTAGEM

J

K

Q0

Q

QCL

Q1 Q2

J

K

Q

QCL

CONTADOR BINÁRIO COM FLIP-FLOPs JK

J

K

Q

QCL

CLEAR

CONTA / PAUSA

PULSOS DECONTAGEM

T

Q0

Q

QCL

Q1 Q2

T Q

QCL

T Q

QCL

CONTADOR BINÁRIO COM FLIP-FLOPs TIPO T

Anotações/dicas

Cópia

não a

uto

riza

da. Rese

rvados

todos

os

direitos

auto

rais.

Cópia não autorizada. Reservados todos os direitos autorais.

Cópia não autorizada. Reservados todos os direitos autorais.

Page 109: Eletrônica Digital - :: Instituto Monitormonitoronline.com.br/arquivosbd/...130_eletronica_digital.pdf · 130 ELETRÔNICA DIGITAL 4E Eletrônica Digital Cópia não autorizada. vados

Instituto Monitor

130/119

O sentido da contagem, progressiva ou regressiva, é determi-nado pela borda de chaveamento do clock e se as próximas entra-das de clock estão conectadas na saída Q ou no seu complemento.

Vejamos um exemplo:

Note que as ligações do circuito se repetem a cada flip-flopcolocado na seqüência. Assim podemos ter um contador binário de1 bit (contando de 0 até 1, este circuito é utilizado no power dosaparelhos), de 2 bits (contando de 0 até 3), de 3 bits (contando de 0 a7), de 4 bits (contando de 0 a 15, este circuito é chamado de conta-

CLEAR

CONTA / PAUSA

PULSOS DECONTAGEM

J

K

Q0

Q

QCL

Q1 Q2

J

K

Q

QCL

CONTADOR BINÁRIO COM FLIP-FLOPs JK

J

K

Q

QCL

CLEAR

CONTA / PAUSA

PULSOS DECONTAGEM

T

Q0

Q

QCL

Q1 Q2

T Q

QCL

T Q

QCL

CONTADOR BINÁRIO COM FLIP-FLOPs TIPO T

Borda de SUBIDA, CLOCK ligado no Q, contagem regressiva(UP = subida)

Borda de DESCIDA, CLOCK ligado no Q, contagem progressiva(DOWN = descida)

Cópia

não a

uto

riza

da. Rese

rvados

todos

os

direitos

auto

rais.

Cópia não autorizada. Reservados todos os direitos autorais.

Cópia não autorizada. Reservados todos os direitos autorais.

Page 110: Eletrônica Digital - :: Instituto Monitormonitoronline.com.br/arquivosbd/...130_eletronica_digital.pdf · 130 ELETRÔNICA DIGITAL 4E Eletrônica Digital Cópia não autorizada. vados

Instituto Monitor

130/120

dor hexadecimal e é muito utilizado em sistemas de microproces-sadores, microcomputadores); enfim, de quantos bits você quiser.Para cada bit é colocado um flip-flop na seqüência.

Vejamos pela primeira vez um gráfico que mostra as altera-ções do circuito lógico no tempo.

Obs.: Time Chart é o nome em inglês, traduzido para Carta deTempo ou Gráfico de Tempo do circuito lógico.

É necessário que você se acostume a utilizar, a ler e a enten-der termos técnicos em inglês. As fontes tecnológicas estão todasnesse idioma, e o uso de termos técnicos traduzidos para o portu-guês às vezes cria confusão e mau entendimento. No decorrer des-te texto estamos passando lentamente a utilizar os termos técnicoscomo eles são em inglês.

0 15 14 13 12 11 10 9 8 7 6 5 4 3 2 1 0 15 14 13 12 11CLOCK

Q0

Q1

Q2

Q3

CARTA DE TEMPO (TIME CHART) DO CONTADOR DOWN (REGRESSIVO)BORDA DE SUBIDA - CLOCK LIGADO NA SAÍDA Q

Q0

Q1

Q2

Q3

CLOCK

CARTA DE TEMPO (TIME CHART) DO CONTADOR UP (PROGRESSIVO)BORDA DE DESCIDA - CLOCK LIGADO NA SAÍDA Q

0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 0 1 2 3 4 5

0

0

0

0

0

0

0

0

Cópia

não a

uto

riza

da. Rese

rvados

todos

os

direitos

auto

rais.

Cópia não autorizada. Reservados todos os direitos autorais.

Cópia não autorizada. Reservados todos os direitos autorais.

Page 111: Eletrônica Digital - :: Instituto Monitormonitoronline.com.br/arquivosbd/...130_eletronica_digital.pdf · 130 ELETRÔNICA DIGITAL 4E Eletrônica Digital Cópia não autorizada. vados

Instituto Monitor

130/121

O primeiro gráfico é uma combinação degráficos do clock e de cada saída Q.

Os flip-flops são chaveados na borda desubida (transição de nível lógico 0 para nívellógico 1); isto significa que a saída de um flip-flop só alterará seu estado quando houver taltransição em seu pino de entrada de clock.

Olhe para o gráfico de Q0 e compare como gráfico do clock. Note que a saída Q0 só sealtera quando o clock vai de 0 para 1.

Olhando para o circuito, você vê que oclock do segundo flip-flop é a saída do pri-meiro flip-flop. Assim, a saída do segundo flip-flop só se alterará quando a saída do primeiroflip-flop for de 0 para 1. Caso contrário elemantém sua saída no estado anterior.

Voltando ao gráfico e aplicando este con-ceito, você deve comparar os gráficos dois adois.

Cada saída tem um valor correspondenteem decimal. Assim:

• Q0 equivale a 20, que corresponde a 1 emdecimal.

• Q1 equivale a 21, que corresponde a 2 emdecimal.

• Q2 equivale a 22, que corresponde a 4 emdecimal.

• Q3 equivale a 23, que corresponde a 8 emdecimal.

• Q4 equivale a 24, que corresponde a 16 emdecimal.

Note que o índice da saída correspondeao expoente da base 2.

Este conceito é muito aplicado no cursode microprocessadores.

Olhando verticalmente após o primeiropulso de contagem ou pulso de clock, você

verá que todas as saídas terminaram em ní-vel lógico 1.

A conclusão é que um contador formadopor quatro flip-flops tem quatro saídas de Q0até Q3 e apresentou como resultado 11112,que em decimal é 15. Após o segundo pulso,apresentou 11102, que em decimal é 14. Apóso terceiro pulso, apresentou 11012, que emdecimal é 13, e assim por diante.

A contagem é claramente regressiva, indode 15 até 0. Note que o ciclo se repete indefi-nidamente, pois quando é 0 o próximo pulsolevará ao 15. Chamamos este efeito de reci-clagem automática.

O segundo circuito que gerou o segundoconjunto de gráficos tem seus flip-flops cha-veados agora na borda de descida (lembre-seque a borda de chaveamento do flip-flop é de-terminada na hora da escolha do CI atravésdo data book do fabricante).

Este foi o único item alterado, todo o res-to das ligações são idênticas às anteriores.Mas o efeito produzido na contagem foi o in-verso. Agora nós temos um contador up (pro-gressivo), que conta de 0 a 15 e reciclaautomaticamente.

Podemos então criar uma tabela para estetipo de contador.

Na tabela vemos que, alterando apenasuma das opções, o sentido da contagem seinverte.

Utilizando flip-flops com clear, podemosforçá-los a iniciar a contagem a partir dozero, assim que a alimentação do circuito forfeita; e utilizando flip-flops com preset po-

Chaveamento doFlip-Flop

Borda de SubidaBorda de DescidaBorda de SubidaBorda de Descida

CLOCK ligadono...

QQQQ

CONTAGEM

DOWNDOWN

UPUPC

ópia

não a

uto

riza

da. Rese

rvados

todos

os

direitos

auto

rais.

Cópia não autorizada. Reservados todos os direitos autorais.

Cópia não autorizada. Reservados todos os direitos autorais.

Page 112: Eletrônica Digital - :: Instituto Monitormonitoronline.com.br/arquivosbd/...130_eletronica_digital.pdf · 130 ELETRÔNICA DIGITAL 4E Eletrônica Digital Cópia não autorizada. vados

Instituto Monitor

130/122

demos forçá-los a iniciar a contagem a partir do mais alto valor,assim que a alimentação do circuito for feita.

Para que isso ocorra utilizamos um circuito muito simples,chamado acionador automático.

4. Preset e Clear Automáticos

Este circuito é composto apenas de um resistor e um capaci-tor. Observe as figuras:

4.1 Circuito 1

Assim que a alimentação é ligada, o capacitor se comporta comose fosse um curto-circuito. Desta forma, na saída teremos a tensão+Vcc, que é entendida como nível lógico 1.

Passa o tempo e o capacitor vai se carregando, até estar com-pletamente carregado e se tornar um circuito-aberto. Com isso, asaída fica conectada ao GND via resistor de pull-down, que é en-tendido como nível lógico 0.

4.2 Circuito 2

CIRCUITO DE PRESET OU CLEAR AUTOMÁTICO

Circuito para PRESET ou CLEAR ativos em nível lógico 1

+Vcc

C

R

SAÍDA

+VccVOLT NÍVEL LÓGICO UM

NÍVEL LÓGICO ZERO

TEMPO0

CIRCUITO DE PRESET OU CLEAR AUTOMÁTICO

Circuito para PRESET ou CLEAR ativos em nível lógico 0

+Vcc

C

R

SAÍDA

+VccVOLT

NÍVEL LÓGICO UM

NÍVEL LÓGICO ZEROTEMPO

0

Cópia

não a

uto

riza

da. Rese

rvados

todos

os

direitos

auto

rais.

Cópia não autorizada. Reservados todos os direitos autorais.

Cópia não autorizada. Reservados todos os direitos autorais.

Page 113: Eletrônica Digital - :: Instituto Monitormonitoronline.com.br/arquivosbd/...130_eletronica_digital.pdf · 130 ELETRÔNICA DIGITAL 4E Eletrônica Digital Cópia não autorizada. vados

Instituto Monitor

130/123

Assim que a alimentação é ligada, o capacitor se comporta comose fosse um curto-circuito. Desta forma, teremos a saída conecta-da ao GND, que é entendida como nível lógico 0.

Passa o tempo e o capacitor vai se carregando, até estar com-pletamente carregado e se tornar um circuito-aberto. Com isso asaída fica conectada ao +Vcc via resistor de pull-up, que é enten-dido como nível lógico 1.

5. Contadores Binários Encapsulados

Novamente os fabricantes de CIs acharam vantajoso encapsu-lar circuitos contadores completos. Dentre os disponíveis no mer-cado, citamos, entre outros:

• CI 74LS192 – Contador binário decimal (conta de 0 a 9), pode serprogramado para iniciar a contagem a partir de um determinadonúmero. Pode ser programado para realizar a contagem de modoprogressivo ou regressivo (up ou down). Permite ser interligadoem cascata com outros 74LS192 através dos pinos carry (vai um)e borrow (empresta um). Permite zerar a contagem a qualquermomento através do pino clear.

• CI 74LS193 e 74LS192 – Contadores binários hexadecimal (con-ta de 0 a F) e decimal (conta de 0 a 9), respectivamente. Podemser programados para iniciar a contagem a partir de um deter-minado número. Também podem ser programados para realizara contagem de modo progressivo ou regressivo (up ou down). Per-mitem ser interligados em cascata com outros 74LS193 ou74LS192 através dos pinos carry (vai um) e borrow (emprestaum). Permitem zerar a contagem a qualquer momento atravésdo pino clear. Suas entradas de clock (up ou down) são sensíveisà borda de subida.

74LS192

3

12 CARRY OUT

Q0

15 1 10

A B C

CONTADOR DECIMAL

2 6 7 16 8

13BORROW OUT

Q1 Q2 Q3 +Vcc GND

9 5 4 11 14

D UP DOWN LOAD CLEAR

Cópia

não a

uto

riza

da. Rese

rvados

todos

os

direitos

auto

rais.

Cópia não autorizada. Reservados todos os direitos autorais.

Cópia não autorizada. Reservados todos os direitos autorais.

Page 114: Eletrônica Digital - :: Instituto Monitormonitoronline.com.br/arquivosbd/...130_eletronica_digital.pdf · 130 ELETRÔNICA DIGITAL 4E Eletrônica Digital Cópia não autorizada. vados

Instituto Monitor

130/124

• CI 4040 – Contador BCD - binário apenas com 12 saídas, geranúmeros binários de 0 até 211, o que corresponde a 2.048 combi-nações, equivalentes aos decimais de 0 até 2.047. Não permiteprogramação alguma. Sua contagem é sempre progressiva (up).Permite zerar a contagem a qualquer momento através do pinoreset.

Você pode encontrar outras opções consultando os data booksdos fabricantes e escolher a que melhor se aplica ao seu projeto.

6. Contadores Binários Programáveis

Você já deve ter visto o lançamento de foguetes em contagem re-gressiva, ou relógios digitais contando 60 segundos de 0 a 59. Afinal,como programar o contador para que ele faça esse tipo de contagem?

74LS193

3

12 CARRY OUT

Q0

15 1 10

A B C

CONTADOR HEXADECIMAL

2 6 7 16 8

13BORROW OUT

Q1 Q2 Q3 +Vcc GND

9 5 4 11 14

D UP DOWN LOAD CLEAR

CD4040BM/CD4040BC

16

VDD

15 14 13 12 11 10 9

1 2 3 4 5 6 7 8

Q11 Q10 Q8 Q9 RESET ∅1 Q1

Q12 Q6 Q5 Q7 Q4 VssQ3 Q2

Vss

VDD

Cópia

não a

uto

riza

da. Rese

rvados

todos

os

direitos

auto

rais.

Cópia não autorizada. Reservados todos os direitos autorais.

Cópia não autorizada. Reservados todos os direitos autorais.

Page 115: Eletrônica Digital - :: Instituto Monitormonitoronline.com.br/arquivosbd/...130_eletronica_digital.pdf · 130 ELETRÔNICA DIGITAL 4E Eletrônica Digital Cópia não autorizada. vados

Instituto Monitor

130/125

6.1 Programando o Término da Contagem

Um contador conta pulsos. Estes pulsos podem vir de um gera-dor de pulsos de clock ou de sensores do tipo abre-fecha contatos.

Não entrando pulsos, o contador não conta. Óbvio!, você diria.Então responda: como fazer para que um circuito contador pare decontar? Simples! Tire dele os pulsos de contagem!

Lembre-se da porta lógica AND de duas entradas. A saída sóestará em nível lógico 1 quando as duas entradas estiverem emnível lógico 1. Com isso montamos nosso bloqueador de pulsos decontagem.

O funcionamento é bem simples: quando a chave está ligada ao+5V (nível lógico 1), a saída da porta só depende do que está naoutra entrada. Assim, quando o pulso for 1, na saída teremos 1,quando o pulso for 0, na saída teremos 0. Ou seja, os pulsos de con-tagem passam direto. Chamamos isso de bypass.

Agora, quando a chave está ligada ao GND (nível lógico 0), asaída permanece o tempo todo em 0. Ou seja, bloqueamos os pulsosde contagem e o contador pára de contar.

Vamos fazer agora a parada de contagem em um número qual-quer. Devemos saber primeiro em que número desejamos que acontagem pare.

Façamos uma combinação lógica entre as saídas do contadorde modo a produzir um sinal quando este número aparecer.

CIRCUITO BLOQUEADOR DE PULSOS

+ 5V

Entrada Saída

PULSOSde

CONTAGEM

CONTA

+ 5V

PARA7

GND

32

R

LED

14

1

Cópia

não a

uto

riza

da. Rese

rvados

todos

os

direitos

auto

rais.

Cópia não autorizada. Reservados todos os direitos autorais.

Cópia não autorizada. Reservados todos os direitos autorais.

Page 116: Eletrônica Digital - :: Instituto Monitormonitoronline.com.br/arquivosbd/...130_eletronica_digital.pdf · 130 ELETRÔNICA DIGITAL 4E Eletrônica Digital Cópia não autorizada. vados

Instituto Monitor

130/126

Exemplo 1

Contador: up (progressivo)

Início de contagem: 0

Término de contagem: 12 (em hexadecimal é “C”)

CI contador = 74LS193

Ao ligarmos a alimentação do circuito, o capacitor C se com-porta como um curto-circuito, colocando o pino 14 em nível lógico1 e zerando o contador (todas as saídas vão a NL = 0).

A saída da porta NAND de quatro entradas fica o tempo emnível lógico 1, só indo a nível lógico 0 quando Q0 = 0 e Q1 = 0 e Q2 = 1e Q3 = 1, representando o decimal 12. Em outras palavras, quandoas saídas formarem o decimal 12, a saída da porta NAND vai a nívellógico 0, travando (parando) o contador no número 12.

A porta AND de 2 entradas é o nosso bloqueador de pulsos. Asdemais ligações são determinadas pelo fabricante em seu data book.

Conectar a entrada down em NL = 1; desabilitar load colocan-do-o em NL = 1; desabilitar o clear colocando-o em NL = 0 (o cir-cuito automático já faz isso).

3 2 6 7 16 8+ 5V

12 13

A B C D

CARRY OUT BORROW OUT

Q0 Q1 Q2 Q3 + Vcc GND

UP DOWN LOAD CLEAR

R R R R

15 1 10 9 5 4 11 14R

PULSOS DECONTAGEM

+ 5V

C

74LS193

Cópia

não a

uto

riza

da. Rese

rvados

todos

os

direitos

auto

rais.

Cópia não autorizada. Reservados todos os direitos autorais.

Cópia não autorizada. Reservados todos os direitos autorais.

Page 117: Eletrônica Digital - :: Instituto Monitormonitoronline.com.br/arquivosbd/...130_eletronica_digital.pdf · 130 ELETRÔNICA DIGITAL 4E Eletrônica Digital Cópia não autorizada. vados

Instituto Monitor

130/127

Exemplo 2

Contador: down (regressivo)

Início de contagem: 9

Término de contagem: 0

CI contador = 74LS193

Existem poucas diferenças em relação ao circuito anterior. Aoligarmos a alimentação, o capacitor se comporta como um curto-circuito, levando o pino 11 a nível lógico 0 e ativando o load quetransfere os níveis lógicos das entradas A, B, C e D para as respec-tivas saídas Q0, Q1, Q2 e Q3.

Conclusão: ao ligarmos o circuito, ele se inicia com o número 9,que é a combinação entre as entradas A, B, C e D.

A saída da porta NAND de quatro entradas fica o tempo todoem NL = 1 até o momento em que todas as saídas estejam em NL = 0,quando então vai a NL = 0, parando a contagem.

Você pode “brincar” com este circuito básico e fazê-lo contara partir de qualquer número e parar em qualquer número.

3 2 6 7 16 8+ 5V

12 13

A B C D

CARRY OUT BORROW OUT

Q0 Q1 Q2 Q3 + Vcc GND

UP DOWN LOAD CLEAR

R R R R

15 1 10 9 5 4 11 14R

PULSOS DECONTAGEM

+ 5V

C

74LS193

Cópia

não a

uto

riza

da. Rese

rvados

todos

os

direitos

auto

rais.

Cópia não autorizada. Reservados todos os direitos autorais.

Cópia não autorizada. Reservados todos os direitos autorais.

Page 118: Eletrônica Digital - :: Instituto Monitormonitoronline.com.br/arquivosbd/...130_eletronica_digital.pdf · 130 ELETRÔNICA DIGITAL 4E Eletrônica Digital Cópia não autorizada. vados

Exercícios Propostos

130/128

1 - Faça as ligações para que o circuito abaixo seja progressivo. Inicie a contagem apartir do número 5 (em binário) e pare a contagem no número 15 (“F” em hexadeci-mal). Explique como o circuito funciona.

3 2 6 7 16 8

+ 5V

12 13

A B C D

CARRY OUT BORROW OUT

Q0 Q1 Q2 Q3 + Vcc GND

UP DOWN LOAD CLEAR

R R R R

15 1 10 9 5 4 11 14

R

PULSOS DECONTAGEM

+ 5V

C

74LS193

Explicação: ___________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________

Cópia

não a

uto

riza

da. Rese

rvados

todos

os

direitos

auto

rais.

Cópia não autorizada. Reservados todos os direitos autorais.

Cópia não autorizada. Reservados todos os direitos autorais.

Page 119: Eletrônica Digital - :: Instituto Monitormonitoronline.com.br/arquivosbd/...130_eletronica_digital.pdf · 130 ELETRÔNICA DIGITAL 4E Eletrônica Digital Cópia não autorizada. vados

Instituto Monitor

130/129

2 - O que significa bypass?( ) a) sinal lógico 1 que vira 0.( ) b) sinal lógico da entrada que vai direto para a saída.( ) c) sinal lógico da saída que vai direto para a entrada.( ) d) sinal lógico que não vai para lugar nenhum.( ) e) Nenhuma das alternativas anteriores.

3 - Uma seqüência de flip-flops tipo T (toogle) interligados, formando um contadorbinário. Sendo eles sensíveis à borda de descida e tendo as entradas de clock, apartir do segundo flip-flop, ligadas no complemento da saída anterior, a contagemserá:

( ) a) progressiva.( ) b) regressiva.( ) c) compulsiva.( ) d) equilibrada.( ) e) não haverá contagem.

Cópia

não a

uto

riza

da. Rese

rvados

todos

os

direitos

auto

rais.

Cópia não autorizada. Reservados todos os direitos autorais.

Cópia não autorizada. Reservados todos os direitos autorais.

Page 120: Eletrônica Digital - :: Instituto Monitormonitoronline.com.br/arquivosbd/...130_eletronica_digital.pdf · 130 ELETRÔNICA DIGITAL 4E Eletrônica Digital Cópia não autorizada. vados

14lição

lição

130/131

Codificadores eDecodificadores

Introdução

Vamos dar uma paradinha estratégica nosflip-flops e olhar um pouco os decodifica-dores.

Na lição anterior você projetou umcontador binário, programou-o a seu modo,etc. Mas a frustração era imaginar a talcontagem em decimal, pois o contador ébinário.

Binário, Decimal, Hexadecimal, entreoutros, são códigos. Apesar de conhecermosa palavra, podemos perguntar: o que signifi-ca “código”?

Esta lição visa introduzir o aluno no mun-do dos códigos empregados em sistemas di-gitais. Para tanto, é preciso começar pelo pró-prio sentido da palavra “código”.

1. Códigos em Sistemas Digitais

No Dicionário Aurélio, a palavra códigosignifica:

• Vocabulário ou sistema de sinais conven-cionais ou secretos utilizados em corres-pondências e comunicações.

• Informática: sistema de símbolos com quese representam dados e instruções de pro-grama, de modo a tornar possível seuprocessamento por computador.

Em sistemas digitais podemos citar al-guns códigos para representar um númerodecimal:

• Código BCD 8421

• Código Johnson

• Código Gray

• Código Excesso 3 (XS-3)

• entre outros...

Acompanhe, a seguir, a descrição de al-guns desses códigos.

1.1 BCD 8421

BCD significa Binary Coded Decimal. As-sim, BCD 8421 representa os números deci-mais de 0 a 9 com 4 bits (binary digits). O LSbvale 1 e o MSb vale 8.

1.2 Código Johnson

Este código utiliza-se de 10 bits (binarydigits) para representar um número decimalde 0 a 9. Assim:

0000000001 = 00000000010 = 10000000100 = 20000001000 = 30000010000 = 40000100000 = 50001000000 = 60010000000 = 70100000000 = 81000000000 = 9

Cópia

não a

uto

riza

da. Rese

rvados

todos

os

direitos

auto

rais.

Cópia não autorizada. Reservados todos os direitos autorais.

Cópia não autorizada. Reservados todos os direitos autorais.

Page 121: Eletrônica Digital - :: Instituto Monitormonitoronline.com.br/arquivosbd/...130_eletronica_digital.pdf · 130 ELETRÔNICA DIGITAL 4E Eletrônica Digital Cópia não autorizada. vados

Instituto Monitor

130/132

1.3 Código Excesso 3 (XS-3)

Este código é composto pelo BCD 8421 com excesso de 3.

Assim, em XS-3 (na língua inglesa “X” tem som de “ex” e “S” tem som de “és”. Juntando temos o som da palavra excess, que significa excesso).

o acendimento de cada um destes segmentos (leds), podemos formar números de 0 a 9.

16,2

4

8 4

18,0

6

14,2

2

1,25

0,5

2,54a

d

e c

g

f b

a

bf

g

ec

d

ÂNODO COMUM

ESTRUTURA INTERNA DE UM DISPLAY DE 7 SEGMENTOS

CÁTODO COMUM

0011 = 00100 = 10101 = 20110 = 30111 = 41000 = 51001 = 61010 = 71011 = 81100 = 9

∅ 1,68 D.P.o

a

bf

g

ec

d

Note que apesar de utilizarmos binários, não podemos colocar a base 2, pois se trata de um código que utiliza o binário.

2. Decodificadores

Você mesmo pode inventar um código utilizando binários, de modo a representar os números decimais.

Um homem pensou assim e patenteou a idéia da “criptografia” no mundo da infor-mática. Hoje nossas transações financeiras e de segurança via Internet são todas cripto­grafadas para evitar fraudes. O Código utilizado é um segredo de cada banco ou instituição financeira.

Mas isso é só um comentário. O que nos interessa são os circuitos decodificadores, que pegam estes códigos e decodificam no-vamente para o decimal.

Para visualizarmos um número de 0 a 9, utilizamos um dispositivo chamado display de 7 segmentos (display em inglês significa mostrador). Ele é composto de 7 leds arran-jados de modo a formar um 8. Controlando

Cópia

não a

uto

riza

da. Rese

rvados

todos

os

direitos

auto

rais.

Cópia não autorizada. Reservados todos os direitos autorais.

Cópia não autorizada. Reservados todos os direitos autorais.

Page 122: Eletrônica Digital - :: Instituto Monitormonitoronline.com.br/arquivosbd/...130_eletronica_digital.pdf · 130 ELETRÔNICA DIGITAL 4E Eletrônica Digital Cópia não autorizada. vados

Instituto Monitor

130/133

Observe o circuito a seguir feito de diodos e um display de 7segmentos.

• Ao acionarmos a chave para a posição 1, aparece no display onúmero 0.

• Na posição 2, aparece no display o número 6.

• Na posição 3, aparece a letra A. Lembra-se dos hexadecimais?

Os diodos utilizados servem para evitar o retorno de correnteelétrica.Caso eles não estivessem ali, todos os segmentos acende-riam ao mesmo tempo.

Você pode expandir esse circuito e montar um decodificadorde Código Johnson para decimal via display de 7 segmentos (nãonos referimos ao ponto decimal como segmento).

Os principais decodificadores também são encontrados emum único CI. Dentre eles destacamos: BCD to 7 Segment Decoder(no CI 4511) e BDC to Decimal Decoder (no CI 4028). Vamosconhecê-los

2.1 BCD to 7 Segment Decoder (CI 4511)

Trata-se de um CI com entradas para código BCD e saída paradisplay de 7 segmentos do tipo cátodo comum (reveja o exemplo).Converte BCD em saída decimal via display de 7 segmentos.

Pos. 1 Pos. 2 Pos. 3

a

( 1 )+5 volts

bcdefg

( 2 )

( 3 )

a

f b

cd

e

g

Cópia

não a

uto

riza

da. Rese

rvados

todos

os

direitos

auto

rais.

Cópia não autorizada. Reservados todos os direitos autorais.

Cópia não autorizada. Reservados todos os direitos autorais.

Page 123: Eletrônica Digital - :: Instituto Monitormonitoronline.com.br/arquivosbd/...130_eletronica_digital.pdf · 130 ELETRÔNICA DIGITAL 4E Eletrônica Digital Cópia não autorizada. vados

Instituto Monitor

130/134

Consultando o data book, temos:

• Vdd = 3V a 15V.

• a, b, c, d, e, f, g são as saídas para os segmentos do display CC(cátodo comum).

• A, B, C, D são as entradas BCD, sendo A o LSb e D o MSb (estaordem é dada pelo fabricante, uma vez que não existe norma ouregra dizendo que A deve ou não ser o LSb).

• Vss = GND (0 V).

• LT = Lamp Test. Quando este pino está habilitado, todos os seg-mentos devem se acender. Serve para verificar se algum displayapresenta segmento queimado.

• BI = Blank in. Quando este pino está habilitado, todos os seg-mentos devem ficar apagados. Serve para apagar, por exemplo,os números zero à esquerda do número.

• LE = Latch Enable. Quando este pino é habilitado, ele trava aúltima informação presente nas saídas. Ou seja, quando ele éhabilitado, o CI deixa de observar a entrada e mantém a saída.Serve para “fotografar” uma informação que está presente nasentradas por um intervalo de tempo muito pequeno. Muito utili-zado em sistemas microprocessados onde a velocidade do clocké da ordem de MHz (1:1.000.000 segundos).

Sua Tabela da Verdade é:

Vdd f g a b c d e

B C LT BI LE D A Vss

4511

LT

0111111111111

BI

1011111111111

LE

XX10000000000

D

XXX0000000011

C

XXX0000111100

B

XXX0011001100

A

XXX0101010101

a

10

1011011111

b

10

1111100111

c

10

1101111111

d

10

1011011011

e

10

1010001010

f

10

1000111011

g

10

0011111011

DISPLAY8

0123456789

Mantém as saídas no momento do LATCHCópia

não a

uto

riza

da. Rese

rvados

todos

os

direitos

auto

rais.

Cópia não autorizada. Reservados todos os direitos autorais.

Cópia não autorizada. Reservados todos os direitos autorais.

Page 124: Eletrônica Digital - :: Instituto Monitormonitoronline.com.br/arquivosbd/...130_eletronica_digital.pdf · 130 ELETRÔNICA DIGITAL 4E Eletrônica Digital Cópia não autorizada. vados

Instituto Monitor

130/135

A ordem dos segmentos segue um padrão. Por exemplo, o seg-mento “a” sempre será o segmento superior horizontal e no senti-do horário.

Note que o Lamp Test e o Blank in são ativos (habilitados) emnível lógico 0 e precisam estar em nível lógico 1 para liberar o fun-cionamento do decoder.

2.2 BCD to Decimal Decoder (CI 4028)

Este CI tem quatro entradas (A, B, C e D) e dez saídas (0, 1, 2, 3,4, 5, 6, 7, 8, 9). Cada saída irá a nível lógico 1 quando o respectivobinário estiver nas entradas.

Você pode construir qualquer circuito codificador oudecodificador, incluindo os que vimos nesta lição, utilizando por-tas lógicas. As variáveis serão as mesmas, porém teremos 7 saídase 10 saídas. Para cada saída teremos um circuito digital responsá-vel por controlá-la.

Perceba a vantagem de se trabalhar com decoders montadosem CIs.

Vdd 3 1 B C D A 8

4 2 0 7 9 5 6 Vss

4028

D

0000000011

111111

C

0000111100

001111

B

0011001100

110011

A

0101010101

010101

0

1000000000

000000

1

0100000000

000000

2

0010000000

000000

3

0001000000

000000

4

0000100000

000000

5

0000010000

000000

6

0000001000

000000

7

0000000100

000000

8

0000000010

101010

9

0000000001

010101

Outros casos previstos de entrada sem significado como DECODER na saída

Cópia

não a

uto

riza

da. Rese

rvados

todos

os

direitos

auto

rais.

Cópia não autorizada. Reservados todos os direitos autorais.

Cópia não autorizada. Reservados todos os direitos autorais.

Page 125: Eletrônica Digital - :: Instituto Monitormonitoronline.com.br/arquivosbd/...130_eletronica_digital.pdf · 130 ELETRÔNICA DIGITAL 4E Eletrônica Digital Cópia não autorizada. vados

Exercício Proposto

130/136

1 - Faça as ligações entre o 4511 e o display de 7 segmentos, de modo a deixá-lo prontopara receber as entradas A, B, C e D. Obs.: os resistores de 330 ohms têm a funçãode limitar a corrente que vai para o led, evitando queimar o CI e o próprio led.Resistores de 330 ohms são um padrão para tensão de alimentação de +5V.

a

bf

g

ec

d

Vdd f g a b c d e

B C LT BI LE D A Vss

4511

330 Ω

330 Ω

330 Ω

330 Ω

330 Ω

330 Ω

330 Ω

Cópia

não a

uto

riza

da. Rese

rvados

todos

os

direitos

auto

rais.

Cópia não autorizada. Reservados todos os direitos autorais.

Cópia não autorizada. Reservados todos os direitos autorais.

Page 126: Eletrônica Digital - :: Instituto Monitormonitoronline.com.br/arquivosbd/...130_eletronica_digital.pdf · 130 ELETRÔNICA DIGITAL 4E Eletrônica Digital Cópia não autorizada. vados

15lição

lição

130/137

Shift-Register ouRegistrador de Deslocamento

Introdução

Encerrando neste fascículo a parte quetrata de circuitos digitais, veremos o que sãoos registradores de deslocamento e qual o seuemprego na Eletrônica Digital.

O objetivo principal desta lição é trans-mitir ao aluno conhecimentos sobre a trans-formação de informação paralela em infor-mação serial, de importante aplicação naInformática.

1. Conceito

Os registradores de deslocamento sãoformados exclusivamente por flip-flops JKligados na configuração tipo data ou simples-mente flip-flops tipo D.

Ligados em cascata (saída de um ligada àentrada do outro e assim por diante), servempara converter uma seqüência paralela de bitsem uma seqüência serial de pulsos binários.

• Paralelo: utilizamos este termo para nosreferir a uma quantidade de bits que de-vem ser trabalhados juntos. Exemplos: osmodernos computadores e videogames uti-

lizam binários no formato paralelo e sãoindicados como microprocessador de 16bits, 32 bits, 64 bits; videogames de 32bits,etc.

• Serial: utilizamos este termo para nos re-ferir a uma informação binária que apare-ce na saída chamada serial, bit a bit com opassar do tempo.

Os contadores que estudamos anterior-mente têm em suas saídas uma “palavra” bi-nária no formato paralelo. Enxergamos todosos bits que compõem a saída de uma única vez.

Os modems utilizam a linha telefônicapara enviar dados. Como a linha telefônicasó tem dois fios, precisamos enviar a tal “pa-lavra” binária um bit de cada vez. Ou seja,uma série de pulsos compõe tal “palavra”.

Quem transforma informação paralela emserial são os circuitos chamados shift-registers ou registradores de deslocamento.Mas registrar o deslocamento de quem? Res-posta: dos bits da tal “palavra” binária.

Vejamos os Circuitos EP/SS (EntradaParalela/Saída Serial) e ES/SP, (EntradaSerial/Saída Paralela):

Cópia

não a

uto

riza

da. Rese

rvados

todos

os

direitos

auto

rais.

Cópia não autorizada. Reservados todos os direitos autorais.

Cópia não autorizada. Reservados todos os direitos autorais.

Page 127: Eletrônica Digital - :: Instituto Monitormonitoronline.com.br/arquivosbd/...130_eletronica_digital.pdf · 130 ELETRÔNICA DIGITAL 4E Eletrônica Digital Cópia não autorizada. vados

Instituto Monitor

130/138

Este é um circuito EP/SS formado com flip-flops JK e inverso-res. Pergunta: para que fazer tanta ligação se já existem os flip-flops tipo D (data)? Resposta: assim o circuito fica mais “limpo”.

Neste exemplo temos uma “palavra” binária de 3 bits, mas éfácil observar que, conforme colocamos mais flip-flops em cascata,o tamanho da “palavra” binária aumenta para 4 bits, 5 bits, 6 bits,...,32 bits... Enfim, para quantos precisarmos.

J

CLOCK PARATRANSFERÊNCIA

ENTRADA PARALELA bit = 1

Q0 Q1 Q2

ENTRADA PARALELA bit = 0

SAÍDASERIAL

Q

K Q

PR

CL

J Q

K Q

PR

CL

J Q

K Q

PR

CL

SAÍDA PARALELA

D

CLOCK PARATRANSFERÊNCIA

ENTRADA PARALELA bit = 1

Q0 Q1 Q2

ENTRADA PARALELA bit = 0

SAÍDASERIAL

Q

Q

PR

CL

D Q

Q

PR

CL

D Q

Q

PR

CL

SAÍDA PARALELA

Cópia

não a

uto

riza

da. Rese

rvados

todos

os

direitos

auto

rais.

Cópia não autorizada. Reservados todos os direitos autorais.

Cópia não autorizada. Reservados todos os direitos autorais.

Page 128: Eletrônica Digital - :: Instituto Monitormonitoronline.com.br/arquivosbd/...130_eletronica_digital.pdf · 130 ELETRÔNICA DIGITAL 4E Eletrônica Digital Cópia não autorizada. vados

Instituto Monitor

130/139

2. Funcionamento

Antes de enviar a tal “palavra” binária no formato serial, pre-cisamos carregá-la no circuito EP/SS. Isto é feito ativando o presetquando o bit correspondente for 1 ou ativando o clear quando o bitcorrespondente for 0.

Uma vez carregada a “palavra” binária, as saídas apresentamuma cópia da informação, e a saída do último flip-flop será a saídaserial.

O pulso de clock agora tem a função de transferir a informaçãode um flip-flop para o próximo.

Lembre-se do delay time (atraso de propagação). Como todasas entradas de clock de todos os flip-flops estão juntas num únicoponto, todos lerão suas entradas no mesmo instante e durante otempo da borda de chaveamento.

Como vimos, o tempo de uma borda, seja ela de subida ou dedescida, é muitas vezes menor que o delay time. Isto implica que,quando um flip-flop recebe a borda do clock, ele gastará um tempomaior para colocar a informação da entrada na saída. Até que istoocorra, o tempo da borda já acabou.

Exemplo

Q0

Q

Q

PR

CL

D

Q1

Q

Q

PR

CL

D

Q2

Q

Q

PR

CL

D

FF-0 FF-1 FF-2

C

R

+ 5V

CLOCK PARATRANFERÊNCIA

CLOCKQ0

Q1

Q2

SAÍDASERIAL

Cópia

não a

uto

riza

da. Rese

rvados

todos

os

direitos

auto

rais.

Cópia não autorizada. Reservados todos os direitos autorais.

Cópia não autorizada. Reservados todos os direitos autorais.

Page 129: Eletrônica Digital - :: Instituto Monitormonitoronline.com.br/arquivosbd/...130_eletronica_digital.pdf · 130 ELETRÔNICA DIGITAL 4E Eletrônica Digital Cópia não autorizada. vados

Instituto Monitor

130/140

Ao ligarmos o circuito, o capacitor se comporta como um cur-to-circuito, levando preset do FF-0 a nível lógico 0, ativando-o efazendo sua saída ir para nível lógico 1; levando clear dos FF-1 eFF-2 a nível lógico 0, ativando-os e fazendo suas saídas irem paranível lógico 0.

Então, nossa palavra de 3 bits é 100.

Podemos observar isso no Diagrama de Tempos da figura. An-tes do primeiro pulso de clock borda de subida, as saídas estão Q0= 1, Q1 = 0 e Q2 = 0.

Na primeira borda de subida do clock, todos os flip-flops fa-zem a leitura de suas entradas. Mas perceba que esta leitura é muitorápida e termina antes que os flip-flops consigam colocar suas lei-turas em suas saídas. Isto quer dizer que cada flip-flop lê o estadode cada saída antes do pulso de clock.

Após a primeira borda de subida:

• o FF-0 lê sua entrada que é 0 e a manda para a saída.

• o FF-1 lê sua entrada que é a saída do FF-0 que está em 1, pois anova informação ainda não chegou.

• o FF-2 lê sua entrada que é a saída do FF-1 que está em 0, pois anova informação ainda não chegou.

Após a segunda borda de subida:

• o FF-0 lê sua entrada que é 0 e a manda para a saída.

• o FF-1 lê sua entrada que é a saída do FF-0 que está em 0.

• o FF-2 lê sua entrada que é a saída do FF-1 que está em 1.

Após a terceira borda de subida:

• o FF-0 lê sua entrada que é 0 e a manda para a saída.

• o FF-1 lê sua entrada que é a saída do FF-0 que está em 0.

• o FF-2 lê sua entrada que é a saída do FF-1 que está em 0.

Com isso a “palavra” binária 100 foi transferida para a saídaserial bit a bit.

Para recuperar uma “palavra” binária enviada no formato serial,precisamos, além do sinal serial, o sinal de clock que originou osinal serial. Chamamos isso de sincronismo. Sem sincronismo nãoconseguimos recuperar ou converter um sinal serial em paralelo.

Cópia

não a

uto

riza

da. Rese

rvados

todos

os

direitos

auto

rais.

Cópia não autorizada. Reservados todos os direitos autorais.

Cópia não autorizada. Reservados todos os direitos autorais.

Page 130: Eletrônica Digital - :: Instituto Monitormonitoronline.com.br/arquivosbd/...130_eletronica_digital.pdf · 130 ELETRÔNICA DIGITAL 4E Eletrônica Digital Cópia não autorizada. vados

Instituto Monitor

130/141

Assim, o circuito ES/SP também precisa dos pulsos de clockde modo sincrônico que originou o sinal serial.

Vejamos:

Este circuito desfaz o que o circuito anterior fez, ou seja, é umconversor serial-paralelo.

A situação é bastante lógica e depende muito do sincronismo.

Pense! Se você utilizou três pulsos de clock (três bordas de su-bida) para converter de paralelo para serial, você precisará dos mes-mos três pulsos de clock para converter de serial para paralelo.

Observando a figura e o gráfico, note que após a terceira bordade subida teremos nas saídas a “palavra” binária 100.

Se não houver o sincronismo, não haverá recuperação da “pa-lavra” binária original, mas de outra “palavra” binária qualquersem o significado ou sentido esperado.

Uma aplicação maior destes circuitos é feita em microcom-putadores.

Q0

Q

Q

PR

CL

D

Q1

Q

Q

PR

CL

D

Q2

Q

Q

PR

CL

D

FF-0 FF-1 FF-2

+ 5V

CLOCK PARATRANFERÊNCIA

CLOCKQ0

Q1

Q2

SAÍDASERIAL

SAÍDA PARALELA

ENTRADASERIAL

Cópia

não a

uto

riza

da. Rese

rvados

todos

os

direitos

auto

rais.

Cópia não autorizada. Reservados todos os direitos autorais.

Cópia não autorizada. Reservados todos os direitos autorais.

Page 131: Eletrônica Digital - :: Instituto Monitormonitoronline.com.br/arquivosbd/...130_eletronica_digital.pdf · 130 ELETRÔNICA DIGITAL 4E Eletrônica Digital Cópia não autorizada. vados

Exercícios Propostos

130/142

1 - Faça as ligações para transformar uma “palavra” binária de três bits, sendo 111, noformato serial.

2 - Por que nos modems precisamos transformar uma palavra binária em formato serial?( ) a) Porque, nos computadores, o formato das palavras é paralelo e no telefone só

temos 2 fios.( ) b)Porque, nos computadores, o formato das palavras é serial e no telefone só te-

mos 2 fios.( ) c) Porque, nos computadores, o formato dos telefones é paralelo e no telefone só

temos 2 fios.( ) d)Porque, nos computadores, o formato das palavras paralelo e serial só tem 2 fios.( ) e) Porque, nos computadores, o formato da serial é paralelo e no telefone só temos

2 fios.

Q0

Q

Q

PR

CL

D

C

R

+ 5V

CLOCK PARATRANFERÊNCIA

SAÍDASERIAL

Q1 Q2

Q

Q

PR

CL

D Q

Q

PR

CL

D

Cópia

não a

uto

riza

da. Rese

rvados

todos

os

direitos

auto

rais.

Cópia não autorizada. Reservados todos os direitos autorais.

Cópia não autorizada. Reservados todos os direitos autorais.

Page 132: Eletrônica Digital - :: Instituto Monitormonitoronline.com.br/arquivosbd/...130_eletronica_digital.pdf · 130 ELETRÔNICA DIGITAL 4E Eletrônica Digital Cópia não autorizada. vados

Instituto Monitor

130/143

3 - A maior aplicação de circuitos shift-register ocorre em:( ) a) bancos.( ) b)postos.( ) c) computadores.( ) d)casas lotéricas.( ) e) televisores.

4 - ES/SP e EP/SS significam:_____________________________________________________________________________

_____________________________________________________________________________

5 - Complete:Por causa do delay time, os dados da _____________ demoram __________________ q u ea borda de _______________ para atingir a ___________________ :

( ) a) entrada - mais - subida - saída.( ) b)saída - mais - subida - entrada.( ) c) entrada - menos - subida - saída.( ) d)entrada - mais - descida - saída.( ) e) saída - menos - descida - saída.

Cópia

não a

uto

riza

da. Rese

rvados

todos

os

direitos

auto

rais.

Cópia não autorizada. Reservados todos os direitos autorais.

Cópia não autorizada. Reservados todos os direitos autorais.

Page 133: Eletrônica Digital - :: Instituto Monitormonitoronline.com.br/arquivosbd/...130_eletronica_digital.pdf · 130 ELETRÔNICA DIGITAL 4E Eletrônica Digital Cópia não autorizada. vados

16lição

lição

130/145

Operações Aritméticascom Binários

Introdução

Nesta última lição, para você relembrar, re-tomamos o trabalho com os números binários,tratando de operações aritméticas com eles.

Os sistemas digitais às vezes devem ope-rar dois números binários.

Podemos somar e subtrair binários. Mul-tiplicar e dividir binários já é algo mais com-plexo que demanda um processamento dedados. Requer, portanto, o uso de computa-dores. Vamos lá!

1. Soma de Binários

Você já deve ter percebido que todo serhumano só consegue operar dois números porvez. Vejamos o exemplo de adição abaixo:

713

24737+

Se você analisar o processo de adição, no-tará essa limitação do ser humano em traba-lhar com apenas dois números de cada vez:

• Três mais quatro é sete.

• Um mais dois é três.

• Sete mais nada é sete.

• Resultado: setecentos e trinta e sete.

Note este outro exemplo:

256

65321+

• Seis mais cinco é onze; coloca-se o númeroum e “vai um” para o cinco lá em cima.

• Cinco com “um que foi” é seis, mais seis édoze; coloca-se o número dois e “vai um”para o dois lá em cima.

• Dois mais “um que foi” é três. Três maisnada é três.

• Resultado: trezentos e vinte e um.

Com os circuitos lógicos somadores ocor-re a mesma coisa. Neles entram dois bits esai o resultado.

Às vezes acontece o “vai um” e o circuitomanda um bit para o próximo circuito.

Primeiro vejamos como somar em biná-rio, depois o circuito que faz isso.

Exemplo:

Some 100012 com 10012:

O processo de arranjar os números parao cálculo da adição é igual ao que utilizamospara somar números decimais. Ora, somosseres humanos!

Cópia

não a

uto

riza

da. Rese

rvados

todos

os

direitos

auto

rais.

Cópia não autorizada. Reservados todos os direitos autorais.

Cópia não autorizada. Reservados todos os direitos autorais.

Page 134: Eletrônica Digital - :: Instituto Monitormonitoronline.com.br/arquivosbd/...130_eletronica_digital.pdf · 130 ELETRÔNICA DIGITAL 4E Eletrônica Digital Cópia não autorizada. vados

Instituto Monitor

130/146

+10001

1001

Muitos acham difícil somar ou subtrair números binários. Façaentão a conta em decimal e só no final converta para binário:

10001

1001

Mas assim não vale! Assim você não aprende a somar binários!Expliquemos então como ocorre a soma em binário:

Em binário:

0 + 0 = 00 + 1 = 11 + 0 = 11 + 1 = 0 e “vai um”

Este último é o principal item da adição de binários. Portanto:

1

10001

100111010+

• Um mais um é zero e “vai um” para o alto na casa da esquerda.

• Um mais zero é um e um mais zero é um. Colocamos o um.

• Zero mais zero é zero. Colocamos o zero.

• Zero mais um é um. Colocamos o um.

• Um mais nada é um.

• Resultado: 110102

17

926

⇒11010⇒

+

Cópia

não a

uto

riza

da. Rese

rvados

todos

os

direitos

auto

rais.

Cópia não autorizada. Reservados todos os direitos autorais.

Cópia não autorizada. Reservados todos os direitos autorais.

Page 135: Eletrônica Digital - :: Instituto Monitormonitoronline.com.br/arquivosbd/...130_eletronica_digital.pdf · 130 ELETRÔNICA DIGITAL 4E Eletrônica Digital Cópia não autorizada. vados

Instituto Monitor

130/147

Em inglês nos referimos ao “vai um” chamando-o de carry, e ocircuito lógico que faz isso é:

Sua Tabela da Verdade é:

Note que é praticamente a mesma Tabela da Verdade de umaporta EXOR (ou EXCLUSIVA). Chamamos este circuito de halfadder (meio somador), pois ele não reconhece vinda de carry.

Um circuito completo cuja entrada reconhece um carry é:

Note que existe aqui uma entrada de “vai um”, o carry. Existetambém uma saída de carry resultante.

• se o bit A for 1 e o bit B for 1, gera carry.

• se o bit A for 1 e a entrada de carry for 1, gera carry.

• se o bit B for 1 e a entrada de carry for 1, gera carry.

A

B

CARRY

RESULTADO

A

0011

B

0101

RESULTADO

0110

CARRY

0001

A

B RESULTADO

CARRYOUT

CARRYIN

Cópia

não a

uto

riza

da. Rese

rvados

todos

os

direitos

auto

rais.

Cópia não autorizada. Reservados todos os direitos autorais.

Cópia não autorizada. Reservados todos os direitos autorais.

Page 136: Eletrônica Digital - :: Instituto Monitormonitoronline.com.br/arquivosbd/...130_eletronica_digital.pdf · 130 ELETRÔNICA DIGITAL 4E Eletrônica Digital Cópia não autorizada. vados

Instituto Monitor

130/148

O resto do circuito é igual ao anterior.

Novamente os fabricantes acharam lucrativo produzir circui-tos integrados completos de somadores de 4 bits expansíveis para8, 16, 32 ..., ficando assim:

Basta interligar os somadores em cascata e cuidar para entrarcom o bit certo em cada entrada.

2. Subtração de Binários

Vamos aplicar aqui o mesmo conceito de soma de binários, ope-rando somente dois números por vez.

Na dúvida, faça a conta em decimal e depois converta para bi-nário. Lembrando que, na subtração, existe o “empresta um”.

Em binário:

0 – 0 = 01 – 0 = 11 – 1 = 00 – 1 = 1 e “empresta um”

Circuito Somador Completo para 2 bits, expansível

CARRY OUT

A0

B0 S0

S1

A1

B1

Cópia

não a

uto

riza

da. Rese

rvados

todos

os

direitos

auto

rais.

Cópia não autorizada. Reservados todos os direitos autorais.

Cópia não autorizada. Reservados todos os direitos autorais.

Page 137: Eletrônica Digital - :: Instituto Monitormonitoronline.com.br/arquivosbd/...130_eletronica_digital.pdf · 130 ELETRÔNICA DIGITAL 4E Eletrônica Digital Cópia não autorizada. vados

Instituto Monitor

130/149

Exemplo:

• Zero menos um é um e “empresta um” para a casa de baixo àesquerda.

• Zero menos “um que veio” é um e “empresta um” para a casa debaixo à esquerda.

• Zero menos “um que veio” é um e “empresta um” para a casa debaixo à esquerda.

• Um menos o “um que veio” é zero.

• Resultado: 01112 ou simplesmente 1112.

O circuito que faz isto é:

Note que:

• A = 0 e B = 1 gera borrow (“empresta um”).

• A = 0 e borrow in = 1 gera borrow (“empresta um”).

• B = 1 e borrow in = 1 gera borrow (“empresta um”).

RESULTADO

BORROWOUT

BORROWIN

A

B

1 0 0 0(1) (1) (1) 10 1 1 1

-

Cópia

não a

uto

riza

da. Rese

rvados

todos

os

direitos

auto

rais.

Cópia não autorizada. Reservados todos os direitos autorais.

Cópia não autorizada. Reservados todos os direitos autorais.

Page 138: Eletrônica Digital - :: Instituto Monitormonitoronline.com.br/arquivosbd/...130_eletronica_digital.pdf · 130 ELETRÔNICA DIGITAL 4E Eletrônica Digital Cópia não autorizada. vados

Instituto Monitor

130/150

Um circuito maior é composto de ligações em cascata. Veja:

3. Unidade Lógica e Aritmética (ULA)

Os fabricantes acharam lucrativo produzir CIs completos comsomadores e subtratores, além de incluir funções lógicas entre bi-nários de 4 bits.

A0

B0 S0

S1

BORROWOUT

A1

B1

Circuito Subtrator Completo para 2 bits, expansível.

Este CI apresenta duas entradas de números binários de 4 bits(A0 ~ A3) para operar com outro número binário de 4 bits (B0 ~ B3).Apresenta saída de 4 bits (S0 ~ S3) e suas funções lógicas ou arit-méticas são selecionadas através das combinações entre os outrospinos.

Cópia

não a

uto

riza

da. Rese

rvados

todos

os

direitos

auto

rais.

Cópia não autorizada. Reservados todos os direitos autorais.

Cópia não autorizada. Reservados todos os direitos autorais.

Page 139: Eletrônica Digital - :: Instituto Monitormonitoronline.com.br/arquivosbd/...130_eletronica_digital.pdf · 130 ELETRÔNICA DIGITAL 4E Eletrônica Digital Cópia não autorizada. vados

Exercícios Propostos

130/151

1 - Faça a soma binária entre 111012 e 111012. O resultado é:( ) a) 1111112( ) b)1111012( ) c) 1110012( ) d)11101012( ) e) 1110102

2 - Faça a subtração binária entre 111112 e 111012. O resultado é:( ) a) 0( ) b)1( ) c) 2( ) d)10( ) e) 11

Cópia

não a

uto

riza

da. Rese

rvados

todos

os

direitos

auto

rais.

Cópia não autorizada. Reservados todos os direitos autorais.

Cópia não autorizada. Reservados todos os direitos autorais.

Page 140: Eletrônica Digital - :: Instituto Monitormonitoronline.com.br/arquivosbd/...130_eletronica_digital.pdf · 130 ELETRÔNICA DIGITAL 4E Eletrônica Digital Cópia não autorizada. vados

Respostas dos Exercícios Propostos

130/152

Lição 1

1) C

2) A

3) B

4) B

5) B

Lição 2

1) a) 1010112 e 2Bhb) 11011112 e 6Fhc) 110010002 e C8hd) 1012 e 5he) 11111112 e 7Fhf) 1111112 e 3Fhg) 111112 e 1Fhh) 11112 e Fhi) 1112 e 7h

2) a) 32 e 20hb) 4 e 4hc) 20 e 14hd) 31 e 1Fhe) 15 e Fhf) 7 e 7hg) 42 e 2Ah

3) Para enviar informações do mundo analógico para o mundo digital.

4) Para trazer informações do mundo digital para o mundo analógico.

5) Onde tudo que é analógico é expresso em números binários (um ou zero).

Cópia

não a

uto

riza

da. Rese

rvados

todos

os

direitos

auto

rais.

Cópia não autorizada. Reservados todos os direitos autorais.

Cópia não autorizada. Reservados todos os direitos autorais.

Page 141: Eletrônica Digital - :: Instituto Monitormonitoronline.com.br/arquivosbd/...130_eletronica_digital.pdf · 130 ELETRÔNICA DIGITAL 4E Eletrônica Digital Cópia não autorizada. vados

Instituto Monitor

130/153

Lição 3

1) A

2) Porta Inversora - Porta E - Porta OU

3) Ligando uma porta inversora na saída de uma porta E, formando a porta NE, e ligando umaporta inversora na saída de uma porta OU, formando uma porta NOU.

4) B

5) A

Lição 4

1) D

2) A

3) S = A . B

4) S = A . B . C . D + A . B . C . D + A . B . C . D + A . B . C . D + A . B . C . D + A . B . C . D + A . B . C . D

5) D

6)

A B C

S

S = A . B . C + A . B . C + A . B . C + A . B . C + A . B . C + A . B . C

Cópia

não a

uto

riza

da. Rese

rvados

todos

os

direitos

auto

rais.

Cópia não autorizada. Reservados todos os direitos autorais.

Cópia não autorizada. Reservados todos os direitos autorais.

Page 142: Eletrônica Digital - :: Instituto Monitormonitoronline.com.br/arquivosbd/...130_eletronica_digital.pdf · 130 ELETRÔNICA DIGITAL 4E Eletrônica Digital Cópia não autorizada. vados

Instituto Monitor

130/154

Lição 5

1) C

2) C

3) C

4)

5) Os CIs TTL trabalham exclusivamente com tensão de alimentação de +5 volts ±10%, enquan-to os CIs CMOS trabalham com qualquer tensão de alimentação de +3 volts até +15 volts.

6) Livro de Dados ou Informações sobre CIs, explicando desde a alimentação até como utilizá-los corretamente devido às suas limitações.

7) A Linha 54XX é totalmente equivalente à Linha 74XX em termos de pinagem, porém para os54XX os limites de tensão, corrente e temperatura são mais rígidos, específicos para o usomilitar que trabalha com altas variações de temperatura e precisões maiores.

Lição 6

a) S = A + A

Aplicando a Lei da Complementação.

S =1

b) S = A . B + A . B

Não há MINIMIZAÇÃO, neste caso.

c) S = A . B . C + A . B . C

Colocando C em evidência teremos:

S = C . (A . B + A . B). Não há MINIMIZAÇÃO, neste caso.

d) S = A . B . C . D + A . C . D . B + A . B . C . D

Aplicando o Teorema de De Morgan (dualidade), teremos:

S = (A + B) . (C + D) + A . B . (C + D) + A + B + C + D

14 13 12 11 10 9 8

1

2 3 4 5 6 7

2

3

4

5

6

7

14

13

12

11

10

9

8

1

7 6 5 4 3 2 1

9 10 11 12 13 148

8

9

10

11

12

13

14

7

6

5

4

3

2

1

Cópia

não a

uto

riza

da. Rese

rvados

todos

os

direitos

auto

rais.

Cópia não autorizada. Reservados todos os direitos autorais.

Cópia não autorizada. Reservados todos os direitos autorais.

Page 143: Eletrônica Digital - :: Instituto Monitormonitoronline.com.br/arquivosbd/...130_eletronica_digital.pdf · 130 ELETRÔNICA DIGITAL 4E Eletrônica Digital Cópia não autorizada. vados

Instituto Monitor

130/155

Lição 7

1)

S = ((C + D) . ((A + B) + A . B)) + A + B + C + D

=1

Aplicando a Lei da Complementação:

S = C + D + A + B + C + D

e) S = A . (A + B) + A . (A + B)

Aplicando as Leis: Distributiva, Complementação e Idempotente:

S = A . A + A . B + A . A + A . B

S = 0 + A . B + A + A . B

S = A + A . B

S = A . (1 + B)

= 1S = A

1 1

1 1

1 1

1

A A

B

B

C C C

D

D

D

4 agrupamentos de 2Este exemplo aceita outro tipo de arranjo, mas no final serão

sempre 4 agrupamentos de 2.

a)

1 1 1 1

1 1

1 1

1 1

A A

B

B

C C C

D

D

D

1 agrupamento de 8 e 1 agrupamento de 4

b)

A A

1 agrupamento de 2

c)

B

B

1 1

d)

1 1

1 1

1 1

A A

B

B

C C C

D

D

D

2 agrupamentos de 4

Cópia

não a

uto

riza

da. Rese

rvados

todos

os

direitos

auto

rais.

Cópia não autorizada. Reservados todos os direitos autorais.

Cópia não autorizada. Reservados todos os direitos autorais.

Page 144: Eletrônica Digital - :: Instituto Monitormonitoronline.com.br/arquivosbd/...130_eletronica_digital.pdf · 130 ELETRÔNICA DIGITAL 4E Eletrônica Digital Cópia não autorizada. vados

Instituto Monitor

130/156

1 agrupamento de 4 e 1 agrupamento de 2

e) f)1

1

1

1

A A

B

B

C C CD

D

D

Não é possível agrupar. São 4 isolados.

g) h)

1 1

1 1

1

C C C

B

B

A A

2 agrupamentos de 2

1

1 1

1

C C C

B

B

A A

1

1

1

1

A A

B

B

C C C

D

D

D

Não é possível agrupar. São 4 isolados.

i) j)

2 agrupamentos de 2

1 1

1

A AB

B

k)

Não é possível agrupar. São 8 isolados.

1

1

1

1

1 1

1

1A A

B

B

C C C

D

D

D

4 agrupamentos de 8

1

1

1

1

1 1

1 1

1

1 1

A A

B

B

C C C

D

D

D1

1

1

1

l)

1

1

1

1

A A

B

B

D

D

D

C C C

Cópia

não a

uto

riza

da. Rese

rvados

todos

os

direitos

auto

rais.

Cópia não autorizada. Reservados todos os direitos autorais.

Cópia não autorizada. Reservados todos os direitos autorais.

Page 145: Eletrônica Digital - :: Instituto Monitormonitoronline.com.br/arquivosbd/...130_eletronica_digital.pdf · 130 ELETRÔNICA DIGITAL 4E Eletrônica Digital Cópia não autorizada. vados

Instituto Monitor

130/157

B

B

A A

D

D

D

C C C

1 1

1 1

1 1

1

1

1

1

2)

Lição 8

a) S = A . B . D + B . C . D + A . B . D + A . B . C

b) S = A + B . D

c) S = B

d) S = B . C + C . D

e) S = C + A . B

f) S = A . B . C . D + A . B . C . D + A . B . C . D + A . B . C . D

g) S = A . C + A . C

h) S = A . B . C . D + A . B . C . D + A . B . C . D + A . B . C . D

i) S = A + B

j) S = A . B . C . D + A . B . C . D + A . B . C . D + A . B . C . D + A . B . C . D + A . B . C . D ++ A . B . C . D + A . B . C . D

k) S = A + B + C + D

Cópia

não a

uto

riza

da. Rese

rvados

todos

os

direitos

auto

rais.

Cópia não autorizada. Reservados todos os direitos autorais.

Cópia não autorizada. Reservados todos os direitos autorais.

Page 146: Eletrônica Digital - :: Instituto Monitormonitoronline.com.br/arquivosbd/...130_eletronica_digital.pdf · 130 ELETRÔNICA DIGITAL 4E Eletrônica Digital Cópia não autorizada. vados

Instituto Monitor

130/158

+ 5V = Nível Lógico 1GND = Nível lógico 0

A0000000011111111

B0000111100001111

C0011001100110011

D0101010101010101

S1111010010110101

GND

+ 5V

MUX

O

A B C D

I0

I1

I2

I3I4I5I6

I7I8

I9I10

I11

I12

I13

I14

I15

Lição 9

1) a)

b)+ 5V

GND

A B C

A00001111

B00110011

C01010101

D11110101

+ 5V = Nível Lógico 1GND = Nível lógico 0

MUX

O

I0

I1

I2I3I4I5I6

I7Cópia

não a

uto

riza

da. Rese

rvados

todos

os

direitos

auto

rais.

Cópia não autorizada. Reservados todos os direitos autorais.

Cópia não autorizada. Reservados todos os direitos autorais.

Page 147: Eletrônica Digital - :: Instituto Monitormonitoronline.com.br/arquivosbd/...130_eletronica_digital.pdf · 130 ELETRÔNICA DIGITAL 4E Eletrônica Digital Cópia não autorizada. vados

Instituto Monitor

130/159

2)

A0000000011111111

B0000111100001111

C0011001100110011

D0101010101010101

S0111010101110101

GND

+ 5V

MUX

O

A B C D

I0

I1

I2

I3I4I5I6

I7I8

I9I10

I11

I12

I13

I14

I15

Cópia

não a

uto

riza

da. Rese

rvados

todos

os

direitos

auto

rais.

Cópia não autorizada. Reservados todos os direitos autorais.

Cópia não autorizada. Reservados todos os direitos autorais.

Page 148: Eletrônica Digital - :: Instituto Monitormonitoronline.com.br/arquivosbd/...130_eletronica_digital.pdf · 130 ELETRÔNICA DIGITAL 4E Eletrônica Digital Cópia não autorizada. vados

Instituto Monitor

130/160

Lição 10

7404 7432

Vcc Vcc

GND GND

CIRCUITO EMULA PORTA OU (OR)DE 4 ENTRADAS

CIRCUITO EMULA PORTA OU (OR)DE 5 ENTRADAS

CIRCUITO EMULA PORTA NOU (NOR)DE 4 ENTRADAS

CIRCUITO EMULA PORTA NOU (NOR)DE 5 ENTRADAS

GND GND

GNDGND GND

+ 5V + 5V + 5V

+ 5V+ 5V

ABCD

ABCD

ABCDE

S

S

S

S

ABCDE

1412 4

3

5 96

108

7

1412 4

3

513

6

12

8910

7

11

14

12 4

3

56

1910

7

8 2

+ 5V

14

GND

7

14

12 4

3

56 9

10

7

82

14

7

1312

111

Cópia

não a

uto

riza

da. Rese

rvados

todos

os

direitos

auto

rais.

Cópia não autorizada. Reservados todos os direitos autorais.

Cópia não autorizada. Reservados todos os direitos autorais.

Page 149: Eletrônica Digital - :: Instituto Monitormonitoronline.com.br/arquivosbd/...130_eletronica_digital.pdf · 130 ELETRÔNICA DIGITAL 4E Eletrônica Digital Cópia não autorizada. vados

Instituto Monitor

130/161

Lição 11

1) A

2)

3) A4) B

Lição 12

1)

10% de 50 ms é 5 ms,

tempo em que o pulso fica em nível lógico 1

50 ms

5 ms 45 ms

5 5 5 5 5 5 5 5 5 5

Obs.: Qa significa a saída Q em seu estado anterior, ou seja, não foi alterada ouPERMANECEU.

2) D

3) C

4) D

5) C

6) C

7) Para aproveitar a combinação ilógica do flip-flop RS em uma função útil.

8) A

9) C

S0011

R0101

QQa

ApagadoAcesoAceso

QQa

AcesoApagadoAceso

Cópia

não a

uto

riza

da. Rese

rvados

todos

os

direitos

auto

rais.

Cópia não autorizada. Reservados todos os direitos autorais.

Cópia não autorizada. Reservados todos os direitos autorais.

Page 150: Eletrônica Digital - :: Instituto Monitormonitoronline.com.br/arquivosbd/...130_eletronica_digital.pdf · 130 ELETRÔNICA DIGITAL 4E Eletrônica Digital Cópia não autorizada. vados

Instituto Monitor

130/162

Lição 13

1)

Ao ligarmos a alimentação, o capacitor se comporta como um curto-circuito li-gando o pino 11 ao GND e ativando o LOAD, que por sua vez transfere a combinaçãoentre A, B, C e D para as respectivas saídas Q0, Q1, Q2 e Q3. Com isso a contagem jáinicia com o número 5 em binário na saída do contador.

A cada pulso a contagem aumenta, pois os pulsos entram no pino UP. Somentequando todas as saídas Q0, Q1, Q2 e Q3 forem nível lógico 1 é que a saída da portaNAND muda para nível lógico 0, parando a contagem em 15 ou “F” em hexadecimal.Não precisamos dos inversores, neste exercício.

3 2 6 7 16 8

+5V

12

R R R R

+5V

13

CR

PULSOS DECONTAGEM

A B C D UP DOWN LOAD CLEAR

CARRY OUT BORROW OUT

15 1 10 9 5 4 11 14

Q0 Q1 Q2 Q3 + Vcc GND

74LS193

2) C

3) B

Cópia

não a

uto

riza

da. Rese

rvados

todos

os

direitos

auto

rais.

Cópia não autorizada. Reservados todos os direitos autorais.

Cópia não autorizada. Reservados todos os direitos autorais.

Page 151: Eletrônica Digital - :: Instituto Monitormonitoronline.com.br/arquivosbd/...130_eletronica_digital.pdf · 130 ELETRÔNICA DIGITAL 4E Eletrônica Digital Cópia não autorizada. vados

Instituto Monitor

130/163

Lição 141)

D C B A

+5V

B C LT BI LE D A Vss

Vss f g a b c d e

4511

330Ω

330Ω

330Ω

330Ω

330Ω

330Ω

330Ω

a

b

f

g

e

c

d

Cópia

não a

uto

riza

da. Rese

rvados

todos

os

direitos

auto

rais.

Cópia não autorizada. Reservados todos os direitos autorais.

Cópia não autorizada. Reservados todos os direitos autorais.

Page 152: Eletrônica Digital - :: Instituto Monitormonitoronline.com.br/arquivosbd/...130_eletronica_digital.pdf · 130 ELETRÔNICA DIGITAL 4E Eletrônica Digital Cópia não autorizada. vados

Instituto Monitor

130/164

Lição 15

1)

2) A

3) C

4) Entrada Serial com Saída Paralela e Entrada Paralela.

5) A

Lição 16

1) E2) D

+5V

R

C Q0 Q1 Q2

SAÍDASERIAL

CLOCK PARATRANSFERÊNCIA

PR PR PR

CL CL CL

D D DQ

Q

Q

Q

Q

Q

Q

Q

Cópia

não a

uto

riza

da. Rese

rvados

todos

os

direitos

auto

rais.

Cópia não autorizada. Reservados todos os direitos autorais.

Cópia não autorizada. Reservados todos os direitos autorais.

Page 153: Eletrônica Digital - :: Instituto Monitormonitoronline.com.br/arquivosbd/...130_eletronica_digital.pdf · 130 ELETRÔNICA DIGITAL 4E Eletrônica Digital Cópia não autorizada. vados

Bibliografia

130/165

Eletrônica DigitalMalitron Ind. Com. Prod. Eletrônicos, 1981

FERREIRA, Aurélio Buarque de HolandaNovo Aurélio Século XXI – CD-ROMRio de Janeiro: Nova Fronteira, 2001

National InstrumentsDatabooks – CD-ROMPara aquisição, consultar:www.national.com/order/databooks.html

Texas InstrumentsDatabooks – CD-ROMPara aquisição, consultar: www.ti.com

ZUIM, EdgarEletrônica DigitalPara ter acesso a este e outros arquivos, consultar: www.ezuim.com

Bibliografia Recomendada

BIGNELL,James W. / DONOVAN, Robert L.Eletrônica Digital: Lógica e SeqüencialSão Paulo: Makron Books, 1995

CAPUANO, Francisco G. / IDOETA, Ivan G.Elementos de Eletrônica DigitalSão Paulo: Érica, 1984

LEACH, Donald P.Eletrônica Digital no LaboratórioSão Paulo: Mc Graw-Hill, 1993

MALVINO, A. P. / LEACH, Donald P.Eletrônica Digital - Princípios e Aplica-çõesSão Paulo: Mc Graw-Hill, 1988

SZAJNBERG, MordkaEletrônica DigitalRio de Janeiro: LTC, 1980

TOCCI, Ronald J.Sistemas Digitais - Princípios e AplicaçõesRio de Janeiro: LTC, 2000

Cópia

não a

uto

riza

da. Rese

rvados

todos

os

direitos

auto

rais.

Cópia não autorizada. Reservados todos os direitos autorais.

Cópia não autorizada. Reservados todos os direitos autorais.

Page 154: Eletrônica Digital - :: Instituto Monitormonitoronline.com.br/arquivosbd/...130_eletronica_digital.pdf · 130 ELETRÔNICA DIGITAL 4E Eletrônica Digital Cópia não autorizada. vados

Pesquisa de Avaliação

130 - Eletrônica Digital

Nome (campo não obrigatório): _______________________________________________________________

No de matrícula (campo não obrigatório): _____________________

Curso Técnico em:Eletrônica Secretariado Gestão de NegóciosTransações Imobiliárias Informática TelecomunicaçõesContabilidade

QUANTO AO CONTEÚDO

1) A linguagem dos textos é:a) sempre clara e precisa, facilitando muito a compreensão da matéria estudada.b) na maioria das vezes clara e precisa, ajudando na compreensão da matéria estudada.c) um pouco difícil, dificultando a compreensão da matéria estudada.d) muito difícil, dificultando muito a compreensão da matéria estudada.e) outros: ______________________________________________________

2) Os temas abordados nas lições são:a) atuais e importantes para a formação do profissional.b) atuais, mas sua importância nem sempre fica clara para o profissional.c) atuais, mas sem importância para o profissional.d) ultrapassados e sem nenhuma importância para o profissional.e) outros: ______________________________________________________

3) As lições são:a) muito extensas, dificultando a compreensão do conteúdo.b) bem divididas, permitindo que o conteúdo seja assimilado pouco a pouco.c) a divisão das lições não influencia Na compreensão do conteúdo.d) muito curtas e pouco aprofundadas.e) outros: ______________________________________________________

Caro Aluno:

Queremos saber a sua opinião a respeito deste fascículo que você acaba de estudar.

Para que possamos aprimorar cada vez mais os nossos serviços, oferecendo um

material didático de qualidade e eficiente, é muito importante a sua avaliação.

Sua identificação não é obrigatória. Responda as perguntas a seguir assinalando

a alternativa que melhor corresponda à sua opinião (assinale apenas UMA

alternativa). Você também pode fazer sugestões e comentários por escrito no

verso desta folha.

Na próxima correspondência que enviar à Escola, lembre-se de juntar sua(s)

pesquisa(s) respondida(s).

O Instituto Monitor agradece a sua colaboração.

A Editora.

Cópia

não a

uto

riza

da. Rese

rvados

todos

os

direitos

auto

rais.

Cópia não autorizada. Reservados todos os direitos autorais.

Cópia não autorizada. Reservados todos os direitos autorais.

Page 155: Eletrônica Digital - :: Instituto Monitormonitoronline.com.br/arquivosbd/...130_eletronica_digital.pdf · 130 ELETRÔNICA DIGITAL 4E Eletrônica Digital Cópia não autorizada. vados

QUANTO AOS EXERCÍCIOS PROPOSTOS

4) Os exercícios propostos são:a) muito simples, exigindo apenas que se decore o conteúdo. b) bem elaborados, misturando assuntos simples e complexos.c) um pouco difíceis, mas abordando o que se viu na lição.d) muito difíceis, uma vez que não abordam o que foi visto na lição.e) outros: ______________________________________________________

5) A linguagem dos exercícios propostos é:a) bastante clara e precisa.b) algumas vezes um pouco complexa, dificultando a resolução do problema proposto.c) difícil, tornando mais difícil compreender a pergunta do que respondê-la.d) muito complexa, nunca consigo resolver os exercícios.e) outros: ______________________________________________________

QUANTO À APRESENTAÇÃO GRÁFICA

6) O material é:a) bem cuidado, o texto e as imagens são de fácil leitura e visualização, tornando o estudo bastante agradável.b) a letra é muito pequena, dificultando a visualização.c) bem cuidado, mas a disposição das imagens e do texto dificulta a compreensão do mesmo.d) confuso e mal distribuído, as informações não seguem uma seqüência lógica.e) outros: ______________________________________________________

7) As ilustrações são:a) bonitas e bem feitas, auxiliando na compreensão e fixação do texto.b) bonitas, mas sem nenhuma utilidade para a compreensão do texto.c) malfeitas, mas necessárias para a compreensão e fixação do texto.d) malfeitas e totalmente inúteis.e) outros: ______________________________________________________

Lembre-se: você pode fazer seus comentários e sugestões, bem como apontaralgum problema específico encontrado no fascículo. Sinta-se à vontade!

PAMD1

Sugestões e comentários

Cópia

não a

uto

riza

da. Rese

rvados

todos

os

direitos

auto

rais.

Cópia não autorizada. Reservados todos os direitos autorais.

Cópia não autorizada. Reservados todos os direitos autorais.